CN105493248B - 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质 - Google Patents

半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质 Download PDF

Info

Publication number
CN105493248B
CN105493248B CN201380079276.7A CN201380079276A CN105493248B CN 105493248 B CN105493248 B CN 105493248B CN 201380079276 A CN201380079276 A CN 201380079276A CN 105493248 B CN105493248 B CN 105493248B
Authority
CN
China
Prior art keywords
gas
film
temperature
impurity
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380079276.7A
Other languages
English (en)
Other versions
CN105493248A (zh
Inventor
野田孝晓
野原慎吾
岛本聪
芦原洋司
花岛建夫
广濑义朗
镰仓司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN105493248A publication Critical patent/CN105493248A/zh
Application granted granted Critical
Publication of CN105493248B publication Critical patent/CN105493248B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质。所述半导体器件的制造方法具有下述工序:将包括对衬底供给包含规定元素、碳及卤素且具有由规定元素和碳形成的化学键的原料气体的工序、对衬底供给氧化气体的工序、和对衬底供给催化剂气体的工序的循环进行规定次数,由此在衬底上形成包含规定元素、氧及碳的薄膜的工序;在比形成薄膜的工序中的衬底的温度高的第一温度下对薄膜进行热处理,由此从薄膜中除去第一杂质的工序;和在第一温度以上的第二温度下对薄膜进行热处理,由此从以第一温度进行了热处理后的薄膜中除去与第一杂质不同的第二杂质的工序。

Description

半导体器件的制造方法、衬底处理装置、衬底处理系统及记录 介质
技术领域
本发明涉及半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质。
背景技术
作为半导体器件的制造工序的一个工序,有时进行对衬底供给包含例如硅等规定元素的原料气体、氧化气体等从而在衬底上形成氧化硅膜等薄膜的工序。此时,例如通过使用催化剂气体,能够实现较低温度下的成膜,能够改善半导体器件所受的热历程等。
发明内容
另外,在衬底上形成薄膜时,有时使薄膜含有例如碳等从而谋求膜质的提高,如提高对湿蚀刻的耐性、或降低膜的介电常数等。
然而,在较低温度的条件下,存在难以向膜中引入足够量的碳、或水分等杂质混入膜中的情况。因此,例如产生无法形成具有充分的蚀刻耐性的、低介电常数的薄膜等课题。
本发明的目的在于提供一种能够形成具有优异的蚀刻耐性的、低介电常数的薄膜的半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质。
根据本发明的一方案,提供一种半导体器件的制造方法,其具有下述工序:
将包括对衬底供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体的工序、对所述衬底供给氧化气体的工序、和对所述衬底供给催化剂气体的工序的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的工序;
在高于形成所述薄膜的工序中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的工序;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的工序。
根据本发明的另一方案,提供一种衬底处理装置,其具有:
处理室,对衬底进行收纳;
原料气体供给系统,向所述处理室内供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体;
氧化气体供给系统,向所述处理室内供给氧化气体;
催化剂气体供给系统,向所述处理室内供给催化剂气体;
加热器,对所述处理室内的衬底进行加热;和
控制部,以进行下述处理的方式控制所述原料气体供给系统、所述氧化气体供给系统、所述催化剂气体供给系统及所述加热器,所述处理为:将包括对所述处理室内的衬底供给所述原料气体的处理、对所述处理室内的所述衬底供给所述氧化气体的处理、和对所述处理室内的所述衬底供给所述催化剂气体的处理的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的处理;在高于形成所述薄膜的处理中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的处理;和在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的处理。
根据本发明的又一方案,提供一种衬底处理系统,其具有在衬底上形成薄膜的第一衬底处理部和对所述薄膜进行热处理的第二衬底处理部,其中,
所述第一衬底处理部具有:
第一处理室,对衬底进行收纳;
原料气体供给系统,向所述第一处理室内供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体;
氧化气体供给系统,向所述第一处理室内供给氧化气体;
催化剂气体供给系统,向所述第一处理室内供给催化剂气体;和
第一控制部,以进行下述处理的方式控制所述原料气体供给系统、所述氧化气体供给系统及所述催化剂气体供给系统,所述处理为:将包括对所述第一处理室内的衬底供给所述原料气体的处理、对所述第一处理室内的所述衬底供给所述氧化气体的处理、和对所述第一处理室内的所述衬底供给所述催化剂气体的处理的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜,
所述第二衬底处理部具有:
第二处理室,对衬底进行收纳;
加热器,对所述第二处理室内的衬底进行加热;和
第二控制部,以进行下述处理的方式控制所述加热器,所述处理为:于在所述第二处理室内收纳了形成有所述薄膜的所述衬底的状态下,在高于形成所述薄膜的处理中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的处理;和在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的处理。
根据本发明的又一方案,提供一种记录有下述程序的计算机可读取记录介质,所述程序使计算机执行下述步骤:
将包括对处理室内的衬底供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体的步骤、对所述处理室内的所述衬底供给氧化气体的步骤、和对所述处理室内的所述衬底供给催化剂气体的步骤的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的步骤;
在高于形成所述薄膜的步骤中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的步骤;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的步骤。
发明效果
根据本发明,可提供一种能够形成具有优异的蚀刻耐性的、低介电常数的薄膜的半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质。
附图说明
图1是本发明的第一实施方式中适用的衬底处理装置的纵型处理炉的结构简图,是以纵截面图示处理炉部分的图。
图2是本发明的第一实施方式中适用的衬底处理装置的纵型处理炉的结构简图,是以图1的A-A线截面图示处理炉部分的图。
图3是本发明的第一实施方式中适用的衬底处理装置的控制器的结构简图,是以框图示控制器的控制系统的图。
图4是表示本发明的第一实施方式的成膜顺序中的成膜流程的图。
图5是表示本发明的第一实施方式及其变形例的成膜顺序中的气体供给的时机的图,(a)是表示第一实施方式的顺序例的图,(b)是表示变形例的顺序例的图。
图6是本发明的第一实施方式的薄膜形成工序的催化反应的说明图,(a)是表示步骤1a中的催化反应的图,(b)是表示步骤2a中的催化反应的图。
图7是表示本发明的第一实施方式的其他变形例的成膜顺序中的成膜流程的图,(a)是表示SiOC膜形成工序的成膜流程的图,(b)是表示SiOC膜改质工序的成膜流程的图。
图8是表示本发明的第二实施方式的成膜顺序中的成膜流程的图。
图9是表示本发明的第二实施方式及其变形例的成膜顺序中的气体供给的时机的图,(a)是表示第二实施方式的顺序例的图,(b)是表示变形例1的顺序例的图,(c)是表示变形例2的顺序例的图。
图10是表示本发明的第三实施方式的成膜顺序中的成膜流程的图。
图11是表示本发明的第三实施方式的成膜顺序中的气体供给的时机的图,(a)是表示形成堆叠膜的顺序例的图,(b)是表示形成层合膜的顺序例的图。
图12是表示本发明的第三实施方式的变形例的成膜顺序中的成膜流程的图。
图13是表示本发明的第三实施方式的变形例的成膜顺序中的气体供给及RF电力供给的时机的图,(a)是表示形成堆叠膜的顺序例的图,(b)是表示形成层合膜的顺序例的图。
图14(a)~(f)是表示用作原料气体的各种硅烷的化学结构式的图,是分别表示BTCSM、BTCSE、TCDMDS、DCTMD、HCDS、BDEAS的化学结构式的图。
图15(a)~(f)是表示用作催化剂气体的各种胺的名称、化学组成式、化学结构式及酸解离常数的图,是分别表示环状胺、TEA、DEA、MEA、TMA、MMA的名称、化学组成式、化学结构式及酸解离常数的图。
图16是本发明的实施例的曲线图,(a)是表示热处理前后的SiOC膜的比介电常数的曲线图,(b)是表示热处理前后的SiOC膜的湿蚀刻速率的曲线图,(c)是表示SiOC膜的湿蚀刻速率的热处理的温度依赖性的曲线图。
图17是表示通过本发明的第一实施方式的成膜顺序所形成的热处理前的SiOC膜的基于TDS的H2O、Cl、C2H2的解吸谱(desorption spectrum)的图,(a)是表示H2O的解吸谱的图,(b)是表示Cl的解吸谱的图,(c)是表示C2H2的解吸谱的图。
图18是表示本发明的实施例的评价结果的图,是对样品1的SiOC膜和样品2的SiOC膜的各种特性进行比较并总结于表中的图。
具体实施方式
<第一实施方式>
以下,一边参照附图一边对本发明的第一实施方式进行说明。
(1)衬底处理装置的整体构成
如图1所示,处理炉202具有作为加热手段(加热机构)的加热器207。加热器207为圆筒形状,通过被作为保持板的加热器底座(未图示)支承而被垂直地安装。需要说明的是,如后文所述,加热器207也作为通过热使气体活化(激发)的活化机构(激发部)发挥功能。
在加热器207的内侧,以与加热器207成同心圆状的方式配置有反应管203。反应管203例如由石英(SiO2)或碳化硅(SiC)等耐热性材料形成,并形成为上端闭塞、下端开口的圆筒形状。在反应管203的下方,以与反应管203成同心圆状的方式配置有集流管(入口法兰、inlet flange)209。集流管209例如由不锈钢等金属构成,并形成为上端及下端开口的圆筒形状。构成为:集流管209的上端部与反应管203的下端部结合,并对反应管203进行支承。在集流管209与反应管203之间设置有作为密封部件的O型环220a。集流管209被加热器底座支承,由此反应管203成为被垂直地安装的状态。处理容器(反应容器)主要由反应管203和集流管209构成。在处理容器的筒中空部形成有处理室201,所述处理室201以下述方式构成:能够通过后述的晶舟217,以水平姿势、且以在垂直方向上排列多层的状态收纳作为衬底的晶片200。
在处理室201内,以贯穿集流管209的侧壁的方式设置有喷嘴249a~249c。气体供给管232a~232c分别与喷嘴249a~249c连接。多根气体供给管232d~232f与气体供给管232a连接。多根气体供给管232g、232h与气体供给管232b连接。另外,气体供给管232i与气体供给管232c连接。如上所述,构成为:在处理容器中设置有3个喷嘴249a~249c和多根气体供给管232a~232i,能够向处理室201内供给多种气体。
在气体供给管232a的上游端,连接有例如作为原料气体供给源的(SiCl3)2CH2(BTCSM)气体供给源242a。在气体供给管232d的上游端,连接有例如作为原料气体供给源的(CH3)2Si2Cl4(TCDMDS)气体供给源242d。在气体供给管232e的上游端,连接有例如作为原料气体供给源的Si2Cl6(HCDS)气体供给源242e。在气体供给管232f的上游端,连接有例如作为原料气体供给源的Si[N(C2H5)2]2H2(BDEAS)气体供给源242f。在气体供给管232b的上游端,连接有例如作为氧化气体供给源的H2O气体供给源242b。在气体供给管232g的上游端,连接有例如作为氧化气体供给源的O3气体供给源242g。在气体供给管232h的上游端,连接有例如作为氧化气体供给源的O2气体供给源242h。在气体供给管232c的上游端,连接有例如作为催化剂气体供给源的C5H5N(吡啶)气体供给源242c。在气体供给管232i的上游端,连接有例如作为催化剂气体供给源的(C2H5)3N(TEA)气体供给源242i。
在分别与气体供给管232a~232c连接的气体供给管232j~232l的上游端,分别连接有例如作为非活性气体供给源的N2气供给源242j~242l。在气体供给管232a~232l上,从连接有各气体供给源242a~242l的上游方向开始,依次分别设置有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a~241l、及作为开闭阀的阀243a~243l。在比气体供给管232a~232c的阀243a~243c更靠下游一侧,分别连接有气体供给管232j~232l的下游端。在比气体供给管232a的阀243a更靠下游一侧,也分别连接有气体供给管232d~232f的下游端。在比气体供给管232b的阀243b更靠下游一侧,也分别连接有气体供给管232g、232h的下游端。在比气体供给管232c的阀243c更靠下游一侧,也分别连接有气体供给管232i的下游端。
在气体供给管232a、232c的前端部分别连接有上述喷嘴249a、249c。如图2所示,喷嘴249a、249c以沿着反应管203的内壁的下部至上部、朝向晶片200的装载方向上方竖立的方式,分别设置于反应管203的内壁与晶片200之间的圆环状空间。即,喷嘴249a、249c以沿着晶片排列区域的方式分别设置于排列有晶片200的晶片排列区域的侧方的、水平包围晶片排列区域的区域。喷嘴249a、249c分别构成为L字型的长径喷嘴,它们的各水平部以贯穿集流管209的侧壁的方式进行设置,它们的各垂直部以至少从晶片配列区域的一端侧朝向另一端侧竖立的方式进行设置。在喷嘴249a、249c的侧面,分别设置有供给气体的气体供给孔250a、250c。如图2所示,气体供给孔250a、250c以朝向反应管203的中心的方式开口,能够向晶片200供给气体。在从反应管203的下部至上部的范围内设置有多个上述气体供给孔250a、250c,它们分别具有相同的开口面积,而且以相同的开口节距进行设置。
在气体供给管232b的前端部连接有上述喷嘴249b。喷嘴249b设置于作为气体分散空间的缓冲室237内。如图2所示,缓冲室237沿着晶片200的装载方向,设置于反应管203的内壁与晶片200之间的圆环状空间、从反应管203内壁的下部到上部的整个部分。即,缓冲室237以沿着晶片排列区域的方式设置于晶片排列区域的侧方的、水平包围晶片排列区域的区域。在缓冲室237的与晶片200邻接的壁的端部,设置有供给气体的气体供给孔250d。气体供给孔250d以朝向反应管203的中心的方式开口,能够向晶片200供给气体。在从反应管203的下部到上部的范围内设置有多个所述气体供给孔250d,它们分别具有相同的开口面积,而且以相同的开口节距进行设置。
如图2所示,喷嘴249b以沿着反应管203的内壁的下部至上部、朝向晶片200的装载方向上方竖立的方式,设置于缓冲室237的与设置有气体供给孔250d的端部为相反侧的端部。即,喷嘴249b以沿着晶片排列区域的方式设置在排列有晶片200的晶片排列区域的侧方的、水平包围晶片排列区域的区域。喷嘴249b构成为L字型的长径喷嘴,其水平部以贯通集流管209的侧壁的方式进行设置,其垂直部以至少从晶片配列区域的一端侧朝向另一端侧竖立的方式进行设置。在喷嘴249b的侧面设置有供给气体的气体供给孔250b。如图2所示,气体供给孔250b以朝向缓冲室237的中心的方式开口。与缓冲室237的气体供给孔250d同样地,在反应管203的从下部至上部的范围内设置多个所述气体供给孔250b。对于所述多个气体供给孔250b的各开口面积,当缓冲室237内与处理室201内的压力差小时,可以在从上游侧(下部)到下游侧(上部)的范围内分别设为相同的开口面积及相同的开口节距,而当压力差大时,可以从上游侧朝向下游侧分别增大开口面积或缩小开口节距。
在本实施方式中,通过从上游侧朝向下游侧如上述那样调节气体供给孔250b的各开口面积、开口节距,从而首先从各个气体供给孔250b喷出虽有流速差但流量几乎相同的气体。然后,将从所述气体供给孔250b分别喷出的气体暂时导入到缓冲室237内,在缓冲室237内进行气体的流速差的均匀化。即,对于由气体供给孔250b分别喷出到缓冲室237内的气体而言,在缓冲室237内各气体的粒子速度被缓和后,由气体供给孔250d喷出到处理室201内。由此,由气体供给孔250b分别喷出到缓冲室237内的气体在由各个气体供给孔250d喷出到处理室201内时,成为具有均匀流量和流速的气体。
如上所述,在本实施方式中的使用了长径喷嘴的气体供给的方法中,经由喷嘴249a~249c及缓冲室237来搬送气体,所述喷嘴249a~249c及缓冲室237被配置在由反应管203的内壁和装载的多片晶片200的端部所定义的圆环状的延伸为纵长的空间内、即圆筒状空间内,在晶片200的附近才从分别开口于喷嘴249a~249c及缓冲室237的气体供给孔250a~250d向反应管203内喷出气体,使反应管203内的气体的主要流向为与晶片200的表面平行的方向、即水平方向。通过形成这样的构成,从而能够向各晶片200均匀地供给气体,具有使形成于各晶片200表面上的膜的膜厚均匀性提高的效果。在晶片200的表面上流动的气体、即反应后的残留气体朝向排气口、即后述的排气管231的方向流动,但所述残留气体的流向可根据排气口的位置而适当确定,不限于垂直方向。
作为包含作为规定元素的硅(Si)、碳(C)及卤素(氟(F)、氯(Cl)、溴(Br)等)、并具有由规定元素和碳形成的化学键(Si-C键)的原料气体,例如,包含Si、作为亚烷基的亚甲基、及作为卤基的氯基的原料气体、即包含亚甲基的氯硅烷系原料气体,从气体供给管232a经由MFC241a、阀243a、喷嘴249a被供给至处理室201内。所谓包含亚甲基的氯硅烷系原料气体,是指包含亚甲基及氯基的硅烷系原料气体,至少包含Si、含C的亚甲基、和作为卤素的Cl的原料气体。作为从气体供给管232a供给的包含亚甲基的氯硅烷系原料气体,例如,可以使用亚甲基双(三氯硅烷)、即双(三氯甲硅烷基)甲烷((SiCl3)2CH2,简称为BTCSM)气体。
如图14(a)所示,BTCSM在其化学结构式中(1分子中)包含作为亚烷基的亚甲基。BTCSM所含的亚甲基的2个连接键分别与Si键合,形成Si-C-Si键。原料气体所具有的Si-C键是例如BTCSM所含的Si-C-Si键的一部分,BTCSM所含的亚甲基包含构成所述Si-C键的C。
另外,包含Si、C及卤素并具有Si-C键的原料气体例如包括含有Si、作为亚烷基的亚乙基、及作为卤基的氯基的原料气体,即,包含亚乙基的氯硅烷系原料气体。作为包含亚乙基的氯硅烷系原料气体,例如,可以使用亚乙基双(三氯硅烷),即,1,2-双(三氯甲硅烷基)乙烷((SiCl3)2C2H4,简称为BTCSE)气体等。
如图14(b)所示,BTCSE在其化学结构式中(1分子中)包含作为亚烷基的亚乙基。BTCSE所含的亚乙基的2个连接键分别与Si键合,形成Si-C-C-Si键。原料气体所具有的Si-C键是例如BTCSE所含的Si-C-C-Si键的一部分,BTCSE所含的亚乙基包含构成所述Si-C键的C。
需要说明的是,所谓亚烷基,是从通式CnH2n+2表示的链状饱和烃(烷烃)中除去2个氢(H)原子而得到的官能团,是通式CnH2n表示的原子的集合体。对于亚烷基,除了上述列举的亚甲基、亚乙基之外,还包括亚丙基、亚丁基等。如上所述,含有Si、C及卤素并具有Si-C键的原料气体包括含有Si、亚烷基及卤素的亚烷基卤硅烷系原料气体。亚烷基卤硅烷系原料气体是包含亚烷基的卤硅烷系原料气体,也可以认为是具有下述结构的气体,所述结构为:在维持大量卤素与卤硅烷系原料气体中的Si的连接键键合的状态的状态下,亚烷基被导入例如Si-Si键之间。亚烷基卤硅烷系原料气体包括BTCSM气体及BTCSE气体等。
作为包含作为规定元素的硅(Si)、碳(C)及卤素、并且具有由规定元素和碳形成的化学键(Si-C键)的原料气体,例如,包含Si、作为烷基的甲基、及作为卤基的氯基的原料气体、即包含甲基的氯硅烷系原料气体从气体供给管232d经由MFC241d、阀243d、喷嘴249a被供给至处理室201内。此处,所谓包含甲基的氯硅烷系原料气体,是指包含甲基及氯基的硅烷系原料气体,至少包含Si、含C的甲基、和作为卤素的Cl的原料气体。作为从气体供给管232d供给的包含甲基的氯硅烷系原料气体,例如,可以使用1,1,2,2-四氯-1,2-二甲基乙硅烷((CH3)2Si2Cl4,简称为TCDMDS)气体。
如图14(c)所示,TCDMDS在其化学结构式中(1分子中)包含2个作为烷基的甲基。TCDMDS所含的2个甲基的各连接键分别与Si键合,形成Si-C键。原料气体所具有的Si-C键例如为TCDMDS所含的Si-C键,TCDMDS所含的2个甲基分别包含构成所述Si-C键的C。
另外,包含甲基的氯硅烷系原料气体包括与TCDMDS气体不同的其他原料气体。作为包含甲基的其他氯硅烷系原料气体,例如,可以使用1,2-二氯-1,1,2,2-四甲基乙硅烷((CH3)4Si2Cl2,简称为DCTMDS)气体等。
如图14(d)所示,DCTMDS在其化学结构式中(1分子中)包含4个作为烷基的甲基。DCTMDS所含的4个甲基的各连接键分别与Si键合,形成Si-C键。原料气体所具有的Si-C键例如为DCTMDS所含的Si-C键,DCTMDS所含的4个甲基分别包含构成所述Si-C键的C。
需要说明的是,所谓烷基,是从通式CnH2n+2表示的链状饱和烃(烷烃)中除去1个H原子而得到的官能团,是通式CnH2n+1表示的原子的集合体。对于烷基,除了上述列举的甲基之外,还包括乙基、丙基、丁基等。如上所述,包含Si、C及卤素并具有Si-C键的原料气体包括含有Si、烷基及卤素的烷基卤硅烷系原料气体。烷基卤硅烷系原料气体是包含烷基的卤硅烷系原料气体,也可以认为是具有卤硅烷系原料气体的一部分的卤基被烷基取代的结构的气体。烷基卤硅烷系原料气体包括TCDMDS气体及DCTMDS气体等。
作为包含作为规定元素的硅(Si)及卤素的原料气体,例如,包含Si及作为卤基的氯基的原料气体、即氯硅烷系原料气体从气体供给管232e经由MFC241e、阀243e、喷嘴249a被供给至处理室201内。此处,所谓氯硅烷系原料气体,是包含氯基的硅烷系原料气体,是至少包含Si及作为卤素的Cl的原料气体。即,也可以认为此处所述的氯硅烷系原料是卤代物的一种。作为从气体供给管232e供给的氯硅烷系原料气体,例如,可以使用六氯乙硅烷(Si2Cl6,简称为HCDS)气体。
如图14(e)所示,HCDS在其化学结构式中(1分子中)包含Si及氯基。另外,作为包含Si及卤素的原料气体,除HCDS气体外,还可以使用四氯硅烷,即,四氯化硅(SiCl4,简称为STC)气体、三氯硅烷(SiHCl3,简称为TCS)气体、二氯硅烷(SiH2Cl2,简称为DCS)气体、一氯硅烷(SiH3Cl,简称为MCS)气体等无机原料气体。
作为包含作为规定元素的硅(Si)、碳(C)及氮(N)并具有规定元素和氮形成的化学键(Si-N键)的原料气体,例如,包含Si及氨基(胺基)的原料气体、即氨基硅烷系原料气体从气体供给管232f经由MFC241f、阀243f、喷嘴249a被供给至处理室201内。此处,所谓氨基硅烷系原料气体,是包含氨基的硅烷系原料气体,是至少包含Si、C及含N的氨基的原料气体。作为从气体供给管232f供给的氨基硅烷系原料气体,例如,可以使用双(二乙基氨基)硅烷(Si[N(C2H5)2]2H2,简称为BDEAS)气体
如图14(f)所示,BDEAS在其化学结构式中(1分子中)包含Si及氨基。另外,作为包含Si、C及N并具有Si-N键的原料气体,除BDEAS气体以外,还可以使用三(二乙基氨基)硅烷(SiH[N(C2H5)2]3,简称为3DEAS)气体、四(二乙基氨基)硅烷(Si[N(C2H5)2]4,简称为4DEAS)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H,简称为3DMAS)气体、四(二甲基氨基)硅烷(Si[N(CH3)2]4,简称为4DMAS)气体等有机原料气体。
此处,所谓从气体供给管232a、232d、232e供给的氯硅烷系原料气体,是指气态的氯硅烷系原料,例如,通过将常温常压下为液态的氯硅烷系原料气化而得到的气体、常温常压下为气态的氯硅烷系原料等。另外,所谓从气体供给管232f供给的氨基硅烷系原料气体,是指气态的氨基硅烷系原料,例如,通过将常温常压下为液态的氨基硅烷系原料气化而得到的气体、常温常压下为气态的氨基硅烷系原料等。需要说明的是,在本说明书中使用术语“原料”时,有时指“液态的液体原料”,有时指“气态的原料气体”,或有时指上述两者。因此,在本说明书中使用术语“氯硅烷系原料”时,有时指“液态的氯硅烷系原料”,有时指“气态的氯硅烷系原料气体”,或有时指上述两者。另外,在本说明书中使用术语“氨基硅烷系原料”时,有时指“液态的氨基硅烷系原料”,有时指“气态的氨基硅烷系原料气体”,或有时指上述两者。需要说明的是,在使用如BTCSM、BTCSE、TCDMDS、DCTMDS、HCDS、BDEAS那样的常温常压下为液态的液体原料时,利用气化器、鼓泡器等气化系统将液体原料汽化,然后作为原料气体(BTCSM气体、BTCSE气体、TCDMDS气体、DCTMDS气体、HCDS气体、BDEAS气体)进行供给。
作为氧化气体,例如,包含氧(O)的气体(含氧气体)从气体供给管232b经由MFC241b、阀243b、喷嘴249b、缓冲室237被供给至处理室201内。作为从气体供给管232b供给的氧化气体,例如,可使用水蒸气(H2O气体)。需要说明的是,在供给H2O气体时,可以将氧气(O2)和氢气(H2)供给至未图示的外部燃烧装置,使它们燃烧从而生成H2O气体,作为供给的构成。
作为氧化气体,例如,包含O的气体(含氧气体)从气体供给管232g经由MFC241g、阀243g、喷嘴249b、缓冲室237被供给至处理室201内。作为从气体供给管232g供给的氧化气体,例如,可以使用臭氧(O3)气体。
作为氧化气体,例如,包含O的气体(含氧气体)从气体供给管232h经由MFC241h、阀243h、喷嘴249b、缓冲室237被供给至处理室201内。作为从气体供给管232h供给的氧化气体,例如,可以使用氧气(O2)。
作为通过催化作用来削弱晶片200的表面或H2O气体所具有的O-H键的结合力、促进原料气体的分解、并促进利用H2O气体等氧化气体进行的氧化反应的催化剂气体,例如,包含碳(C)、氮(N)及氢(H)的胺系气体,从气体供给管232c经由MFC241c、阀243c、喷嘴249c被供给至处理室201内。此处,所谓胺系气体,是包含利用烷基等烃基取代氨(NH3)的氢原子中的至少1个而得到的胺的气体。如图15所示,用作催化剂气体的各种胺例如包含具有孤对电子的N,其酸解离常数(以下也称为pKa)为5~11左右。此处,酸解离常数(pKa)是定量表示酸强度的指标之一,其以负的常用对数来表示从酸中释放氢离子的解离反应中的平衡常数Ka。这样的胺系气体包括烃基为环状的环状胺系气体、烃基为链状的链状胺系气体。作为从气体供给管232c供给的胺系气体,例如,可以使用环状胺系气体、即吡啶(C5H5N)气体。
如图15(a)所示,对于用作催化剂气体的环状胺,例如,除了吡啶(C5H5N,pKa=5.67)之外,还包括氨基吡啶(C5H6N2,pKa=6.89)、甲基吡啶(C6H7N,pKa=6.07)、二甲基吡啶(C7H9N,pKa=6.96)、哌嗪(C4H10N2,pKa=9.80)、及哌啶(C5H11N,pKa=11.12)等。也可以认为这些环状胺是由C和N多种元素构成其环状结构的杂环化合物、即含氮杂环化合物。
作为具有与上述相同的催化作用的催化剂气体,例如,包含C、N及H的胺系气体,从气体供给管232i经由MFC241i、阀243i、喷嘴249c被供给至处理室201内。作为从气体供给管232i供给的胺系气体,例如,可以使用链状胺系气体、即三乙胺((C2H5)3N,简称为TEA)气体。
如图15(b)~(f)分别所示,对于用作催化剂气体的链状胺,例如,除了三乙胺((C2H5)3N,简称为TEA,pKa=10.7)之外,还包括二乙胺((C2H5)2NH,简称为DEA,pKa=10.9)、单乙胺((C2H5)NH2,简称为MEA,pKa=10.6)、三甲胺((CH3)3N,简称为TMA,pKa=9.8)、单甲胺((CH3)NH2,简称为MMA,pKa=10.6)等。
也可以认为作为上述催化剂气体的胺系气体是胺系催化剂气体。另外,作为催化剂气体,除了上述列举的胺系气体之外,还可以使用非胺系气体,即,例如氨气(NH3,pKa=9.2)等。
例如,作为非活性气体的氮气(N2),从气体供给管232j~232l分别经由MFC241j~241l、阀243j~243l、气体供给管232a~232c、喷嘴249a~249c、缓冲室237被供给至处理室201内。
作为非活性气体的N2气,也作为吹扫气体、及后述的生成不含氧的气氛的不包含氧(O)的非含氧气体发挥作用。另外,当将N2气用作非含氧气体时,N2气有时也作为热处理气体、退火气体发挥作用。对于这样的非活性气体、吹扫气体及非含氧气体,例如,除了N2气之外,还包括氩气(Ar)、氦气(He)、氖气(Ne)、氙气(Xe)等稀有气体。
在从各气体供给管分别流过上述那样的气体时,供给原料气体的原料气体供给系统主要由气体供给管232a、232d、232e、232f、MFC241a、241d、241e、241f、阀243a、243d、243e、243f构成。在原料气体供给系统中可以包括喷嘴249a、BTCSM气体供给源242a、TCDMDS气体供给源242d、HCDS气体供给源242e、BDEAS气体供给源242f。也可以将原料气体供给系统称为原料供给系统。另外,也可以将原料气体供给系统视为多条供给管线(供给系统)的集合体,所述多条供给管线(供给系统)分别供给作为各不相同的元素的元素源的多种原料气体、分子结构各不相同的多种原料气体。即,可以认为原料气体供给系统是主要由气体供给管232a、MFC241a、阀243a构成的BTCSM气体供给管线、主要由气体供给管232d、MFC241d、阀243d构成的TCDMDS气体供给管线、主要由气体供给管232e、MFC241e、阀243e构成的HCDS气体供给管线、和主要由气体供给管232f、MFC241f、阀243f构成的BDEAS气体供给管线的集合体。各个供给管线可以包括喷嘴249a、相应的各原料气体供给源242a、242d、242e、242f。
如上所述,构成原料气体供给系统的多条供给管线被构成为分别供给作为各不相同的元素的元素源的多种原料气体、分子结构各不相同的多种原料气体。另外,各原料气体具有各不相同的分子结构,即,具有各不相同的化学结构式。各原料气体的组成、成分可以不同。具有各不相同的分子结构的原料气体的化学的性质也各不相同。因此,如后文所述,通过与所希望的成膜处理相应地适当选择原料气体的种类,可以用1台衬底处理装置通用地且再现性良好地形成具有多种组成比、多种膜质的薄膜。
另外,氧化气体供给系统主要由气体供给管232b、232g、232h、MFC241b、241g、241h、阀243b、243g、243h构成。在氧化气体供给系统中可以包括喷嘴249b、缓冲室237、H2O气体供给源242b、O3气体供给源242g及O2气供给源242h。也可以将氧化气体供给系统称为氧化剂供给系统。另外,也可以将氧化气体供给系统视为分别供给分子结构各不相同的多种氧化气体的多条供给管线(供给系统)的集合体。即,可以认为氧化气体供给系统是主要由气体供给管232b、MFC241b、阀243b构成的H2O气体供给管线、主要由气体供给管232g、MFC241g、阀243g构成的O3气体供给管线、和主要由气体供给管232h、MFC241h、阀243h构成的O2气供给管线的集合体。各个供给管线可以包括喷嘴249b、缓冲室237、相应的各氧化气体供给源242b、242g、242h。
如上所述,构成氧化气体供给系统的多条供给管线被构成为分别供给分子结构各不相同的多种氧化气体。另外,各氧化气体具有各不相同的分子结构,即各不相同的化学结构式。各氧化气体的组成、成分可以不同。具有各不相同的分子结构的氧化气体的化学性质也各不相同。因此,例如,通过与所希望的成膜处理相应地适当选择氧化气体的种类,可以用1台衬底处理装置通用地且再现性良好地形成具有多种组成比、多种膜质的薄膜。
另外,催化剂气体供给系统主要由气体供给管232c、232i、MFC241c、241i、阀243c、243i构成。在催化剂气体供给系统中可以包括喷嘴249c、吡啶气体供给源242c、TEA气体供给源242i。另外,也可以将催化剂气体供给系统视为分别供给分子结构各不相同的多种催化剂气体的多条供给管线(供给系统)的集合体。即,可以认为催化剂气体供给系统是主要由气体供给管232c、MFC241c、阀243c构成的吡啶气体供给管线、和主要由气体供给管232i、MFC241i、阀243i构成的TEA气体供给管线的集合体。各个供给管线可以包括喷嘴249c、相应的各催化剂气体供给源242c、242i。另外,如后文所述,也可以认为上述列举的吡啶气体、TEA气体是作为催化剂的胺系气体、即胺系催化剂气体。以下,也将供给各种胺系催化剂气体的催化剂气体供给系统称为胺系催化剂气体供给系统。
如上所述,构成催化剂气体供给系统的多条供给管线被构成为分别供给分子结构各不相同的多种催化剂气体。另外,各催化剂气体具有各不相同的分子结构,即各不相同的化学结构式。各催化剂气体的组成、成分可以不同。具有各不相同的分子结构的催化剂气体的化学性质也各不相同。因此,如后文所述,通过与所希望的成膜处理相应地适当选择催化剂气体的种类,可以用1台衬底处理装置通用地且再现性良好地形成具有多种组成比、多种膜质的薄膜。
另外,非活性气体供给系统主要由气体供给管232j~232l、MFC241j~241l、阀243j~243l构成。需要说明的是,在非活性气体供给系统中可以包括气体供给管232a~232c中的与气体供给管232j~232l的连接部的下游侧,喷嘴249a~249c、缓冲室237、N2气供给源242j~242l。也可以将非活性气体供给系统视为多条供给管线的集合体。即,可以认为非活性气体供给系统是主要由气体供给管232j、MFC241j、阀243j构成的非活性气体供给管线、主要由气体供给管232k、MFC241k、阀243k构成的非活性气体供给管线、和主要由气体供给管232l、MFC241l、阀243l构成的非活性气体供给管线的集合体。非活性气体供给系统也作为吹扫气体供给系统及非含氧气体供给系统发挥功能。需要说明的是,非含氧气体供给系统构成后述的生成不含氧的气氛的气氛生成部的一部分
在缓冲室237内,如图2所示,在从反应管203的下部到上部的范围沿晶片200的层合方向配置有由导电体形成、且具有细长结构的2个棒状电极269、270。棒状电极269、270分别设置为与喷嘴249d平行。通过在从上部到下部的范围利用电极保护管275覆盖各个棒状电极269、270,从而将它们保护。棒状电极269、270中的任一个经由匹配器272与高频电源273连接,另一个与作为基准电位的地线连接。通过经由匹配器272从高频电源273对棒状电极269、270之间施加高频(RF)电力,由此在棒状电极269、270之间的等离子体生成区域224中生成等离子体。作为等离子体发生器(等离子体发生部)的等离子体源主要由棒状电极269、270、电极保护管275构成。可以在等离子体源中包括匹配器272、高频电源273。等离子体源作为将气体活化(激发)成等离子体状态的活化机构(激发部)发挥功能。
电极保护管275成为下述结构:能在使各个棒状电极269、270与缓冲室237内的气氛隔离的状态下插入于缓冲室237。此处,若电极保护管275内部的氧浓度与外部气体(大气)的氧浓度为相同程度,则分别插入到电极保护管275内的棒状电极269、270会因由加热器207产生的热而被氧化。因此,通过预先在电极保护管275的内部填充N2气等非活性气体、或使用非活性气体吹扫机构并用N2气体等非活性气体对电极保护管275的内部进行吹扫,能够降低电极保护管275内部的氧浓度,抑制棒状电极269、270的氧化。
在反应管203上设置有对处理室201内的气氛进行排气的排气管231。在排气管231上,经由作为对处理室201内的压力进行检测的压力检测器(压力检测部)的压力传感器245及作为压力调节器(压力调节部)的APC(Auto Pressure Controller)阀244而连接有作为真空排气装置的真空泵246。APC阀244为以下述方式构成的阀:通过在使真空泵246工作的状态下将阀开闭,能够进行处理室201内的真空排气及真空排气停止,进而,通过在使真空泵246工作的状态下基于由压力传感器245检测到的压力信息来调节阀开度,能够调节处理室201内的压力。排气系统主要由排气管231、APC阀244、压力传感器245构成。可以在排气系统中包括真空泵246。排气管231并不限于设置于反应管203的情形,还可以与喷嘴249a~249c同样地设置于集流管209。
另外,在处理室201内生成不含氧的气氛的气氛生成部主要由上述排气系统、及上述非含氧气体供给系统构成。排气系统通过对处理室201内进行真空排气,从而排气系统独自、或排气系统与对处理室201内的晶片200供给非含氧气体的非含氧气体供给系统合力,使处理室201内的气氛成为不含氧的气氛。
在集流管209的下方设置有能够将集流管209的下端开口气密地封闭的、作为炉口盖体的密封盖219。密封盖219以从垂直方向下侧抵接于集流管209的下端的方式构成。密封盖219由例如不锈钢等金属构成,形成为圆盘状。在密封盖219的上表面设置有与集流管209的下端抵接的、作为密封部件的O型环220b。在密封盖219的与处理室201相反一侧设置有使后述的晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯通密封盖219而与晶舟217连接。旋转机构267以通过使晶舟217旋转而使晶片200旋转的方式构成。密封盖219以下述方式构成:通过垂直设置在反应管203的外部的作为升降机构的晶舟升降机115而在垂直方向上进行升降。晶舟升降机115以下述方式构成:能够通过使密封盖219升降,从而将晶舟217向处理室201内搬入及向处理室201外搬出。即,晶舟升降机115构成为将晶舟217及被晶舟217支承的晶片200向处理室201内外搬送的搬送装置(搬送机构)。
作为衬底支承具的晶舟217以下述方式构成:由例如石英、碳化硅等耐热性材料形成,使多片晶片200以水平姿势且在彼此中心对齐的状态下排列,并以多层的方式对所述晶片200进行支承。在晶舟217的下部设置有由例如石英、碳化硅等耐热性材料形成的隔热部件218,并以来自加热器207的热不易传递到密封盖219侧的方式构成。需要说明的是,隔热部件218可以通过由石英、碳化硅等耐热性材料形成的多片隔热板、和以水平姿势且以多层的方式支承这些隔热板的隔热板支架构成。
在反应管203内设置有作为温度检测器的温度传感器263,并以下述方式构成:通过基于由温度传感器263检测到的温度信息来调节对加热器207的通电情况,由此能够使处理室201内的温度成为所希望的温度分布。温度传感器263与喷嘴249a~249c同样地构成为L字型,沿着反应管203的内壁设置。
如图3所示,作为控制部(控制手段)的控制器121构成为包括CPU(CentralProcessing Unit、中央处理单元)121a、RAM(Random Access Memory、随机存取存储器)121b、存储装置121c、I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d以能够经由内部总线121e而与CPU121a进行数据交换的方式构成。控制器121连接有例如构成为触摸面板等的输入输出装置122。
存储装置121c由例如闪存、HDD(Hard Disk Drive、硬盘驱动器)等构成。在存储装置121c内,以可读取的方式存储有:对衬底处理装置的动作进行控制的控制程序;记载有后述的薄膜形成等衬底处理的步骤、条件等的工艺制程等。工艺制程是以使控制器121执行后述的薄膜形成工序等衬底处理工序的各步骤、并能获得规定结果的方式组合得到的,其作为程序发挥功能。以下,也将该工艺制程、控制程序等统一简称为程序。在本说明书中,在使用了程序这样的措辞时,有时仅单独包含工艺制程,有时仅单独包含控制程序,或者有时包含上述两者。RAM121b以存储区域(工作区)的形式构成,该存储区域暂时保持通过CPU121a读取的程序、数据等。
I/O端口121d与上述MFC241a~241l、阀243a~243l、压力传感器245、APC阀244、真空泵246、温度传感器263、加热器207、匹配器272、高频电源273、旋转机构267、晶舟升降机115等连接。
CPU121a以下述方式构成:从存储装置121c读取并执行控制程序,并且与来自输入输出装置122的操作命令的输入等相应地从存储装置121c读取工艺制程。并且,CPU121a以下述方式构成:按照读取的工艺制程的内容,对利用MFC241a~241l进行的各种气体的流量调节动作、阀243a~243l的开闭动作、APC阀244的开闭动作及利用基于压力传感器245的APC阀244进行的压力调节动作、真空泵246的起动及停止、基于温度传感器263进行的加热器207的温度调节动作、利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作、利用晶舟升降机115进行的晶舟217的升降动作、利用匹配器272进行的阻抗调节动作、高频电源273的电力供给等进行控制。
控制器121不限于以专用的计算机的形式构成的情况,也可以以通用的计算机的形式构成。例如,可准备存储了上述程序的外部存储装置(例如磁带、软盘、硬盘等磁盘;CD、DVD等光盘;MO等光磁盘;USB存储器、存储卡等半导体存储器)123,使用该外部存储装置123向通用的计算机安装程序等,由此构成本实施方式的控制器121。但是,用于向计算机提供程序的手段并不限于经由外部存储装置123而进行提供的情况。例如可使用互联网、专用线路等通信手段而不通过外部存储装置123提供程序。存储装置121c、外部存储装置123可以以计算机可读取的记录介质的形式构成。以下,也将它们统一简称为记录介质。本说明书中使用称为记录介质的措辞时,有时仅单独包含存储装置121c、有时仅单独包含外部存储装置123、或有时包含上述两者。
(2)薄膜形成工序
接下来,作为半导体器件(半导体装置)的制造工序的一个工序,对使用上述衬底处理装置的处理炉202在衬底上形成(成膜)薄膜的顺序例进行说明。在以下说明中,构成衬底处理装置的各部分的动作由控制器121控制。
在本实施方式中,进行通过将下述循环进行规定次数从而在晶片200上形成包含硅(Si)、氧(O)及碳(C)的薄膜的工序,所述循环包括下述工序:
对作为衬底的晶片200供给原料气体的工序,所述原料气体包含作为规定元素的硅(Si)、碳(C)及卤素,并且具有由规定元素和碳形成的化学键(Si-C键);
对晶片200供给氧化气体的工序;和
对晶片200供给催化剂气体的工序。
此时,
在实施了供给催化剂气体的工序的状态下进行供给原料气体的工序,
在实施了供给催化剂气体的工序的状态下进行供给氧化气体的工序。
另外,在本实施方式中,进一步进行下述工序:
在比形成薄膜的工序中的晶片200的温度高的第一温度下对上述薄膜进行热处理、由此从上述薄膜中除去第一杂质的工序;和
在第一温度以上的第二温度下对上述薄膜进行热处理、由此从以第一温度进行了热处理后的上述薄膜中除去与第一杂质不同的第二杂质的工序。
上述热处理在不含氧的气氛下进行。
另外,在本实施方式中,各工序在非等离子体的气氛下进行。
在本实施方式中,为了使要形成的薄膜的组成比为化学计量组成、或与化学计量组成不同的规定的组成比,对包含构成要形成的薄膜的多种元素的多种气体的供给条件进行控制。例如,为了使构成要形成的薄膜的多种元素中的至少一种元素比其他元素过量(相对于化学计量组成而言),对供应条件进行控制。以下,对一边控制构成要形成的薄膜的多种元素的比率即薄膜的组成比、一边进行成膜的顺序例进行说明。
下文将描述形成膜同时控制构成待形成的薄膜的多种元素的比例(即该薄膜的组成比例)的顺序的实施例
以下,使用图4、图5(a)来具体说明本实施方式的成膜顺序。
此处,对下述例子进行说明,即,
在实施了对晶片200供给作为催化剂气体的吡啶气体的工序的状态下,进行对晶片200供给作为原料气体的BTCSM气体的工序,
在实施了对晶片200供给作为催化剂气体的吡啶气体的工序的状态下,进行对晶片200供给作为氧化气体的H2O气体的工序,
进行将包括上述工序的循环进行规定次数(n次)从而在晶片200上形成作为包含Si、O及C的薄膜的碳氧化硅膜(以下也称为SiOC膜)的工序,
在通过对晶片200供给作为非含氧气体的N2气而生成的不含氧的气氛下,在比形成SiOC膜的工序中的晶片200的温度高的第一温度下对SiOC膜进行热处理,由此从SiOC膜中除去第一杂质,
在通过对晶片200供给作为非含氧气体的N2气而生成的不含氧的气氛下,在第一温度以上的第二温度下对SiOC膜进行热处理,由此从以第一温度进行了热处理后的SiOC膜中除去与第一杂质不同的第二杂质。需要说明的是,也可以将所述SiOC膜称为包含C的SiO膜、掺杂(添加)有C的SiO膜。
在本说明书中使用术语“晶片”时,有时指“晶片本身”,有时指“由晶片和形成于其表面的规定层、膜等得到的层合体(集合体)”,也就是说,有时包括形成于表面的规定层或膜等在内地称为晶片。此外,在本说明书中使用术语“晶片的表面”时,有时指“晶片本身的表面(露出面)”,有时指“形成于晶片上的规定层或膜等的表面、即作为层合体的晶片的最外表面”。
对于本说明书中记载有“对晶片供给规定气体”的情形,有时指“对晶片本身的表面(露出面)直接供给规定气体”,有时指“对形成于晶片上的层或膜等、即对作为层合体的晶片的最外表面供给规定气体”。此外,对于本说明书中记载有“在晶片上形成规定层(或膜)”的情形而言,有时指“在晶片本身的表面(露出面)上直接形成规定层(或膜)”,有时指“在形成于晶片上的层或膜等上、即在作为层合体的晶片的最外表面上形成规定层(或膜)”。
此外,本说明书中使用术语“衬底”的情形也与使用术语“晶片”的情形为相同的含义,这种情况下,在上述说明中,可以将“晶片”替换为“衬底”。
(晶片填充及晶舟装载)
在晶舟217中装填(晶片填充)多片晶片200后,如图1所示,通过晶舟升降机115举起支承有多片晶片200的晶舟217,将其搬入(晶舟装载)处理室201内。在该状态下,成为下述状态:密封盖219通过O型环220b将集流管209的下端封闭。
(压力调节及温度调节)
利用真空泵246进行真空排气,以使处理室201内成为所希望的压力(真空度)。此时,处理室201内的压力通过压力传感器245进行测定,基于所述测得的压力信息来反馈控制APC阀244(压力调节)。真空泵246至少在直到对晶片200的处理结束之前的期间维持始终工作的状态。此外,处理室201内的晶片200通过加热器207加热到所希望的温度。此时,基于温度传感器263检测到的温度信息来反馈控制向加热器207的通电情况,以使得处理室201内成为所希望的温度分布(温度调节)。利用加热器207对处理室201内进行的加热至少在直到对晶片200的处理结束之前的期间持续进行。但是,如后文所述,在室温下对晶片200进行处理时,可以不利用加热器207对处理室201内进行加热。接下来,利用旋转机构267开始晶舟217及晶片200的旋转。对于利用旋转机构267进行的晶舟217及晶片200的旋转,至少在直到对晶片200的处理结束之前的期间持续进行。
(SiOC膜形成工序)
之后,依次执行下述2个步骤,即步骤1a、2a。
[步骤1a]
(供给BTCSM气体+吡啶气体)
打开阀243a,在气体供给管232a内流过BTCSM气体。对于BTCSM气体,利用MFC241a进行流量调节,其从气体供给孔250a被供给至处理室201内,并从排气管231排出。此时,对晶片200供给BTCSM气体(BTCSM气体供给)。此时,同时打开阀243j,在气体供给管232j内流过N2气等非活性气体。对于N2气,利用MFC241j进行流量调节,其与BTCSM气体一同被供给至处理室201内,并从排气管231排出。
另外,打开阀243c,在气体供给管232c内流过吡啶气体。对于吡啶气体,利用MFC241c进行流量调节,其从气体供给孔250c被供给至处理室201内,并从排气管231排出。此时,对晶片200供给吡啶气体(吡啶气体供给)。此时,同时打开阀243l,在气体供给管232l内流过N2气等非活性气体。对于N2气,利用MFC241l进行流量调节,其与吡啶气体一同被供给至处理室201内,并从排气管231排出。
另外,为了防止BTCSM气体及吡啶气体向缓冲室237内、喷嘴249b内的侵入,打开阀243k,在气体供给管232k内流过N2气。N2气经由气体供给管232b、喷嘴249b、缓冲室237被供给至处理室201内,并从排气管231排出。
此时,适当调节APC阀244,使处理室201内的压力为例如1~13330Pa、优选133~2666Pa的范围内的压力。用MFC241a控制的BTCSM气体的供给流量为例如1~2000sccm、优选10~1000sccm的范围内的流量。用MFC241c控制的吡啶气体的供给流量为例如1~2000sccm、优选10~1000sccm的范围内的流量。用MFC241j~241l控制的N2气的供给流量分别为例如100~10000sccm的范围内的流量。对晶片200供给BTCSM气体及吡啶气体的时间、即气体供给时间(照射时间)为例如1~100秒、优选5~60秒的范围内的时间。
此时,将加热器207的温度设定为下述温度,所述温度使得晶片200的温度成为例如室温以上且150℃以下、优选室温以上且100℃以下、更优选50℃以上且100℃以下的范围内的温度。在供给BTCSM气体时未供给催化剂气体的情况下,如果晶片200的温度小于250℃,则BTCSM不易化学吸附在晶片200上,存在得不到实用的成膜率的情况。通过如本实施方式那样供给作为催化剂气体的吡啶气体,从而即使晶片200的温度低于250℃,也能够消除上述不良情况。在吡啶气体的存在下,通过使晶片200的温度为150℃以下、进而为100℃以下,能够减少施加于晶片200上的热量,能够良好地控制晶片200所受的热历程。在吡啶气体的存在下,当晶片200的温度为室温以上的温度时,能够使BTCSM充分地吸附在晶片200上,得到充分的成膜率。因此,晶片200的温度最好为室温以上且150℃以下、优选室温以上且100℃以下、更优选50℃以上且100℃以下的范围内的温度。
通过在上述条件下对晶片200供给BTCSM气体,从而在晶片200(表面的基底膜)上形成例如小于1原子层至数原子层左右的厚度的包含C及Cl的含硅层(含Si层)作为第一层。包含C及Cl的含Si层可以是包含C及Cl的硅层(Si层),也可以是BTCSM气体的吸附层,还可以包括所述两者。
所谓包含C及Cl的Si层,是指除了包括由Si构成且包含C及Cl的连续层以外,还包括不连续层、它们能够重叠而成的包含C及Cl的硅薄膜(Si薄膜)的统称。有时也将由Si构成且包含C及Cl的连续层称为包含C及Cl的Si薄膜。对于构成包含C及Cl的Si层的Si,除了与C、Cl的键未完全断开的Si以外,还包括与C、Cl的键完全断开的Si。
对于BTCSM气体的吸附层,除了BTCSM气体的气体分子的连续吸附层以外,还包括不连续的吸附层。即,BTCSM气体的吸附层包括由BTCSM分子构成的1分子层或小于1分子层的厚度的吸附层。构成BTCSM气体的吸附层的BTCSM((SiCl3)2CH2)分子不仅包括在图14(a)中示出化学结构式的分子,还包括Si与C的键部分断开的分子、Si与Cl的键部分断开的分子。即,BTCSM气体的吸附层包括BTCSM分子的化学吸附层、BTCSM分子的物理吸附层。
此处,所谓小于1原子层的厚度的层,是指不连续形成的原子层,所谓1原子层的厚度的层,是指连续形成的原子层。此外,所谓小于1分子层的厚度的层,是指不连续形成的分子层,所谓1分子层的厚度的层,是指连续形成的分子层。需要说明的是,包含C及Cl的含Si层可包括包含C及Cl的Si层和BTCSM气体的吸附层这两者,但如上所述,对于包含C及Cl的含Si层,使用“1原子层”、“数原子层”等表述。
如果形成于晶片200上的作为第一层的包含C及Cl的含Si层的厚度大于数原子层,则后述步骤2a中的氧化作用达不到第一层的整体。另外,能够形成于晶片200上的第一层的厚度的最小值小于1原子层。因此,第一层的厚度优选为小于1原子层至数原子层左右。通过使第一层的厚度为1原子层以下、即1原子层或小于1原子层,能够相对提高后述步骤2a中的氧化反应的作用,也能够缩短步骤2a中的氧化反应所必需的时间。也能够缩短步骤1a中的第一层的形成所必需的时间。结果,能够缩短每1个循环的处理时间,也能够缩短总体处理时间。即,也能够提高成膜率。另外,通过使第一层的厚度为1原子层以下,也能够提高膜厚均匀性的控制性。
在BTCSM气体自分解(热分解)的条件下,即在发生BTCSM的热分解反应的条件下,通过使Si堆积在晶片200上来形成包含C及Cl的Si层。在BTCSM气体不进行自分解(热分解)的条件下,即在不发生BTCSM的热分解反应的条件下,通过使BTCSM气体吸附在晶片200上来形成BTCSM气体的吸附层。与在晶片200上形成BTCSM气体的吸附层相比,在晶片200上形成包含C及Cl的Si层的方式能够提高成膜率,故而优选。但是,在本实施方式中,由于使晶片200的温度为例如150℃以下的低温,所以与在晶片200上形成包含C及Cl的Si层相比,在晶片200上形成BTCSM气体的吸附层的方式有可能占据主导地位。进而,未供给催化剂气体时,在BTCSM气体的吸附层中,对于与晶片200表面等基底形成的的键、BTCSM分子彼此之间形成的键,比化学吸附弱的物理吸附的状态有可能占据主导地位。即,未供给催化剂气体时,BTCSM气体的吸附层中的大部分有可能由BTCSM气体的物理吸附层构成。
作为催化剂气体的吡啶气体减弱存在于晶片200的表面的O-H键的结合力,促进BTCSM气体的分解,促进通过BTCSM分子的化学吸附来形成第一层。即,如图6(a)所示,作为催化剂气体的吡啶气体作用于存在于例如晶片200的表面的O-H键,从而减弱O-H间的结合力。结合力削弱了的H与BTCSM气体的Cl反应,从而生成氯化氢(HCl)气体并脱离,失去了Cl的BTCSM分子(卤代物)化学吸附于晶片200等的表面。即,在晶片200等的表面形成BTCSM气体的化学吸附层。吡啶气体可减弱O-H间的结合力的原因是由于吡啶分子中的具有孤对电子的N原子具有吸引H的作用。对于包含N原子等的规定化合物吸引H的作用的大小,例如可以将上述酸解离常数(pKa)作为指标之一。
如上所述,pKa是以负的常用对数表示从酸中释放氢离子的解离反应中的平衡常数Ka的常数,pKa大的化合物的吸引H的能力强。例如,通过将pKa为5以上的化合物用作催化剂气体,能够促进BTCSM气体的分解从而促进第一层的形成。另一方面,如果催化剂气体的pKa过大,则存在下述情况:从BTCSM分子脱离出来的Cl与催化剂气体键合,由此,生成氯化铵(NH4Cl)等盐(Salt,离子化合物),成为颗粒源。为了抑制上述不良情况,催化剂气体的pKa理想为11左右以下,优选为7以下。吡啶气体的pKa较大,约5.67,吸引H的能力强。另外,由于pKa为7以下,所以也难以产生颗粒。
如上所述,通过与BTCSM气体一同供给作为催化剂气体的吡啶气体,从而即使在例如150℃以下的低温条件下,也能够促进BTCSM气体的分解,并能够以化学吸附层的形成占据主导地位、而不是BTCSM气体的物理吸附层的形成占据主导地位的方式形成第一层。
另外,如上所述,通过使用如BTCSM气体等那样的包含Si、C及卤素且具有Si-C键的原料气体,从而即使在例如150℃以下的较低温度的条件下,也能够在第一层中引入C。所述包含C的第一层在之后进行的步骤2a中被氧化,并能够形成例如包含高浓度C的碳氧化硅层(SiOC层)、所述SiOC层进行层合而成并包含高浓度C的SiOC膜。另外,能够高精度地控制SiOC层、SiOC膜中的C浓度。
此处,对于在低温条件下使用催化剂气体而得到的SiO膜而言,相对于1%浓度的氢氟酸(1%HF水溶液)的湿蚀刻速率(以下也称为WER)例如为约/min。即使是在低温条件下使用等离子体而得到的SiO膜,所述WER例如也为约/min。对于在氧化炉内将硅晶片热氧化而得到的热氧化膜而言,WER例如为约/min,由此可见,在低温条件下进行成膜的SiO膜的WER高3倍以上。数值如此之高的WER表明这些SiO膜的蚀刻耐性比例如热氧化膜差。为了提高蚀刻耐性,理想的是形成例如包含C等的SiO膜、即SiOC膜。
这种情况下,对于较高温度、例如600~800℃下的成膜等而言,例如可以以下述方法形成SiOC膜。即,除了使用HCDS气体、BDEAS气体等形成含Si层的工序、用氧化气体将含Si层氧化从而形成氧化硅层(SiO层)的工序之外,还设置利用热、等离子体将例如丙烯(C3H6)气体等烃系气体激发等来对晶片200进行供给的工序。由此,向含Si层或SiO层中引入C从而形成SiOC层,结果,能够形成SiOC膜。
然而,在如本实施方式那样在例如150℃以下的较低温度下进行成膜时,难以向层中引入C,难以形成SiOC膜。即,存在下述情况:在形成的薄膜中,得不到充分的碳浓度(C浓度),形成例如几乎不含C的SiO膜。因此,存在难以充分提高蚀刻耐性的情况。
因此,在本实施方式中,作为原料气体,使用例如如BTCSM气体等那样的亚烷基卤硅烷系原料气体,即,包含Si、C及卤素且具有Si-C键的原料气体。由此,能够于在晶片200上形成第一层作为初始层的阶段中在第一层中引入C,能够形成具有充分的C浓度的SiOC层、SiOC膜。另外,能够高精度地控制SiOC层、SiOC膜中的C浓度。
(除去残留气体)
在晶片200上形成作为第一层的包含C及Cl的含Si层之后,关闭阀243a,停止供给BTCSM气体。另外,关闭阀243c,停止供给吡啶气体。此时,在保持排气管231的APC阀244打开的状态下,利用真空泵246对处理室201内进行真空排气,将残留在处理室201内的未反应或帮助形成第一层后的BTCSM气体及吡啶气体从处理室201内排除(除去残留气体)。另外,在保持阀243j~243l打开的状态下,维持作为非活性气体的N2气向处理室201内的供给。N2气作为吹扫气体发挥作用,由此,能提高将残留于处理室201内的未反应或帮助形成第一层后的BTCSM气体及吡啶气体从处理室201内排除的效果。
此时,可以不完全排除残留于处理室201内的气体,还可以不完全吹扫处理室201内。若残留于处理室201内的气体为微量,则在之后进行的步骤2a中不会产生不良影响。向处理室201内供给的N2气的流量也不必为大流量,例如,通过供给与反应管203(处理室201)的容积为同等程度的量,就能够以在步骤2a中不产生不良影响的程度进行吹扫。如上所述,通过不完全吹扫处理室201内,可以缩短吹扫时间、提高吞吐量。还能够将N2气的消耗抑制在必要最低限度。
作为包含Si、C及卤素且具有Si-C键的原料气体,除BTCSM气体外,还可以使用BTCSE气体、TCDMDS气体、及DCTMDS气体等。作为催化剂气体,除吡啶气体外,还可以使用氨基吡啶气体、甲基吡啶气体、二甲基吡啶气体、哌嗪、及哌啶气体等环状胺系气体,另外,还可以使用TEA气体、DEA气体、MEA气体、TMA气体、MMA气体等链状胺系气体,另外,还可以使用NH3气等非胺系气体。作为非活性气体,除N2气外,还可以使用Ar气、He气、Ne气、Xe气等稀有气体。
[步骤2a]
(供给H2O气体+吡啶气体)
步骤1a结束并除去处理室201内的残留气体后,打开阀243b,在气体供给管232b流过H2O气体。对于H2O气体,利用MFC241b进行流量调节,其从气体供给孔250b供给至缓冲室237内,然后从气体供给孔250d供给至处理室201内,并从排气管231排出。此时,在非等离子体的气氛下,对晶片200供给H2O气体(H2O气体供给)。此时,同时打开阀243k,气在体供给管232k内流过作为非活性气体的N2气。对于N2气,利用MFC241k进行流量调节,其与H2O气体一同被供给至处理室201内,并从排气管231排出。
另外,与步骤1a中的吡啶气体的供给同样地,对晶片200供给吡啶气体(吡啶气体供给)。
另外,为了防止H2O气体及吡啶气体向喷嘴249a内的侵入,打开阀243j,在气体供给管232j内流过N2气。N2气经由气体供给管232a、喷嘴249a被供给至处理室201内,并从排气管231排出。
此时,适当调节APC阀244,使处理室201内的压力为例如1~13330Pa、优选133~2666Pa的范围内的压力。用MFC241b控制的H2O气体的供给流量为例如1000~10000sccm、优选10~1000sccm的范围内的流量。用MFC241c控制的吡啶气体的供给流量为例如1~2000sccm、优选10~1000sccm的范围内的流量。用MFC241j~241l控制的N2气的供给流量分别为例如100~10000sccm的范围内的流量。对晶片200供给H2O气体及吡啶气体的时间、即气体供给时间(照射时间)为例如1~100秒、优选5~60秒的范围内的时间。对于加热器207的温度,以晶片200的温度成为与步骤1a中的晶片200的温度相同的温度区、即例如室温以上且150℃以下、优选室温以上且100℃以下、更优选50℃以上且100℃以下的范围内的温度的方式进行设定。
供给至处理室201内的H2O气体经热活化,并从排气管231排出。此时,对晶片200供给经热活化后的H2O气体。即,在处理室201内流过的气体是热活化后的H2O气体,而不在处理室201内流过BTCSM气体。因此,H2O气体不发生气相反应,而是以活化后的状态供给至晶片200,并与步骤1a中形成于晶片200上的第一层(包含C及Cl的含Si层)的至少一部分进行反应。由此,第一层被非等离子体热氧化,转变为包含Si、O及C的第二层、即SiOC层。
作为催化剂气体的吡啶气体可减弱H2O气体所具有的O-H键的结合力,促进H2O气体的分解,并促进H2O气体与第一层的反应。即,如图6(b)所示,作为催化剂的吡啶气体作用于H2O气体所具有的O-H键,从而减弱O-H间的结合力。结合力削弱了的H与形成于晶片200上的第一层所具有的Cl反应,从而生成HCl气体并脱离,失去了H的H2O气体的O与Cl脱离而至少残留有C的一部分的第一层的Si进行键合。
另外,在实施了吡啶气体的供给的状态下供给H2O气体的工序(供给H2O气体和吡啶气体的工序)中,可以根据所希望的膜组成等来适当调节供给的吡啶气体的供给量。如果增加吡啶气体的供给量,则吡啶气体的作用升高,H2O气体的氧化性提高,容易切断Si-C键从而使C脱离,结果,SiOC层中的C浓度降低。如果降低吡啶气体的供给量,则吡啶气体的作用减弱,H2O气体的氧化性降低,容易维持Si-C键,结果,SiOC层中的C浓度升高。因此,通过适当调节吡啶气体的供给量,能够使SiOC层中的、以及SiOC层进行层合而成的SiOC膜中的C浓度、硅浓度(Si浓度)、氧浓度(O浓度)等相对地变化。
另外,对于在实施了催化剂气体的供给的状态下供给氧化气体的工序(供给氧化气体和催化剂气体的工序)中供给的催化剂气体的供给量的调节,可以与在实施了上述催化剂气体的供给的状态下供给原料气体的工序(供给原料气体和催化剂气体的工序)中供给的催化剂气体的供给量的调节分别独立进行。即,可以以两个工序中的催化剂气体的供给量相同的方式分别进行调节,还可以以两个工序中的催化剂气体的供给量不同的方式分别进行调节。
另外,通过预先准备多个将催化剂气体的供给量、流量等设定为不同数值的工艺制程(记载有处理步骤、处理条件的程序),能够使催化剂气体的供给量的调节变得容易。操作者(操作员)只要根据所希望的膜组成等适当选择合适的工艺制程、并实施成膜处理即可。
需要说明的是,在例如150℃以下的低温条件下形成的SiOC层中,容易混入水分(H2O)、氯(Cl)等杂质(第一杂质)、烃化合物等烃(CxHy)类杂质(第二杂质)。因此,有在上述SiOC层进行层合而成的SiOC膜中也含有大量水分、Cl等杂质、CxHy类杂质的情况。水分等杂质例如来自用作氧化气体的H2O气体、向处理室201内搬入晶片200时从外部带入的水分等。Cl等杂质例如来自BTCSM分子中的Cl等。CxHy类杂质例如来自BTCSM分子中的C、H、吡啶分子中的C、H。即,也有吡啶的一部分发生分解的情况,这种情况下,吡啶虽然使反应的速度变化,但由于在化学反应的前后其自身的一部分发生变化,所以严格来说并不是催化剂。然而,即使在这种情况下,吡啶的大部分并没有发生分解。即,即使在这种情况下,由于吡啶使反应的速度变化、并在化学反应的前后其自身的大部分未发生变化,所以实质上作为催化剂发挥作用。在本说明书中,将如本实施方式的反应系统中的吡啶那样的、虽然其一部分发生分解但大部分未分解、实质上作为催化剂发挥作用的物质称为催化剂。
(除去残留气体)
之后,关闭阀243b,停止H2O气体的供给。另外,关闭阀243c,停止吡啶气体的供给。此时,在保持排气管231的APC阀244打开的状态下,利用真空泵246对处理室201内进行真空排气,将残留在处理室201内的未反应或帮助反应后的H2O气体、吡啶气体、反应副产物从处理室201内排除(除去残留气体)。另外,在保持阀243j~243l打开的状态下,维持作为非活性气体的N2气向处理室201内的供给。N2气作为吹扫气体发挥作用,由此,能提高将残留于处理室201内的未反应或帮助形成第二层后的H2O气体、吡啶气体、反应副产物从处理室201内排除的效果。
此时,可以不完全排除残留于处理室201内的气体,还可以不完全吹扫处理室201内。若残留于处理室201内的气体为微量,则在之后进行的步骤1a中不会产生不良影响。向处理室201内供给的N2气的流量也不必为大流量,例如,通过供给与反应管203(处理室201)的容积为同等程度的量,就能够以在步骤1a中不产生不良影响的程度进行吹扫。如上所述,通过不完全吹扫处理室201内,可以缩短吹扫时间、提高吞吐量。还能够将N2气的消耗抑制在必要最低限度。
作为氧化气体,除H2O气体外,还可以使用过氧化氢(H2O2)气体、氢(H2)气体+氧(O2)气体、H2气体+臭氧(O3)气体等。另外,还可以单独使用不含氢(H)的气体、即O2气等。作为催化剂气体,除吡啶气体外,还可以使用上述列举的各种胺系气体、或非胺系气体。作为非活性气体,除N2气外,还可以使用上述列举的各种稀有气体。
需要说明的是,本申请发明人认为,如果在本实施方式的气体系统及条件范围内进行综合判断,则遍及各工序作为催化剂气体更优选的是吡啶气体。认为其次优选TEA气体,再其次优选哌啶气体。
(实施规定次数)
将上述步骤1a、2a作为1个循环,将该循环进行1次以上、即规定次数(n次),由此能够在晶片200上形成规定组成及规定膜厚的SiOC膜。上述循环优选重复多次。即,优选的是,使每1次循环形成的SiOC层的厚度小于所希望的膜厚,将上述循环重复多次直到形成所希望的膜厚。
此时,通过控制各步骤中的处理室201内的压力、气体供给时间等处理条件,能够微调节SiOC层中的各元素成分、即Si成分、O成分及C成分的比例、即Si浓度、O浓度及C浓度,能够更严格地控制SiOC膜的组成比。
需要说明的是,当进行多次循环时,至少在第2循环以后的各步骤中,记载为“对晶片200供给规定的气体”的部分是指“对形成于晶片200上的层、即对作为层合体的晶片200的最外表面供给规定的气体”,记载为“在晶片200上形成规定的层”的部分是指“在形成于晶片200上的层上、即在作为层合体的晶片200的最外表面上形成规定的层”。该点如上文所述。需要说明的是,该点在后述的其他实施方式中也相同。
(SiOC膜改质工序)
如上所述形成的SiOC膜是在例如150℃以下的低温条件下形成的膜,如上所述,存在混入例如水分、Cl等杂质、CxHy类杂质的情况。如果在SiOC膜中混入这些杂质,则存在下述情况:SiOC膜的蚀刻耐性降低,另外,介电常数升高,向膜中添加C的效果受损。
因此,在本实施方式中,进行下述工序:
在比形成SiOC膜的工序中的晶片200的温度高的第一温度下对SiOC膜进行热处理,由此从SiOC膜中除去第一杂质(水分、Cl等杂质)的工序(第一热处理工序);和
在第一温度以上的第二温度下对SiOC膜进行热处理,由此从以第一温度进行了热处理后的SiOC膜中除去与第一杂质不同的第二杂质(CxHy类杂质)的工序(第二热处理工序),
进行以至少2个阶段除去SiOC膜中的多种杂质的改质处理。即,以2个阶段进行将SiOC膜改质的处理、即所谓的退火处理。以下,对所述SiOC膜改质工序的顺序例进行说明。
(压力调节及温度调节)
一边反馈控制APC阀244,一边利用真空泵246对处理室201内进行真空排气(压力调节),以使处理室201内成为所希望的压力(真空度)。另外,处理室201内的晶片200通过加热器207加热到所希望的温度、即第一温度。此时,基于温度传感器263检测到的温度信息来反馈控制向加热器207的通电情况,以使得处理室201内成为所希望的温度分布(温度调节)。在该工序中,也利用旋转机构267持续进行晶舟217及晶片200的旋转。
另外,此时,向处理室201内供给作为非含氧气体的N2气,使处理室201内成为不含氧的气氛。此时,可以使用非活性气体供给管232j~232l中的至少一个或全部来供给N2气。此处,例如从全部的非活性气体供给管232j~232l供给N2气。即,打开阀243j~243l,在非活性气体供给管232j~232l内流过N2气。对于在非活性气体供给管232j~232l内流过的N2气,利用MFC241j~241l进行流量调节,其被供给至处理室201内,并从排气管231排出。由此,处理室201内成为N2气气氛、即不含氧的气氛。作为非含氧气体的N2气在之后也作为热处理气体发挥作用。
(第一热处理)
当处理室201内成为具有所希望的压力的N2气气氛,而且晶片200的温度成为所希望的温度、即第一温度后,将该状态保持规定时间,对形成于晶片200上的SiOC膜进行第一热处理。
此时,适当调节APC阀244,使处理室201内的压力为例如133~101325Pa(1~760Torr)、优选10132~101325Pa(76~760Torr)的范围内的压力。用MFC241j~241l控制的N2气的供给流量分别为例如100~10000sccm的范围内的流量。对晶片200上的SiOC膜的热处理时间为例如1~60分钟、优选1~30分钟、更优选1~10分钟的范围内的时间。
此时,将加热器207的温度设定为下述温度,所述温度使晶片200的温度成为比例如形成上述SiOC膜的工序中的晶片200的温度高的第一温度。具体而言,所述温度使晶片200的温度成为比室温~150℃高的温度,例如300℃以上且450℃以下、优选300℃以上且400℃以下、更优选300℃以上且350℃以下的范围内的温度。这样的温度范围是在考虑了下述情形的基础上确定的,所述情形为:不使作为第一杂质的水分、Cl等杂质发生不希望的反应(SiOC膜的氧化等),而使它们从SiOC膜中有效且充分地脱离并除去。
即,如图17(a)、图17(b)所示,如果晶片200的温度低于300℃,则难以使水分(H2O)、Cl等杂质、特别是水分从SiOC膜中脱离并除去,SiOC膜的改质效果降低。例如,由同一附图可知,如果使晶片200的温度为150℃以下,则水分、Cl等杂质几乎不从SiOC膜中脱离。通过使晶片200的温度为300℃以上,能够使水分、Cl等杂质从SiOC膜中充分脱离并除去。
但是,如果晶片200的温度高于450℃,则当水分、Cl等从SiOC膜中脱离时,存在因水分和Cl进行反应而导致SiOC膜被氧化的情况。SiOC膜被氧化将导致SiOC膜的膜收缩率变大。另外,当水分和Cl进行反应时生成HCl,该HCl有时也会导致SiOC膜中所含的Si-Cl键、Si-H键等发生断裂。如果这些键发生断裂,则在SiOC膜中产生不需要的吸附位点,引起已从SiOC膜中脱离的物质(脱离物质)向所述吸附位点的再吸附。即,来自SiOC膜中的脱离物质吸附在上述键断裂并具有悬挂键(dangling bond,未连接键)的Si上。如上所述形成的Si与脱离物质的键较弱且不稳定,所述脱离物质将作为杂质残留在SiOC膜中。作为脱离物质,可举出水分、Cl、CxHy类杂质、它们分解而成的物质等。
另外,在上述温度区、即高于450℃的温度区中,如图17(c)所示,CxHy类杂质也从SiOC膜中脱离,当所述脱离后的CxHy类杂质通过SiOC膜中时,与Cl进行反应,从而引起C向SiOC膜中的吸附位点的再吸附。即,因CxHy类杂质与Cl的反应而导致来自CxHy类杂质的C吸附在构成SiOC膜的任一种元素(原子)、例如Si的悬挂键上。如上所述形成的C与Si的键较弱且不稳定,所以来自CxHy类杂质的C不会成为构成SiOC膜的成分,而是作为杂质残留在SiOC膜中。需要说明的是,当C再吸附于SiOC膜中的吸附位点时,C有时单独再吸附于所述吸附位点,有时也以CxHy的形式再吸附于所述吸附位点。
由此可见,在所述温度区、即高于450℃的温度区中,上述不希望的反应会导致SiOC膜的膜收缩率变大,另外,无法使杂质从SiOC膜中充分脱离并除去。结果,无法充分降低SiOC膜的k值。
通过使晶片200的温度为300℃以上且450℃以下,能够抑制上述不希望的反应,并且能够使水分、Cl等杂质从SiOC膜中充分脱离并除去。即,能够抑制水分、Cl等从SiOC膜中脱离时的、由水分与Cl的反应导致的SiOC膜的氧化,抑制SiOC膜的膜收缩率的增大。另外,能够抑制由通过水分与Cl的反应而生成的HCl导致的SiOC膜中的Si-Cl键、Si-H键等的断裂,抑制不需要的吸附位点的生成、脱离物质向所述吸附位点的再吸附。另外,能够抑制CxHy类杂质从SiOC膜中脱离时的、由CxHy类杂质于Cl的反应导致的再吸附。需要说明的是,如图17(c)所示,特别是当晶片200的温度为400℃左右时,CxHy类杂质的脱离量达到峰值。因此,通过使晶片200的温度为400℃以下、优选350℃以下,能够抑制CxHy类杂质的脱离。即,能够减少CxHy类杂质的脱离量。由此,能够减少从SiOC膜脱离的CxHy类杂质的绝对量,能够进一步抑制由CxHy类杂质与Cl的反应导致的C的再吸附。
即,通过使晶片200的温度在上述温度区、即300℃以上且450℃以下的温度区内,能够减小SiOC膜的膜收缩率,能够抑制从SiOC膜中脱离后的包含C、CxHy的脱离物质向SiOC膜中的吸附位点的再吸附,能够使杂质、特别是水分、Cl等杂质从SiOC膜中充分地脱离并除去。
需要说明的是,如上所述,通过使晶片200的温度在上述温度区、即300℃以上且450℃以下的温度区内,水分、Cl等杂质从SiOC膜中脱离从而被除去,不仅如此,CxHy类杂质的至少一部分也发生脱离从而被除去。另外,此时,如果在上述温度区内,能够抑制由从SiOC膜中脱离后的CxHy类杂质与Cl的反应导致的C向SiOC膜中的吸附位点的再吸附。即,通过设为上述温度区,能够抑制从SiOC膜中暂时脱离后的CxHy类杂质中的C向SiOC膜中的吸附位点的再吸附。
综上,最好使晶片200的温度为300℃以上且450℃以下、优选300℃以上且400℃以下、更优选300℃以上且350℃以下的范围内的温度。
通过在上述条件下对SiOC膜实施第一热处理,能够抑制上述不希望的反应,并且能够使SiOC膜中的水分、Cl等杂质从SiOC膜中充分脱离并除去。另外,能够抑制上述不希望的反应,并且能够使SiOC膜中的CxHy类杂质的至少一部分从SiOC膜中脱离并除去。通过将SiOC膜中的上述杂质从SiOC膜中除去,从而SiOC膜被改质,与进行第一热处理前的SiOC膜相比,SiOC膜的蚀刻耐性提高,另外,介电常数降低,能够使SiOC膜的膜质(膜特性)提高。
但是,在第一热处理工序结束后的阶段,即,使水分、Cl等杂质从SiOC膜中充分除去的阶段,存在CxHy类杂质残留在SiOC膜中的情况。即,在上述温度区中,虽然水分、Cl等杂质、CxHy类杂质从SiOC膜中脱离并被除去,但与CxHy类杂质相比,水分、Cl等杂质先被除去,在水分、Cl等杂质的大部分被除去的阶段中,存在CxHy类杂质还残留在SiOC膜中的情况。并且,在该阶段中,存在因残留在SiOC膜中的CxHy类杂质而导致无法充分降低SiOC膜的k值的情况。因此,在后述的第二热处理工序中,通过在第一温度以上的第二温度下对SiOC膜进行热处理,由此从以第一温度进行了热处理后的SiOC膜中除去残留在SiOC膜中的CxHy类杂质。结果,能够充分降低SiOC膜的k值。
(第二热处理)
第一热处理结束后,即,使水分、Cl等杂质从SiOC膜中充分脱离并除去后,将晶片200的温度从第一温度变更为第二温度。第二温度为第一温度以上的温度。即,第二温度为高于第一温度的温度或与第一温度同等的温度。处理室201内的气氛维持为具有与第一热处理工序相同的所希望的压力的N2气气氛。
晶片200的温度成为所希望的温度、即第二温度后,将该状态保持规定时间,对进行了第一热处理后的SiOC膜进行第二热处理。即,在第二温度下,对以第一温度进行了第一热处理后的SiOC膜进行第二热处理。
此时,适当调节APC阀244,使处理室201内的压力为例如133~101325Pa(1~760Torr)、优选10132~101325Pa(76~760Torr)的范围内的压力。用MFC241j~241l控制的N2气的供给流量分别为例如100~10000sccm的范围内的流量。对晶片200上的SiOC膜的热处理时间为例如1~120分钟、优选1~60分钟、更优选1~30分钟的范围内的时间。
此时,将加热器207的温度设定为下述温度,所述温度使晶片200的温度成为例如上述第一热处理工序中的第一温度以上的第二温度。具体而言,所述温度使晶片200的温度为例如300℃以上且900℃以下、优选350℃以上且700℃以下、更优选400℃以上且700℃以下、进一步优选450℃以上且600℃以下的范围内的温度。这样的温度范围是在考虑了下述情形的基础上确定的,所述情形为:使作为第二杂质的CxHy类杂质从SiOC膜中有效且充分地脱离并除去的情形、晶片200所承受的热负荷、热历程等。
即,如图17(c)所示,如果晶片200的温度低于300℃,则难以使C2H2等CxHy类杂质从SiOC膜中脱离并除去,SiOC膜的改质效果降低。例如,由同一附图可知,如果使晶片200的温度为200℃以下,则CxHy类杂质几乎不从SiOC膜中脱离。通过使晶片200的温度为300℃以上,能够使CxHy类杂质从SiOC膜中充分脱离并除去。但是,在使晶片200的温度为300℃时,存在直到使CxHy类杂质从SiOC膜中充分脱离为止要花费大量时间的情况。通过使晶片200的温度为350℃以上,能够缩短直到使CxHy类杂质从SiOC膜中充分脱离为止的时间。需要说明的是,如图17(c)所示,特别是当晶片200的温度为400℃左右时,CxHy类杂质的脱离量达到峰值。因此,通过使晶片200的温度为400℃以上,能够促进CxHy类杂质的脱离。即,能够使CxHy类杂质从SiOC膜中有效地脱离。另外,也能够进一步缩短直到使CxHy类杂质从SiOC膜中充分脱离为止的时间。
需要说明的是,在进行第二热处理工序的阶段中,SiOC膜中的水分、Cl等杂质已经被除去,不会发生上述那样的不希望的反应。即,不会发生下述不希望的反应:由水分与Cl的反应导致的SiOC膜的氧化、由此导致的SiOC膜的膜收缩率的增大、由水分与Cl的反应导致的HCl的生成、由HCl导致的SiOC膜中的Si-Cl键、Si-H键等的断裂、由此导致的不需要的吸附位点的产生、脱离物质向所述吸附位点的再吸附、由CxHy类杂质与Cl的反应导致的C向吸附位点的再吸附等。其原因在于,第二温度虽然包括能够发生不希望的反应的温度区(高于450℃的温度区),但在进行第二热处理工序的阶段中,不产生使不希望的反应发生的物质(水分、Cl等)。需要说明的是,通过使晶片200的温度为450℃以上,也能够促进来自SiOC膜中的CxHy类杂质的脱离,故而优选。与使晶片200的温度为300~350℃的情形相比,通过使晶片200的温度为450℃以上,能够促进来自SiOC膜中的CxHy类杂质的脱离。
需要说明的是,如果晶片200的温度高于900℃,则热负荷变得过大,可能会对形成于晶片200上的半导体装置的电气特性等造成影响。通过使晶片200的温度至少为900℃以下,能够抑制由所述热负荷导致的对电气特性等的影响。需要说明的是,当热处理对象的形成有SiOC膜的晶片200用于存储装置时,能够耐受900℃左右的热。另外,在所述晶片200用于逻辑装置(logic device)时,也能够耐受700℃左右的热。使晶片200的温度进一步为600℃以下时,容易更可靠地避免装置结构等的热损伤。
综上,晶片200的温度最好为300℃以上且900℃以下、优选350℃以上且700℃以下、更优选400℃以上且700℃以下、进一步优选450℃以上且600℃以下的范围内的温度。需要说明的是,第二温度为第一温度以上的温度即可。即,第二温度可以是高于第一温度的温度,还可以是与第一温度同等的温度。例如,可以使第一温度为300~400℃,使第二温度为450~600℃。这种情况下,能够更可靠地防止上述不希望的反应。另外,例如,可以使第一温度及第二温度为相同温度,例如400~450℃的范围内的温度。使第一温度及第二温度为400~450℃的范围内的温度时,能够抑制上述不希望的反应,并且,能够以相同温度、即不变更晶片200的温度地连续进行第一热处理和第二热处理。
通过在上述条件下对SiOC膜实施第二热处理,能够抑制上述不希望的反应,并且能够使SiOC膜中的CxHy类杂质从SiOC膜中充分脱离并除去。通过将SiOC膜中的所述杂质从SiOC膜中除去,从而SiOC膜被进一步改质,与进行了第一热处理后且进行第二热处理前的SiOC膜相比,SiOC膜的蚀刻耐性进一步提高,另外,介电常数进一步降低,能够进一步提高SiOC膜的膜质(膜特性)。根据本实施方式的方法,确认到能够使SiOC膜的介电常数(k值)降低到2.7左右。
如上所述,在本实施方式中,首先,在不发生不希望的反应的第一温度下,对SiOC膜进行热处理,由此不使不希望的反应发生,并从SiOC膜中除去作为第一杂质的水分、Cl等杂质。然后,在从SiOC膜中除去作为第一杂质的水分、Cl等杂质后,在包括能够发生不希望的反应的温度区的第二温度下,在不存在(产生)使不希望的反应发生的物质的气氛下,对SiOC膜进行热处理,由此不使不希望的反应发生,从以第一温度进行了热处理后的SiOC膜中除去作为第二杂质的CxHy类杂质。
即,在本实施方式中,首先,在不发生不希望的反应的温度区(第一温度)中,对SiOC膜进行热处理,由此不使不希望的反应发生,并从SiOC膜中除去使不希望的反应发生的物质即水分、Cl等杂质(第一杂质)。然后,在从SiOC膜中除去使不希望的反应发生的物质即水分、Cl等杂质(第一杂质)之后,在包括能够发生不希望的反应的温度区的温度区(第二温度)中,在不存在(产生)使不希望的反应发生的物质即水分、Cl等杂质(第一杂质)的气氛下,对SiOC膜进行热处理,由此不使不希望的反应发生,从在不发生不希望的反应的温度区(第一温度)中进行了热处理后的SiOC膜中除去CxHy类杂质(第二杂质)。
也可以将本实施方式中的上述热处理称为2阶段热处理(多阶段热处理)。另外,也可以称为2阶段退火(多阶段退火)、2阶段改质处理(多阶段改质处理)、2阶段杂质除去处理(多阶段杂质除去处理)等。
需要说明的是,在第一热处理工序及第二热处理工序中,利用作为非含氧气体的N2气将处理室201内形成不含氧的气氛,因此,即使在高于上述那样的成膜温度的温度下进行热处理,也能够抑制SiOC膜中的O浓度升高从而大于所希望的浓度,即,能够抑制SiOC膜的氧化过度进行。另外,由于使处理室201内为不含氧的气氛,所以能够抑制随着氧化的进行等SiOC膜中的C浓度下降至低于所希望的浓度,即,能够抑制C从SiOC膜中脱离。此时,也可以认为N2气等非含氧气体作为热处理气体发挥作用。另外,也可以认为N2气等成为运载从SiOC膜中脱离后的杂质的载气。即,也可以认为非含氧气体促进上述杂质从SiOC膜中、处理室201内的排出,由此,作为促进SiOC膜的改质的退火气体发挥作用。
需要说明的是,为了将处理室201内形成不含氧的气氛,例如可以不对晶片200供给N2气等非含氧气体,而是利用作为生成不含氧的气氛的气氛生成部的排气系统对处理室201内进行真空排气。由此,包含有氧(O)成分的绝大部分成分从处理室201内的气氛中排出并被除去。但是,如上所述,通过一边对处理室201内进行排气,一边进一步对晶片200供给N2气等非含氧气体,能够进一步促进例如残留于处理室201内的O成分的排出,更容易使处理室201内成为不含氧的气氛。另外,即使从构成处理室201的处理容器的内壁、由外部带入的晶片200产生包含O成分的逸出气体,也容易通过由N2气产生的稀释效果来保持处理室201内的不含氧的气氛。如上所述,所谓不含氧的气氛,包括处理室201内的气氛中的O浓度降低至不对处理对象的SiOC膜造成影响的程度的状态。
需要说明的是,除去SiOC膜中的杂质的SiOC膜的改质处理(退火处理)主要在晶片200的温度以所希望的温度被稳定地维持的热处理期间进行。但是,即使在调节上述晶片200的温度的工序(从成膜温度变更为第一温度的工序,从第一温度变更为第二温度的工序等)中使晶片200升温时、或者在后述的对处理室201内进行吹扫的工序中使晶片200降温时,也能够在晶片200的温度被保持为能够进行SiOC膜中的杂质的除去的温度的期间进行SiOC膜的改质处理。因此,所谓对SiOC膜进行改质的工序,主要是指对SiOC膜进行热处理的工序,但在对SiOC膜进行改质的工序中可以包括调节晶片200的温度的工序及对处理室201内进行吹扫的工序中的至少一部分期间。换言之,所谓对SiOC膜进行改质的工序,是指从晶片200的温度达到改质处理所必需的温度开始直到维持为上述所希望的温度规定时间、并即将达到小于改质处理所必需的温度之前为止的期间。
作为非含氧气体,除N2气外,还可以使用Ar气、He气、Ne气、Xe气等稀有气体。
(吹扫及恢复大气压)
将SiOC膜中的水分、Cl等杂质、CxHy类杂质从SiOC膜中除去,并进行了将SiOC膜改质的处理后,在保持阀243j~243l打开的状态下,分别从非活性气体供给管232j~232l向处理室201内供给作为非活性气体的N2气,并从排气管231排出。N2气作为吹扫气体发挥作用,由此,用非活性气体对处理室201内进行吹扫,将残留在处理室201内的气体、包含从SiOC膜脱离后的杂质等物质的气体等从处理室201内除去(吹扫)。之后,处理室201内的气氛被置换为非活性气体(置换非活性气体),处理室201内的压力被恢复至常压(恢复大气压)。
另外,使晶片200降温,以使晶片200的温度成为例如小于200℃、优选室温左右的温度。即,调节对加热器207的通电情况、或者停止向加热器207的通电,从而使晶片200的温度降低。通过将所述晶片200的降温与上述吹扫及大气压恢复平行地进行,能够利用N2气等吹扫气体的冷却效果,在更短时间内使晶片200的温度降低至规定温度。
(晶舟卸载及晶片取出)
之后,利用晶舟升降机115使密封盖219下降,使集流管209的下端开口,并且,将处理完毕后的晶片200在支承于晶舟217的状态下从集流管209的下端搬出到反应管203的外部(晶舟卸载)。之后,将处理完毕的晶片200从晶舟217上取下(晶片取出)。
(3)由本实施方式带来的效果
根据本实施方式,能获得以下所示的1种或多种效果。
(a)根据本实施方式的成膜顺序,在SiOC膜形成工序的步骤1a中,在实施了吡啶气体的供给的状态下对晶片200供给BTCSM气体。如上所述,通过使用包含规定元素(Si)、C及卤素(Cl)且具有规定元素(Si)和C的化学键(Si-C键)的原料气体,特别是1分子中包含C、Cl及至少2个Si且具有Si-C键的原料气体,能够形成含有高浓度C的膜、即具有高的C浓度的SiOC膜。另外,能够高精度地控制SiOC膜中的C浓度。因此,能够得到例如蚀刻耐性高的SiOC膜。
(b)另外,根据本实施方式的成膜顺序,在SiOC膜改质工序中,进行下述工序:在比形成SiOC膜的工序中的晶片200的温度高的第一温度下对SiOC膜进行热处理、由此从SiOC膜中除去第一杂质(水分、Cl等杂质)的工序(第一热处理工序);和在第一温度以上的第二温度下对SiOC膜进行热处理、由此从以第一温度进行了热处理后的SiOC膜中除去与第一杂质不同的第二杂质(CxHy类杂质)的工序(第二热处理工序)。由此,即使在水分、Cl等杂质、CxHy类杂质混入在例如150℃以下的低温条件下形成的SiOC膜的情况下,也能够将所述杂质从SiOC膜中除去,并对SiOC膜进行改质。因此,与进行SiOC膜改质工序前的处于刚沉积完毕(as depo)的状态的SiOC膜相比,能够提高SiOC膜的蚀刻耐性,另外,降低SiOC膜的介电常数。即,能够提高SiOC膜的膜质。
(c)另外,根据本实施方式的成膜顺序,通过进行SiOC膜形成工序及SiOC膜改质工序这一系列处理,能够形成多孔(porous)状的膜。即,能够将SiOC膜多孔化。在由SiOC膜形成工序形成的SiOC膜中,至少存在Si-C键和Si-O键。Si和C的键距离大于Si和O的键距离。因此,与SiO2膜相比,SiOC膜因Si-C键向膜中的导入而导致原子间距离变大,膜密度变得稀疏。另外,在SiOC膜中,也有存在Si-C-Si键的情形,这种情况下,膜密度变得更稀疏。也可以认为在这些膜密度变得稀疏的部分产生微小的孔(洞)、即微小的空间。即,由SiOC膜形成工序形成的SiOC膜在刚沉积完毕的状态下形成多孔状的膜。另外,在SiOC膜改质工序中,当水分、Cl等杂质、CxHy类杂质从SiOC膜中脱离时,在这些杂质脱落的部分产生微小的孔(洞)、即微小的空间。即,与处于刚沉积完毕的状态的SiOC膜相比,通过SiOC膜改质工序进行改质后的SiOC膜成为多孔化进一步发展的多孔状的膜。并且,通过以上述处理条件进行第一热处理工序及第二热处理工序,能够维持SiOC膜的多孔状态。需要说明的是,如果发生上述不希望的反应,则SiOC膜的膜收缩率变大,难以维持SiOC膜的多孔状态。因此,为了维持SiOC膜的多孔状态,也优选以上述处理条件进行第一热处理工序及第二热处理工序。通过将SiOC膜形成多孔状态的膜,与进行SiOC膜改质工序之前的处于刚沉积完毕的状态的SiOC膜相比,能够降低SiOC膜的介电常数。即,能够提高SiOC膜的膜质。
(d)另外,根据本实施方式的成膜顺序,能够形成具有比SiO2膜的介电常数(k值)低的介电常数(k值)的SiOC膜。即,根据本实施方式的成膜顺序,利用SiOC膜形成工序形成多孔状的SiOC膜,利用SiOC膜改质工序将SiOC膜进一步多孔化,并且维持上述多孔状态。另外,利用SiOC膜改质工序,从SiOC膜中除去水分、Cl等杂质、CxHy类杂质。水分(H2O)等杂质由于具有永久性偶极矩,所以为根据电场改变方向、且提高介电常数的物质,这些杂质的除去对介电常数降低有较大贡献。由此,即通过SiOC膜的多孔化和除去提高介电常数的物质,能够获得具有比SiO2膜的介电常数低的介电常数的SiOC膜。根据本实施方式的成膜顺序,确认到能够使SiOC膜的介电常数降低至3.0以下,具体而言,降低至2.68。
然而,考虑在晶体管、作为新一代存储器正在开发的ReRAM、MRAM中,使用作为满足低温成膜、低WER(高蚀刻耐性)、低介电常数等的薄膜,例如在氮化硅膜(SiN膜)中添加有C的碳氮化硅膜(SiCN膜)、在上述膜中进一步添加有O的氧碳氮化硅膜(SiOCN膜)等薄膜。另一方面,如果想进一步提高上述薄膜的蚀刻耐性、进一步降低介电常数,则需要增加膜中的C浓度、O浓度,降低N浓度。然而,在例如交替供给各种气体进行成膜的上述那样的方法中,并且在低温区域中,将N浓度抑制到例如杂质水平、使膜中含有高浓度的C是困难的。
在本实施方式中,在SiOC膜的成膜时,在例如150℃以下的低温下进行处理。即使在这样的低温条件下,通过使用包含Si、C及Cl且具有Si-C键的原料气体,也能够提高或高精度地控制薄膜中的C浓度。
(4)本实施方式的变形例
接下来,使用图5(b)及图7来说明本实施方式的变形例。
(变形例)
于在实施了上述催化剂气体的供给的状态下供给原料气体的工序中,通过选择供给的原料气体的种类,能够控制SiOC层中的、以及SiOC层进行层合而成的SiOC膜中的C浓度。
即,于在实施了催化剂气体的供给的状态下供给原料气体的工序中,作为原料气体,通过从分子结构各不相同的多种原料气体中选择特定的原料气体并进行供给,能够控制SiOC层、SiOC膜中的C浓度。
为了从多种原料气体中选择特定的原料气体并进行供给,通过从分别供给分子结构各不相同的多种原料气体的多条供给管线中选择特定的供给管线,能够供给特定的原料气体。如上所述,在本实施方式的成膜顺序的例子中,通过从BTCSM气体供给管线、TCDMDS气体供给管线等中选择BTCSM气体供给管线,从而供给BTCSM气体作为特定的原料气体。另外,如图5(b)所示,在本实施方式的变形例的成膜顺序例子中,通过从BTCSM气体供给管线、TCDMDS气体供给管线等中选择TCDMDS气体供给管线,从而供给TCDMDS气体作为特定的原料气体。如上所述,通过使用TCDMDS气体来代替BTCSM气体,能够获得与上述实施方式中得到的SiOC膜的C浓度不同的C浓度的SiOC膜。
此处,以下,说明TCDMDS气体对晶片200的供给步骤。此外,H2O气体、吡啶气体等的供给步骤及处理条件与上述实施方式相同,故省略说明。
打开阀243d,在气体供给管232d内流过TCDMDS气体。对于TCDMDS气体,利用MFC241d进行流量调节,其从气体供给孔250a被供给至处理室201内,并从排气管231排出。此时,对晶片200供给TCDMDS气体(供给TCDMDS气体)。此时,同时打开阀243j,在气体供给管232j内流过N2气等非活性气体。对于N2气,利用MFC241j进行流量调节,其与TCDMDS气体一同被供给至处理室201内,并从排气管231排出。
通过与TCDMDS气体一同对晶片200供给吡啶气体,从而减弱存在于晶片200的表面的O-H键的结合力,促进TCDMDS气体的分解,促进由TCDMDS分子的化学吸附导致的包含C及Cl的含Si层的形成。如上所述,吡啶气体对TCDMDS气体也表现出与上述BTCSM气体的情形相同的催化作用。
需要说明的是,对于此时的处理室201内的压力、原料气体、催化剂气体、N2气等各气体的供给流量、供给时间、晶片200的温度等处理条件,例如可以为与上述实施方式的处理条件相同的范围内的处理条件。另外,当供给TCDMDS气体、吡啶气体时,与上述实施方式同样地供给N2气,防止气体侵入到不使用的喷嘴249b内、缓冲室237内。
作为能够通过所选择的原料气体的种类来控制SiOC膜中的C浓度的1个主要原因,例如认为是各原料气体的分子结构中的C的配置的差异。即,在1分子中具有Si-C-Si键、Si-C-C-Si键的亚烷基卤硅烷系原料气体即BTCSM气体、BTCSE气体等为C被Si夹持的分子结构。因此,大量的Cl与剩余的Si的连接键进行键合的状态被维持。例如,对于BTCSM气体、BTCSE气体,Cl均与Si的4个连接键中的3个连接键进行键合。认为在分子中大量含有的Cl使BTCSM气体、BTCSE气体等的反应性提高。由此,通过使用BTCSM气体、BTCSE气体等,从而使例如SiOC膜的成膜率提高。另外,通过利用所含有的Cl使BTCSM气体、BTCSE气体等的反应性及成膜率提高,从而使用了BTCSM气体、BTCSE气体等的成膜处理的可使用的条件范围(工艺窗口)也扩大。如上所述,由于能够从宽范围的工艺窗口内选择能得到例如所希望的C浓度的成膜条件,所以结果是容易提高SiOC膜中的C浓度。另外,也能够提高SiOC膜中的C浓度的控制性。需要说明的是,虽然BTCSM气体中所含的C的数量比例如TCDMDS气体等少,但认为该情况不会对SiOC膜中的C浓度的提高造成不利影响。本申请发明人确认到:与使用了TCDMDS气体的情形相比,使用了BTCSM气体的方式比较容易实现C浓度的提高。
另外,甲基等烷基与Si键合而成的烷基卤硅烷系原料气体即TCDMDS气体、DCTMDS气体等采用氯硅烷系原料气体的一部分的氯基被甲基取代的分子结构。如上所述,当气体分子中的Cl的数量减少时,在上述TCDMDS气体、DCTMDS气体等中,反应较缓慢地进行,容易得到更致密的SiOC膜。因此,即使是例如适当地抑制了C浓度的SiOC膜,也容易维持高蚀刻耐性。需要说明的是,通过TCDMDS气体和DCTMDS气体的比较,确认到分子中包含大量甲基、即C的DCTMDS气体更有利于提高C向膜中的摄入量。
综上,在进行上述工序时,通过选择并供给BTCSM气体、BTCSE气体等,能够形成例如包含更高浓度的C的SiOC层。或者通过选择并供给TCDMDS气体、DCTMDS气体等,能够一边维持蚀刻耐性一边形成适当地抑制了C浓度的SiOC层。即,通过从多种原料气体中选择特定的原料气体并进行供给,能够高精度地控制SiOC层中、即SiOC膜中的C浓度。
同样地,在实施了催化剂气体的供给的状态下供给氧化气体的工序中,也能够根据所希望的膜组成等来适当选择供给的催化剂气体的种类。如上所述,认为对于具有各不相同的分子结构的催化剂气体,例如催化作用的强度也不同。作为能够通过催化剂气体的种类的选择来控制SiOC膜的膜组成等的1个主要原因,认为可能在于所述催化作用的强度的差异。例如通过选择pKa值(作为催化作用的指标)大的催化剂气体,从而氧化气体的氧化性提高,Si-C键被切断,存在C浓度降低的趋势变强的情况。另外,例如通过选择pKa小的催化剂气体,从而氧化气体的氧化性降低,Si-C键被维持,存在C浓度提高的趋势变强的情况。另外,作为能够控制SiOC膜的膜组成等的其他主要原因,认为是各种催化剂气体、生成的盐等与催化反应相关的各种物质的蒸气压的差异、或上述pKa值、蒸气压的差异等相互配合的复合要因等。如上所述,通过从分子结构各不相同的多种催化剂气体中选择特定的催化剂气体并进行供给,能够控制例如SiOC层、SiOC膜中的C浓度。此时,通过从分别供给分子结构各不相同的多种催化剂气体的多条供给管线中选择特定的供给管线,能够供给特定的催化剂气体。如上所述,在本实施方式的成膜顺序的例子中,通过从吡啶气体供给管线、TEA气体供给管线等中选择吡啶气体供给管线,从而供给吡啶气体作为特定的催化剂气体。
需要说明的是,在以实施了催化剂气体的供给的状态供给氧化气体的工序中,供给的催化剂气体的种类可以与在上述以实施了催化剂气体的供给的状态供给原料气体的工序中供给的催化剂气体的种类相同,也可以不同。
需要说明的是,在选择上述原料气体、催化剂气体的种类时,也可以通过控制SiOC膜中的C浓度从而使Si浓度及O浓度发生相对变化。即,可以使SiOC膜的组成在整体上发生变化,另外,也可以基于在整体上控制SiOC膜的组成的目的来选择上述原料气体的种类。
另外,在将上述步骤1a、2a的循环进行多次时,还可以在中途变更原料气体、催化剂气体的种类。另外,在将步骤1a、2a的循环进行多次时,还可以在中途变更上述催化剂气体的供给量。
即,在变更原料气体的种类的情况下,在形成SiOC膜的工序中将步骤1a、2a的循环进行多次,在将该循环进行多次时,在中途,在以实施了催化剂气体的供给的状态供给原料气体的工序中变更供给的原料气体的种类。
由此,可以使SiOC膜中的C浓度在膜厚方向上发生变化。需要说明的是,根据所希望的膜组成等,可以将原料气体的种类的变更仅进行1次,也可以进行多次。另外,使用的原料气体可以为2种,也可以为3种以上。使用的原料气体的组合可以从包含Si、C及卤素且具有Si-C键的原料气体中任意选择。原料气体的使用顺序是任意的。
另外,在变更催化剂气体的种类的情况下,在形成SiOC膜的工序中将步骤1a、2a的循环进行多次,在将该循环进行多次时,在中途,在以实施了催化剂气体的供给的状态供给氧化气体的工序中变更供给的催化剂气体的种类。
由此,可以使SiOC膜中的C浓度在膜厚方向上发生变化。需要说明的是,根据所希望的膜组成等,可以将催化剂气体的种类的变更仅进行1次,也可以进行多次。另外,使用的催化剂气体可以为2种,也可以为3种以上。使用的催化剂气体的组合、顺序是任意的。
另外,在变更催化剂气体的供给量的情况下,在形成SiOC膜的工序中将步骤1a、2a的循环进行多次,在将该循环进行多次时,在中途,在以实施了催化剂气体的供给的状态供给氧化气体的工序中变更供给的催化剂气体的供给量。
由此,可以使SiOC膜中的C浓度在膜厚方向上发生变化。需要说明的是,根据所希望的膜组成等,可以将催化剂气体的供给量从小流量变更为大流量,也可以从大流量变更为小流量。另外,根据所希望的膜组成等,可以将上述催化剂气体的供给量的变更仅进行1次,也可以进行多次。此时,可以使催化剂气体的供给量从小流量到大流量、或从大流量到小流量进行阶段地上升或下降,或者可以通过适当任意的组合使其上下地变化。
如上所述,通过使SiOC膜中的C浓度在膜厚方向发生变化,从而在膜厚方向上适当控制SiOC膜的C浓度、其他Si浓度、O浓度,更容易得到具有所希望的组成的SiOC膜。因此,能够得到例如在膜厚方向上蚀刻耐性不同的SiOC膜。
如上所述,本实施方式及变形例的衬底处理装置构成为:具有多条原料气体供给管线,能够从分子结构各不相同的多种原料气体中选择特定的原料气体并进行供给。另外,本实施方式及变形例的衬底处理装置构成为:具有多条催化剂气体供给管线,能够从分子结构各不相同的多种催化剂气体中选择特定的催化剂气体并进行供给。由此,根据所希望的膜组成等,容易从多种气体中选择特定的原料气体、催化剂气体并进行供给。因此,可以用1台衬底处理装置通用地且再现性良好地形成具有多种组成比、多种膜质的SiOC膜。另外,能够确保气体种的追加、替换等时的装置运用的自由度。
另外,在本实施方式及变形例的衬底处理装置中,对于用于上述各种薄膜的成膜的工艺制程(记载有处理步骤、处理条件的程序),可以针对原料气体、催化剂气体的种类、即不同的气体系统预先准备多个工艺制程。另外,在本实施方式及变形例的衬底处理装置中,可以预先准备将催化剂气体的供给量、流量等设定为不同数值的多个工艺制程。即,在本实施方式及变形例的衬底处理装置中,可以针对不同的处理条件预先准备多个工艺制程。由此,根据所希望的膜组成等从多种气体中选择特定的原料气体、催化剂气体并进行供给,另外,容易调节催化剂气体的供给量等。操作者只要根据所希望的膜组成等从多个工艺制程中适当选择合适的工艺制程并实施成膜处理即可。因此,能够用1台衬底处理装置通用地且再现性良好地形成具有多种组成比、多种膜质的SiOC膜。另外,可以降低操作者的操作负担(处理步骤、处理条件的输入负担等),避免操作失误,同时可以迅速地开始
(其他变形例)
在上述实施方式中,在将处理涉及的晶片200收纳于相同的处理室201内的状态下,进行了SiOC膜形成工序和SiOC膜改质工序。在本变形例中,将处理涉及的晶片200收纳在各不相同的处理室内,进行SiOC膜形成工序和SiOC膜改质工序。
即,如图7所示,例如与上述实施方式同样地,在本实施方式的衬底处理装置(以下也称为第一衬底处理部)所具备的处理室201(以下也称为第一处理室)内进行SiOC膜形成工序。利用第一控制部控制构成第一衬底处理部的各部分的动作。并且,将包括与上述步骤1a、2a相同的步骤1b、2b的循环实施规定次数后,依次实施处理室201内的吹扫及大气压恢复、晶舟卸载、晶片取出。
接着,在与处理室201不同的处理室内进行对形成于由晶舟217取下的晶片200上的SiOC膜进行热处理工序、即SiOC膜改质工序。作为上述处理室,例如为与上述实施方式相同的衬底处理装置,可以使用与进行SiOC膜形成工序的装置不同的衬底处理装置(以下也称为第二衬底处理部)所具备的处理室(以下也称为第二处理室)。利用第二控制部来控制构成第二衬底处理部的各部分的动作。在第二衬底处理部中,与在第一衬底处理部中进行上述实施方式的SiOC膜形成工序时同样地,依次实施晶片填充、晶舟装载。另外,与进行上述实施方式的SiOC膜改质工序时同样地进行压力调节、温度调节。之后,与上述实施方式同样地,依次实施第一热处理、第二热处理、吹扫、大气压恢复、晶舟卸载及晶片取出。
在上述情况下,衬底处理系统主要由形成SiOC膜的第一衬底处理部和对SiOC膜进行热处理的第二衬底处理部构成。
如上所述,SiOC膜形成工序和SiOC膜改质工序既可以在相同的处理室201内(以In-Situ的方式)进行,也可以在各不相同的处理室(第一处理室及第二处理室)内(以Ex-Situ的方式)进行。如果以In-Situ的方式进行两工序,则晶片200不会在中途暴露于大气中,能够维持将晶片200置于真空下的状态,进行连续处理。因此,能够进行更稳定的成膜处理。如果以Ex-Situ的方式进行两工序,则能够将各个处理室内的温度预先设定为例如各工序中的处理温度或与其接近的温度,能够缩短温度调节所需要的时间。因此,能够进一步提高生产效率。
对SiOC膜进行热处理的处理室可以为与上述实施方式的衬底处理装置不同的装置,例如如退火处理专用机(热处理炉)那样的装置所具备的处理室。
另外,对于衬底处理系统,第一衬底处理部和第二衬底处理部可以如上述那样以分别独立的装置(独立型装置)组的形式构成,第一衬底处理部和第二衬底处理部还可以以搭载于同一个平台(platform)的1个装置(聚集型装置)的形式构成。在这样的衬底处理系统中,也可以利用与例如图4、图5(a)的顺序中的处理条件相同的范围内的处理条件来形成薄膜。
通过以上说明的各种变形例,也能够获得与上述实施方式同样的效果。
<第二实施方式>
接下来,对本发明的第二实施方式进行说明。
(1)薄膜形成工序
在上述实施方式中,在以实施了催化剂气体的供给的状态供给氧化气体的工序中,作为氧化气体,任意选择包含氧(O)的气体(含氧气体)并进行供给。另外,作为催化剂气体,任意选择包含具有孤对电子的N且pKa为5~11左右的气体并进行供给。在本实施方式中,作为氧化气体使用O3气体,作为催化剂气体例如使用TEA气体等胺系催化剂气体。在本实施方式中,也与上述实施方式同样地使用图1、图2所示的衬底处理装置。在以下说明中,利用控制器121来控制构成衬底处理装置的各部分的动作。
在本实施方式中,进行通过将下述循环进行规定次数从而在晶片200上形成包含硅(Si)、氧(O)及碳(C)的薄膜的工序,所述循环包括下述工序:
对作为衬底的晶片200供给原料气体的工序,所述原料气体包含作为规定元素的硅(Si)、碳(C)及卤素,并且具有由规定元素和碳形成的化学键(Si-C键);
对晶片200供给氧化气体的工序;和
对晶片200供给催化剂气体的工序。
此时,
在未实施供给催化剂气体的工序的状态下进行供给原料气体的工序,
在实施了供给催化剂气体的工序的状态下进行供给氧化气体的工序。
另外,在本实施方式中,进一步进行下述工序:
在比形成薄膜的工序中的晶片200的温度高的第一温度下对上述薄膜进行热处理,由此从上述薄膜中除去第一杂质的工序;和
在第一温度以上的第二温度下对上述薄膜进行热处理、由此从以第一温度进行了热处理后的上述薄膜中除去与第一杂质不同的第二杂质的工序。
上述热处理在不含氧的气氛下进行。
另外,在本实施方式中,各工序在非等离子体的气氛下进行。
以下,使用图8、图9(a)来具体说明本实施方式的成膜顺序。
此处,对下述例子进行说明,即,进行通过将下述循环进行规定次数(n次)从而在晶片200上形成碳氧化硅膜(SiOC膜)作为包含Si、O及C的薄膜的工序,所述循环包括下述工序:
对晶片200供给BTCSM气体作为原料气体的工序;
对晶片200供给O3气体作为氧化气体的工序;和
对晶片200供给TEA气体作为胺系催化剂气体的工序,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在比形成SiOC膜的工序中的晶片200的温度高的第一温度下对SiOC膜进行热处理,由此从SiOC膜中除去第一杂质,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在第一温度以上的第二温度下对SiOC膜进行热处理,由此从以第一温度进行了热处理后的SiOC膜中除去与第一杂质不同的第二杂质。
此时,
在供给BTCSM气体的工序中,在已停止TEA气体等催化剂气体对晶片200的供给的状态下对晶片200供给BTCSM气体,
在供给O3气体的工序中,在已实施作为胺系催化剂气体的TEA气体对晶片200的供给的状态下对晶片200供给O3气体。
如本实施方式的顺序例那样,通过使用O3气体作为氧化气体、使用TEA气体等胺系催化剂气体作为催化剂气体,能够显著提高O3气体的氧化性。因此,例如即使在供给原料气体的工序中不供给TEA气体等催化剂气体,也能够在供给O3气体的工序中得到充分的反应性,能够形成SiOC膜。
(SiOC膜形成工序)
晶片填充、晶舟装载、压力调节及温度调节后,依次实施以下2个步骤1c、2c。
[步骤1c]
(供给BTCSM气体)
按照与上述实施方式相同的步骤,对晶片200供给BTCSM气体。此时,例如使阀243i为关闭状态,在已停止TEA气体的供给的状态下对晶片200供给BTCSM气体。进而,使阀243c、243i为关闭状态,在已停止以TEA气体为代表的胺系催化剂气体的供给的状态、即未供给胺系催化剂气体的状态下,对晶片200供给BTCSM气体。即,在对晶片200供给BTCSM气体时,不进行以胺系催化剂气体为代表的催化剂气体的供给。
另外,为了防止BTCSM气体侵入缓冲室237内、喷嘴249b、249c内,打开阀243k、243l,在气体供给管232k、232l内流过N2气。N2气经由气体供给管232b、232c、喷嘴249b、249c、及缓冲室237被供给至处理室201内,并从排气管231排出。
此时,适当调节APC阀244,使处理室201内的压力为例如1~13330Pa、优选133~2666Pa的范围内的压力。用MFC241a控制的BTCSM气体的供给流量为例如1~2000sccm的范围内的流量。用MFC241j~241l控制的N2气的供给流量分别为例如100~10000sccm的范围内的流量。对晶片200供给BTCSM气体的时间、即气体供给时间(照射时间)为例如1~100秒、优选5~60秒的范围内的时间。
此时,将加热器207的温度设定为下述温度,所述温度使得晶片200的温度成为例如室温以上且150℃以下、优选室温以上且100℃以下、更优选50℃以上且100℃以下的范围内的温度。如上所述,在供给BTCSM气体时未供给催化剂气体的情况下,如果晶片200的温度小于250℃,则BTCSM不易化学吸附在晶片200上,存在得不到实用的成膜率的情况。在本实施方式中,如后文所述,通过在接下来进行的步骤2a中组合使用O3气体和TEA气体,从而即使晶片200的温度小于250℃,也能够消除上述不良情况。在以接下来进行的步骤2a为前提的基础上,通过使晶片200的温度为150℃以下、进而为100℃以下,能够减少施加于晶片200上的热量,能够良好地控制晶片200所受的热历程。此时,只要为室温以上的温度即可得到充分的成膜率。因此,晶片200的温度最好为室温以上且150℃以下、优选室温以上且100℃以下、更优选50℃以上且100℃以下的范围内的温度。
通过在上述条件下对晶片200供给BTCSM气体,从而在晶片200(表面的基底膜)上形成例如小于1原子层至数原子层左右的厚度的包含C及Cl的含Si层作为第一层。如上所述,在例如150℃以下的低温条件下,作为包含C及Cl的含Si层,认为主要形成热分解不充分的由物理吸附产生的BTCSM气体的吸附层、即BTCSM气体的物理吸附层。
如上所述,如果包含C及Cl的含Si层主要由热分解不充分的BTCSM分子的吸附层构成,则该含Si层难以固定在晶片200上等,除此之外,难以通过之后进行的氧化处理形成具有牢固结合的SiOC层。即,在供给BTCSM气体时未供给催化剂气体的情况下,即使在之后的氧化处理中供给催化剂气体,也存在包含C及Cl的含Si层的氧化反应难以进行的情况。因此,有成膜率降低、或从一开始就无法成膜的可能性。
因此,在上述低温条件下,如上述实施方式那样,在例如供给原料气体的工序和供给氧化气体的工序这两个工序中,供给例如氨(NH3)气、TEA气体等包含具有孤对电子的N的催化剂气体。由此,在供给原料气体时,能够促进原料气体的热分解反应。即,上述催化剂气体削弱存在于晶片表面的O-H键的结合力,促进原料气体的分解,促进原料气体分子的由化学吸附产生的包含C及Cl的含Si层的形成。
另一方面,在本实施方式中,仅在接下来进行的步骤2c中使用催化剂气体。此时,通过将规定的氧化气体即O3气体、和规定的催化剂气体即TEA气体等胺系催化剂气体组合,能够显著提高氧化气体的氧化性。由此,例如在如步骤1c那样未使用TEA气体等催化剂气体的情况下,即使BTCSM气体的热分解不充分,也能够使包含C及Cl的含Si层的氧化反应更可靠地进行,形成具有与基底、相邻的分子、原子等的牢固的键的SiOC层。
另外,由此,至少在原料气体供给工序中,不必使反应机构通过使用了复杂的催化剂气体的反应系统。在供给BTCSM气体时供给催化剂气体的情况下,也能够避免由催化反应生成的盐成为颗粒源。因此,容易构筑成膜工艺。另外,例如通过在BTCSM气体供给工序中不使用催化剂气体,能够减少催化剂气体的使用量,削减制造成本。
(除去残留气体)
之后,按照与上述实施方式相同的步骤,停止BTCSM气体的供给,从处理室201内除去残留气体。
[步骤2c]
(供给O3气体+TEA气体)
步骤1c结束并将处理室201内的残留气体除去后,打开阀243g,在气体供给管232g内流过O3气体。O3气体通过MFC241g进行流量调节,其从气体供给孔250b被供给至缓冲室237内,然后从气体供给孔250d被供给至处理室201内,并从排气管231排出。此时,在非等离子体的气氛下,对晶片200供给O3气体(供给O3气体)。此时,同时打开阀243k,在气体供给管232k内流过作为非活性气体的N2气。N2气通过MFC241k进行流量调节,其与O3气体一同被供给至处理室201内,并从排气管231排出。
另外,打开阀243i,在气体供给管232i内流过TEA气体。TEA气体通过MFC241i进行流量调节,其从气体供给孔250c被供给至处理室201内,并从排气管231排出。此时,对晶片200供给TEA气体(供给TEA气体)。此时,同时打开阀243l,在气体供给管232l内流过N2气等非活性气体。N2气通过MFC241l进行流量调节,其与TEA气体一同被供给至处理室201内,并从排气管231排出。
此时,为了防止O3气体及TEA气体侵入喷嘴249a内,打开阀243j,在气体供给管232j内流过N2气。N2气经由气体供给管232a、喷嘴249a被供给至处理室201内,并从排气管231排出。
此时,适当调节APC阀244,使处理室201内的压力为例如1~13330Pa、优选133~2666Pa的范围内的压力。用MFC241g控制的O3气体的供给流量例如为1000~10000sccm的范围内的流量。另外,用MFC241i控制的TEA气体的供给流量以O3气体的供给流量(sccm)/TEA气体的供给流量(sccm)之比表示,例如为0.01~100、更优选0.05~10的范围内的流量。用MFC241j~241l控制的N2气的供给流量分别为例如100~10000sccm的范围内的流量。对晶片200供给O3气体及TEA气体的时间、即气体供给时间(照射时间)为例如1~100秒、优选5~60秒的范围内的时间。加热器207的温度以下述方式进行设定,即,使晶片200的温度为与步骤1c中的BTCSM气体的供给时相同的温度区、即例如室温以上且150℃以下、优选室温以上且100℃以下、更优选50℃以上且100℃以下的范围内的温度。
被供给至处理室201内的O3气体经热进行活化,并从排气管231排出。此时,对晶片200供给经热进行活化后的O3气体。即,在处理室201内流过的气体为热活化后的O3气体,并且在处理室201内不流过BTCSM气体。因此,O3气体不会引起气相反应,其以活化后的状态被供给至晶片200,并与步骤1c中形成于晶片200上的第一层(包含C及Cl的含Si层)的至少一部分进行反应。由此,第一层经非等离子体被热氧化,可变成包含Si、O及C的第二层、即SiOC层。
此处,作为胺系催化剂气体的TEA气体促进O3气体的分解,提高O3气体的氧化性,促进O3气体与包含C及Cl的含Si层之间的反应。本申请发明人将O3气体和TEA气体进行组合,由此发现了O3气体的氧化性提高,超出了通常的催化反应中预测的范围。如上所述,如果在BTCSM气体的供给时不供给催化剂气体,BTCSM气体的热分解不充分,则即使在之后的氧化气体的供给工序中供给催化剂气体,也难以得到充分的反应性。然而,本申请发明人经过深入研究,结果发现:特别地,通过同时供给O3气体和TEA气体,从而即使在例如步骤1c中主要形成热分解不充分的由物理吸附产生的BTCSM气体的吸附层、即BTCSM气体的物理吸附层作为包含C及Cl的含Si层,也能够使O3气体与包含C及Cl的含Si层之间的氧化反应适当地进行。如上所述,本申请发明人经研究查明了:通过TEA气体的作用可显著提高O3气体的氧化性,即使是对于BTCSM气体的物理吸附层也能够使该层的氧化处理更可靠地进行,能够形成具有与基底、相邻的分子、原子等的牢固的键的SiOC层。
(除去残留气体)
之后,关闭阀243g,停止O3气体的供给。另外,关闭阀243i,停止TEA气体的供给。然后,按照与上述实施方式相同的步骤,从处理室201内除去残留气体。
(实施规定次数)
将上述步骤1c、2c作为1个循环,将该循环进行1次以上、即规定次数(n次),由此能够在晶片200上形成规定组成及规定膜厚的SiOC膜。上述循环优选重复多次。即,优选的是,使每1次循环形成的SiOC层的厚度小于所希望的膜厚,将上述循环重复多次直到形成所希望的膜厚。
(SiOC膜改质工序)
在本实施方式中,也有在低温条件下形成的SiOC膜中含有大量水分、Cl等杂质、CxHy类杂质的情况。因此,按照与上述实施方式相同的步骤及处理条件,进行压力调节、温度调节、第一热处理、第二热处理、吹扫及大气压恢复,除去SiOC膜中的杂质,对SiOC膜进行改质。由此,与进行SiOC膜改质工序之前的SiOC膜相比,可得到高蚀刻耐性、低介电常数的SiOC膜。
需要说明的是,在本实施方式中,在低温条件下形成的SiOC膜中所含的水分等杂质例如来自向处理室201内搬入晶片200时从外部带入的水分等。Cl等杂质例如来自BTCSM分子中的Cl等。CxHy类杂质例如来自BTCSM分子中的C、H、TEA分子中的C、H。即,与吡啶同样地,TEA也有其一部分发生分解的情况,但大部分并没有分解,实质上作为催化剂发挥作用。在本说明书中,将如本实施方式的反应系统中的TEA那样的、虽然有时其一部分发生分解但大部分未分解、实质上作为催化剂发挥作用的物质称为催化剂。
之后,按照与上述实施方式相同的步骤,进行晶舟卸载及晶片取出,结束本实施方式的成膜处理。
(2)本实施方式所带来的效果
根据本实施方式,除了取得与上述实施方式同样的效果之外,还可取得以下所示的1个或多个效果。
(a)根据本实施方式的成膜顺序,在步骤1c中,在已停止催化剂气体对晶片200的供给的状态下对晶片200供给BTCSM气体。由此,能够简化成膜工艺。另外,在供给BTCSM气体时不产生供给催化剂气体时产生的盐,能够颗粒。另外,能够抑制催化剂气体的使用量,减少制造成本。
(b)另外,根据本实施方式的成膜顺序,在步骤2c中,在已实施TEA气体对晶片200的供给的状态下对晶片200供给O3气体。由此,能够显著提高O3气体的氧化性。即,根据本实施方式的成膜顺序,通过将O3气体和胺系催化剂气体进行组合,能够提高O3气体的氧化性并超出通常的催化反应中能够预测的范围。因此,即使在步骤1c中的BTCSM气体的供给时未供给催化剂气体,也能对包含C及Cl的含Si层得到充分的反应性。因此,能够一边维持充分的成膜率、一边氧化包含C及Cl的含Si层从而形成SiOC层。
需要说明的是,本申请发明人认为,作为与O3气体组合的胺系催化剂气体,TEA气体优异,然后吡啶气体、接下来哌啶气体是合适的。这是基于下述原因:在规定条件下对各气体进行比较,结果在使用TEA气体的例子中,能够形成SiOC膜等薄膜的温度范围较宽。
另外,根据本实施方式,可取得与上述实施方式同样的效果,但明确了与本实施方式相比,上述效果在上述实施方式中更显著地呈现。即,明确了与使用O3气体和TEA气体的本实施方式相比,上述效果、其中特别是SiOC膜的介电常数降低的效果在使用H2O气体和吡啶气体的上述实施方式中更显著地呈现。认为这是由于下述原因导致的,即,与使用O3气体作为氧化气体的情形相比,通过使用H2O气体作为氧化气体,从而使SiOC膜的多孔化的程度增加。即,认为其原因在于,与使用O3气体作为氧化气体的情形相比,通过使用H2O气体作为氧化气体,从而形成包含大量水分的SiOC膜,通过如上所述那样对包含大量水分的SiOC膜实施第一热处理及第二热处理,从在SiOC膜中产生更多微小的孔(洞)、即微小的空间,可得到多孔化进一步发展的SiOC膜。
(3)本实施方式的变形例
接下来,使用图9(b)、图9(c)来说明本实施方式的变形例。
在本变形例中,对使用与上文不同的氯硅烷系原料气体或氨基硅烷系原料气体作为原料气体、形成与SiOC膜为不同膜种的薄膜即氧化硅膜(SiO2膜、以下也称为SiO膜)的例子进行说明。
如图9(b)所示,在变形例1的成膜顺序中,进行通过将下述循环进行规定次数从而在晶片200上形成作为薄膜的SiO膜的工序,所述循环包括下述工序:
对晶片200供给HCDS气体作为包含作为规定元素的硅(Si)和卤素的原料气体的工序;
对晶片200供给O3气体的工序;和
对晶片200供给TEA气体的工序,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在高于形成SiO膜的工序中的晶片200的温度的第一温度下对SiO膜进行热处理,由此从SiO膜中除去第一杂质,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在第一温度以上的第二温度下对SiO膜进行热处理,由此从以第一温度进行了热处理后的SiO膜中除去与第一杂质不同的第二杂质。
此时,
在供给HCDS气体的工序中,在已停止TEA气体等催化剂气体对晶片200的供给的状态下对晶片200供给HCDS气体,
在供给O3气体的工序中,在已实施TEA气体对晶片200的供给的状态下对晶片200供给O3气体。
此处,以下对HCDS气体对晶片200的供给步骤进行说明。此外,O3气体、TEA气体等的供给步骤及处理条件与上述实施方式相同,故而省略说明。
打开阀243e,在气体供给管232e内流过HCDS气体。HCDS气体通过MFC241e进行流量调节,其从气体供给孔250a被供给至处理室201内,并从排气管231排出。此时,对晶片200供给HCDS气体(供给HCDS气体)。此时,同时打开阀243j,在气体供给管232j内流过N2气等非活性气体。N2气通过MFC241j进行流量调节,其与HCDS气体一同被供给至处理室201内,并从排气管231排出。
如上所述,通过对晶片200供给HCDS气体,从而在晶片200(表面的基底膜)上形成例如小于1原子层至数原子层左右的厚度的包含Cl的含Si层作为第一层。包含Cl的含Si层可以是包含Cl的Si层,也可以是HCDS气体的吸附层,还可以包括所述两者。
此处,所谓包含Cl的Si层,是指除了包括由Si构成且包含Cl的连续层以外,还包括不连续层、它们能够重叠而成的包含Cl的Si薄膜的统称。需要说明的是,有时也将由Si构成且包含Cl的连续层称为包含Cl的Si薄膜。需要说明的是,对于构成包含Cl的Si层的Si,除了与Cl的键未完全断开的Si以外,还包括与Cl的键完全断开的Si。
另外,此处,对于HCDS气体的吸附层,除了HCDS气体的气体分子的连续吸附层之外,还包括不连续的吸附层。即,HCDS气体的吸附层包括由HCDS分子构成的1分子层或小于1分子层的厚度的吸附层。需要说明的是,构成HCDS气体的吸附层的HCDS(Si2Cl6)分子不仅包括在图14(e)中示出的化学结构式的分子,还包括Si与Cl的键部分断开的分子。
此处,认为主要形成热分解不充分的由物理吸附产生的HCDS气体的吸附层、即HCDS气体的物理吸附层作为包含Cl的含Si层。在这种情况下,也通过之后在供给O3气体的工序中对晶片200供给O3气体和TEA气体,从而经热进行活化的且氧化性比TEA气体高的O3气体与第一层(包含Cl的含Si层)的至少一部分进行反应。由此,第一层经非等离子体被热氧化,可变成包含Si及O的第二层、即氧化硅层(SiO层)。
需要说明的是,可以使此时的处理室201内的压力、原料气体、O3气体、TEA气体、N2气等各气体的供给流量、供给时间、晶片200的温度等处理条件为与例如上述实施方式的处理条件相同的范围内的处理条件。另外,在供给各气体时,与上述实施方式同样地,适当地供给N2气,所述N2气防止气体侵入此时不使用的喷嘴249a、249b、249c等中。
另外,如图9(c)所示,在变形例2的成膜顺序中,通过使用BDEAS气体作为包含作为规定元素的硅(Si)、碳(C)及氮(N)且具有由规定元素和氮形成的化学键(Si-N键)的原料气体,从而在晶片200上形成作为薄膜的SiO膜,在N2气的气氛下,对SiO膜进行改质处理(第一热处理、第二热处理)。BDEAS气体对晶片200的供给步骤后述。
如上所述,以进行将O3气体和TEA气体等胺系催化剂气体组合而成的氧化处理为前提,使用HCDS气体等其他氯硅烷系原料气体、BDEAS气体等氨基硅烷系原料气体等,可以形成与SiOC膜不同的薄膜即SiO膜等。
需要说明的是,BDEAS气体本来是易于吸附于晶片200等且分解性、反应性高的气体。因此,有时在低温条件下不使用催化剂气体而单独使用BDEAS气体,而在之后的氧化处理中使用激发成等离子体状态的O2气等氧化气体。在图9(c)的成膜顺序中,可获得与使用这样的等离子体进行氧化处理时同样的效果。由此推断,通过将O3气体和胺系催化剂气体组合使用,从而O3气体的氧化性有可能提高至与使用了等离子体时相同的程度。
如上所述,在低温条件下形成的SiO膜中,有可能含有水分等杂质。作为原料气体使用HCDS气体时,在SiO膜中有可能含有Cl等杂质。作为原料气体使用BDEAS气体时,在SiO膜中还有可能含有C、H、N等杂质。按照与上述实施方式相同的步骤及处理条件,对SiO膜进行第一热处理及第二热处理,除去SiO膜中的杂质,对SiO膜进行改质,由此与进行SiO膜改质工序之前的SiO膜相比,可得到高蚀刻耐性、低介电常数的SiO膜。
通过以上说明的各种变形例,也能够取得与上述实施方式同样的效果。
<第三实施方式>
接下来,对本发明的第三实施方式进行说明。
(1)层合膜形成工序
在上述实施方式中,对将包括步骤1a、2a或步骤1c、2c的循环进行规定次数从而形成SiOC膜的例子进行说明。在本实施方式中,除了上述SiOC膜形成工序之外,还将在已实施了催化剂气体对晶片200的供给的状态下供给与上述不同的氯硅烷系原料气体的步骤、和在已实施了催化剂气体对晶片200的供给的状态下供给氧化气体的步骤进行规定次数。由此,形成SiOC膜和与SiOC膜为不同膜种的SiO膜的层合膜。
以下,使用图10、图11来说明本实施方式的成膜顺序。在本实施方式中,也与上述实施方式同样地使用图1、图2所示的衬底处理装置。需要说明的是,在以下说明中,利用控制器121来控制构成衬底处理装置的各部分的动作。
在本实施方式的成膜顺序中,对下述例子进行说明,即,进行通过将下述循环进行规定次数从而在晶片200上形成SiO膜和SiOC膜的层合膜的工序,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在高于形成层合膜的工序中的晶片200的温度的第一温度下对层合膜进行热处理,由此从层合膜中除去第一杂质,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在第一温度以上的第二温度下对层合膜进行热处理,由此从以第一温度进行了热处理后的层合膜中除去与第一杂质不同的第二杂质,
上述循环包括下述工序:
在已实施了对晶片200供给吡啶气体作为催化剂气体的工序的状态下进行对晶片200供给HCDS气体作为包含作为规定元素的硅(Si)及卤素的原料气体的工序(步骤1d),在已实施了对晶片200供给吡啶气体作为催化剂气体的工序的状态下进行对晶片200供给H2O气体作为氧化气体的工序(步骤2d),将包括这些工序的集合(set)进行规定次数,由此形成作为包含Si及O的第一薄膜的SiO膜的工序;和
在已实施了对晶片200供给吡啶气体作为催化剂气体的工序的状态下进行对晶片200供给BTCSM气体作为包含规定元素的硅(Si)、碳(C)及卤素且具有由规定元素和碳形成的化学键(Si-C键)的原料气体的工序(步骤1e),在已实施了对晶片200供给吡啶气体作为催化剂气体的工序的状态下进行对晶片200供给H2O气体作为氧化气体的工序(步骤2e),将包括这些工序的集合进行规定次数,由此形成作为包含Si、O及C的第二薄膜的SiOC膜的工序。
(SiO膜形成工序)
晶片填充、晶舟装载、压力调节及温度调节后,依次实施以下2个步骤1d、2d。
[步骤1d]
(供给HCDS气体+吡啶气体)
按照与上述图9(b)的变形例相同的步骤,对晶片200供给HCDS气体(供给HCDS气体)。另外,按照与上述实施方式相同的步骤,对晶片200供给吡啶气体(供给吡啶气体)。
如上所述,通过与HCDS气体一同对晶片200供给吡啶气体,从而削弱存在于晶片200表面的O-H键的结合力,促进HCDS气体的分解,促进由HCDS分子的化学吸附产生的包含Cl的含Si层(第一层)的形成。如上所述,吡啶气体对HCDS气体也显示出与上述BTCSM气体等的情形同样的催化作用。
需要说明的是,可以使此时的处理室201内的压力、原料气体、催化剂气体、N2气体等各气体的供给流量、供给时间、晶片200的温度等处理条件例如为与上述实施方式的处理条件相同的范围内的处理条件。另外,在供给HCDS气体、吡啶气体时,与上述实施方式同样地供给N2气,所述N2气可防止气体侵入不使用的喷嘴249b等中。
(除去残留气体)
在晶片200上形成作为第一层的包含Cl的含Si层后,按照与上述实施方式相同的步骤,停止HCDS气体和吡啶气体的供给,从处理室201内除去残留气体。
[步骤2d]
(H2O气体+吡啶气体供给)
步骤1d结束并除去处理室201内的残留气体后,按照与上述实施方式相同的供给步骤,对晶片200供给H2O气体和吡啶气体。对晶片200供给的H2O气体经热进行活化,并利用吡啶气体促进其分解,从而与步骤1d中形成于晶片200上的第一层(包含Cl的含Si层)的至少一部分进行反应。由此第一层经非等离子体被热氧化,被转变为包含Si及O的第二层、即氧化硅层(SiO层)。
需要说明的是,使此时的处理室201内的压力、氧化气体、催化剂气体、N2气等各气体的供给流量、供给时间、晶片200的温度等处理条件例如为与上述实施方式的处理条件相同的范围内的处理条件。另外,在供给H2O气体、吡啶气体时,与上述实施方式同样地供给N2气,所述N2气可防止气体侵入不使用的喷嘴249a等中。
(除去残留气体)
之后,按照与上述实施方式相同的步骤,停止H2O气体和吡啶气体的供给,从处理室201内除去残留气体。
(实施规定次数)
将上述步骤1d、2d作为1个集合,将该集合进行1次以上(规定次数),由此能够在晶片200上形成规定组成及规定膜厚的SiO膜。需要说明的是,上述循环优选重复多次。即,优选的是,使每1个集合形成的SiO层的厚度小于所希望的膜厚,将上述集合重复多次直到形成所希望的膜厚。
(SiOC膜形成工序)
在SiOC膜形成工序中,按照与上述实施方式的步骤1a、2a相同的步骤及处理条件,依次实施步骤1e、2e。将所述步骤1e、2e作为1个集合,将该集合进行1次以上(规定次数),由此能够在晶片200上形成规定组成及规定膜厚的SiOC膜。
(实施规定次数)
以将上述步骤1d、2d作为1个集合并将该集合进行规定次数的SiO膜形成工序、和将步骤1e、2e作为1个集合并将该集合进行规定次数的SiOC膜形成工序为1个循环,将该循环进行1次以上(规定次数),由此在晶片200上形成SiO膜和SiOC膜的层合膜。此时,可以从SiO膜形成工序和SiOC膜形成工序中的任一工序开始。
如图11(a)所示,通过将包括SiO膜形成工序和SiOC膜形成工序的循环进行1次,能够形成SiO膜和SiOC膜分别以1层进行层合而成的层合膜(堆叠膜)。
另外,如图11(b)所示,通过将包括SiO膜形成工序和SiOC膜形成工序的循环进行多次,能够形成将多层SiO膜和SiOC膜分别层合而成的层合膜(层合膜)。图11(b)表示将包括SiO膜形成工序和SiOC膜形成工序的循环重复2次的例子。
在上述任意情况下,根据SiO膜和SiOC膜的所希望的膜厚比率等,可以使包括步骤1d、2d的集合和包括步骤1e、2e的集合在1个循环中包含相同的次数,还可以使它们包含各不相同的次数。通过使各个集合为相同的次数并交替地进行,能够形成各个膜厚比率大致相等的包含SiO膜和SiOC膜的层合膜。另外,通过将各个集合进行不同的次数,能够形成各个膜厚比率不同的包含SiO膜和SiOC膜的层合膜。
(层合膜改质工序)
在本实施方式中,也有在低温条件下形成的SiO膜和SiOC膜的层合膜中含有大量水分、Cl等杂质、CxHy类杂质的情况。因此,按照与上述实施方式相同的步骤及处理条件,进行压力调节、温度调节、第一热处理、第二热处理、吹扫及大气压恢复,除去层合膜中的杂质,对层合膜进行改质。由此,与进行层合膜改质工序之前的层合膜相比,可得到高蚀刻耐性、低介电常数的层合膜。
之后,按照与上述实施方式相同的步骤,进行晶舟卸载及晶片取出,结束本实施方式的成膜处理。
根据本实施方式,也能够获得与上述实施方式同样的效果。
(2)本实施方式的变形例
在本实施方式中,对与催化剂气体一同使用HCDS气体及H2O气体来形成SiO膜、并形成所述SiO膜和SiOC膜的层合膜的例子进行了说明。在本实施方式的变形例中,使用图12、图13来说明下述例子,即,通过使用与上述不同的原料气体及激发成等离子体状态的氧化气体,从而在不使用催化剂气体的情况下形成SiO膜、并形成所述SiO膜和SiOC膜的层合膜。
在本变形例的成膜顺序中,对下述例子进行说明,即,进行通过将下述循环进行规定次数从而在晶片200上形成SiO膜和SiOC膜的层合膜的工序,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在高于形成层合膜的工序中的晶片200的温度的第一温度下对层合膜进行热处理,由此从层合膜中除去第一杂质,
在通过对晶片200供给N2气作为非含氧气体而生成的不含氧的气氛下,在第一温度以上的第二温度下对层合膜进行热处理,由此从以第一温度进行了热处理后的层合膜中除去与第一杂质不同的第二杂质,
上述循环包括下述工序:
将包括对晶片200供给BDEAS气体作为包含作为规定元素的硅(Si)、碳(C)及氮(N)且具有由规定元素和氮形成的化学键(Si-N键)的原料气体的工序(步骤1f)、和对晶片200供给激发成等离子体状态的O2气作为激发成等离子体状态的氧化气体的工序(步骤2f)的集合进行规定次数,由此形成作为包含Si及O的第一薄膜的SiO膜的工序;和
在已实施了对晶片200供给吡啶气体作为催化剂气体的工序的状态下进行对晶片200供给BTCSM气体作为包含规定元素的硅(Si)、碳(C)及卤素且具有由规定元素和碳形成的化学键(Si-C键)的原料气体的工序(步骤1g),在已实施了对晶片200供给吡啶气体作为催化剂气体的工序的状态下进行对晶片200供给H2O气体作为氧化气体的工序(步骤2g),将包括这些工序的集合进行规定次数,由此形成作为包含Si、O及C的第二薄膜的SiOC膜的工序。
(SiO膜形成工序)
晶片填充、晶舟装载、压力调节及温度调节后,依次实施以下2个步骤1f、2f。
[步骤1f]
(供给BDEAS气体)
打开阀243f,在气体供给管232f内流过BDEAS气体。BDEAS气体通过MFC241f进行流量调节,其从气体供给孔250a被供给至处理室201内,并从排气管231排出。此时,对晶片200供给BDEAS气体(供给BDEAS气体)。此时,同时打开阀243j,在气体供给管232j内流过N2气等非活性气体。N2气通过MFC241j进行流量调节,其与BDEAS气体一同被供给至处理室201内,并从排气管231排出。
另外,为了防止BDEAS气体侵入缓冲室237内、喷嘴249b、249c内,打开阀243k、243l,在气体供给管232k、232l内流过N2气。N2气经由气体供给管232k、232l、喷嘴249b、249c、缓冲室237被供给至处理室201内,并从排气管231排出。
如上所述,通过对晶片200供给BDEAS气体,从而在晶片200(表面的基底膜)上形成例如小于1原子层至数原子层左右的厚度的包含N及C的含Si层作为第一层。包含N及C的含Si层既可以为包含N及C的Si层,也可以为BDEAS气体的吸附层,还可以包括上述两者。
此处,所谓包含N及C的Si层,是指除了包括由Si构成且包含N及C的连续层以外,还包括不连续层、它们能够重叠而成的包含N及C的Si薄膜的统称。需要说明的是,有时也将由Si构成且包含N及C的连续层称为包含N及C的Si薄膜。需要说明的是,对于构成包含N及C的Si层的Si,除了与N的键未完全断开的Si以外,还包括与N的键完全断开的Si。
此处,对于BDEAS气体的吸附层,除了BDEAS气体的气体分子的连续吸附层之外,还包括不连续的吸附层。即,BDEAS气体的吸附层包括由BDEAS分子构成的1分子层或小于1分子层的厚度的吸附层。需要说明的是,构成BDEAS气体的吸附层的BDEAS(Si[N(C2H5)2]2H2)分子不仅包括在图14(f)中示出化学结构式的分子,还包括Si与N、Si与H、N与C的键部分断开的分子。即,BDEAS气体的吸附层包括BDEAS分子的化学吸附层、BDEAS分子的物理吸附层。
BDEAS气体是易于吸附于晶片200等且分解性、反应性高的气体。因此,即使在例如150℃以下的较低温度的条件下,也能够不使用例如吡啶气体等催化剂气体地使反应进行,在晶片200上形成包含N及C的含Si层。
需要说明的是,可以使此时的处理室201内的压力、原料气体、N2气等各气体的供给流量、供给时间、晶片200的温度等处理条件为与例如上述实施方式的处理条件相同的范围内的处理条件。
(除去残留气体)
在晶片200上形成作为第一层的包含N及C的含Si层后,关闭阀243f,停止BDEAS气体的供给。另外,按照与上述实施方式相同的步骤,从处理室201内除去残留气体。
[步骤2f]
(供给O2气)
步骤1d结束并除去处理室201内的残留气体后,打开阀243h,在气体供给管232h内流过O2气。O2气通过MFC241h进行流量调节,并从气体供给孔250b被供给至缓冲室237内。此时,通过从高频电源273经由匹配器272在第一棒状电极269及第二棒状电极270之间施加高频(RF)电力,从而将供给至缓冲室237内的O2气进行等离子体激发,其作为活性种从气体供给孔250d被供给至处理室201内,并从排气管231排出。此时对晶片200供给激发成等离子体状态的O2气(供给O2气)。此时,同时打开阀243k,在气体供给管232k内流过作为非活性气体的N2气。N2气体通过MFC241k进行流量调节,其与O2气一同被供给至处理室201内,并从排气管231排出。
需要说明的是,此时,为了防止O2气侵入喷嘴249a、249c内,打开阀243j、243l,在气体供给管232j、232l内流过N2气。N2气经由气体供给管232a、232c、喷嘴249a、249c被供给至处理室201内,并从排气管231排出。
如上所述,通过使用等离子体,从而即使使处理室201内的温度为例如150℃以下的较低的温度区,也能够不使用例如吡啶气体等催化剂气体地使O2气活化。
此时,在处理室201内流过的气体为激发成等离子体状态的O2气,例如包括氧自由基(O)等活性种。另外,在处理室201内不流过BDEAS气体。因此,O2气不会引起气相反应,而以活化后的状态被供给至晶片200,并且主要利用该活性种对步骤1f中形成于晶片200上的包含N及C的含Si层进行氧化处理。该活性种所具有的能量比包含N及C的含Si层中所含的Si-N键、Si-H键的键能高,因此,通过将该活性种的能量给与包含N及C的含Si层,能够切断含Si层中所含的Si-N键、Si-H键。将与Si的键切断后的N、H、及与N键合的C被从包含N及C的含Si层中除去,以N2、H2、CO2等的形式排出。另外,通过将与N、H的键切断而残余的Si的连接键与活性种所含的O键合,形成Si-O键。如上所述,使作为第一层的包含N及C的含Si层转变为包含Si及O的第二层、即SiO层。
需要说明的是,使此时的处理室201内的压力、氧化气体、N2气等各气体的供给流量、供给时间、晶片200的温度等处理条件为与例如上述实施方式的处理条件相同的范围内的处理条件。将从高频电源273施加于第一棒状电极269及第二棒状电极270之间的高频电力设定为例如50~1000W的范围内的电力。
(除去残留气体)
在晶片200上形成作为第二层的SiO层后,关闭阀243h,停止O2气的供给。另外,按照与上述实施方式相同的步骤,从处理室201内除去残留气体。
(实施规定次数)
将上述步骤1f、2f作为1个集合,将该集合进行1次以上(规定次数),由此能够在晶片200上形成规定组成及规定膜厚的SiO膜。需要说明的是,上述循环优选重复多次。即,优选的是,使每1个集合形成的SiO层的厚度小于所希望的膜厚,将上述集合重复多次直到形成所希望的膜厚。
(SiOC膜形成工序及实施规定次数)
对针对SiOC膜形成工序、及各工序的规定次数的实施,可以按照与上述实施方式相同的步骤及处理条件进行。即,以将步骤1f、2f作为1个集合并将该集合进行规定次数的SiO膜形成工序、和将与上述实施方式的步骤1a、2a相同的步骤1g、2g作为1个集合并将该集合进行规定次数的SiOC膜形成工序为1个循环,将该循环进行1次以上(规定次数),由此在晶片200上形成SiO膜和SiOC膜的层合膜。
如图13(a)所示,过将包括SiO膜形成工序和SiOC膜形成工序的循环进行1次,能够形成SiO膜和SiOC膜分别以1层进行层合而成的层合膜(堆叠膜)。
另外,如图13(b)所示,通过将包括SiO膜形成工序和SiOC膜形成工序的循环进行多次,能够形成将多层SiO膜和SiOC膜分别层合而成的层合膜(层合膜)。图13(b)表示将包括SiO膜形成工序和SiOC膜形成工序的循环重复2次的例子。
在本变形例中,也能够根据SiO膜和SiOC膜的所希望的膜厚比率等,任意确定包括各步骤1f、2f、1g、2g的各个集合的开始顺序,各集合数等。
(层合膜改质工序)
在本变形例中,也有在低温条件下形成的SiO膜和SiOC膜的层合膜中含有大量水分、Cl等杂质、CxHy类杂质的情况。因此,按照与上述实施方式相同的步骤及处理条件,进行压力调节、温度调节、第一热处理、第二热处理、吹扫及大气压恢复,除去层合膜中的杂质,对层合膜进行改质。由此,与进行层合膜改质工序之前的层合膜相比,可得到高蚀刻耐性、低介电常数的层合膜。
之后,按照与上述实施方式相同的步骤,进行晶舟卸载及晶片取出,结束本变形例的成膜处理。
根据以上说明的变形例,也能够获得与上述实施方式同样的效果。
<其他实施方式>
以上,具体说明了本发明的实施方式,但本发明并不限定于上述实施方式、变形例,在不脱离其主旨的范围内可以进行各种变化。
例如,在上述实施方式等中,将非活性气体、吹扫气体及非含氧气体全部从同一个供给系统进行供给,但可以将非活性气体供给系统、吹扫气体供给系统及非含氧气体供给系统的全部或至少一部分设置为其他体系的供给系统。但是,在仅利用排气系统在处理室201内生成不含氧的气氛的情况下,不必专门设置非含氧气体供给系统。
另外,在上述实施方式等中,对与原料气体一同使用催化剂气体时,在步骤2a、2b,2d,2e,2g等中与催化剂气体一同使用H2O气体等氧化气体从而将含Si层转变为SiOC层、SiO层的例子进行了说明。但是,在与原料气体一同使用催化剂气体时,可以使用经等离子体激发后的O2气等氧化气体从而将含Si层转变为SiOC层、SiO层。此时的处理条件可以为与例如上述实施方式、变形例相同的处理条件。
另外,在上述实施方式等中,对使用H2O气体等氧化气体来形成SiOC膜、SiO膜等硅系薄膜(Si系薄膜)的例子进行了说明,但本发明并不限定于此。例如,可以使用包含氮(N)等的氮化气体来代替氧化气体从而使包含C及Cl的含Si层氮化,形成SiCN膜等Si系薄膜。或者,可以将氧化气体、氮化气体等适当组合,形成SiON膜、SiOCN膜等Si系薄膜。作为氮化气体,例如可使用氨(NH3)气、二氮烯(N2H2)气体、肼(N2H4)气体、N3H8气体、包含这些化合物的气体等。此时的处理条件可以为与例如上述实施方式相同的处理条件。
另外,在上述实施方式等中,对使用氯硅烷系原料气体作为用于SiOC膜、SiO膜的成膜的原料气体的例子进行了说明,但还可以使用除氯硅烷系以外的卤硅烷系原料气体,例如,氟硅烷系原料气体、溴硅烷系原料气体等。此处,所谓氟硅烷系原料气体,是包含作为卤基的氟基的硅烷系原料气体,是至少包含硅(Si)及氟(F)的原料气体。另外,所谓溴硅烷系原料气体,是包含作为卤基的溴基的硅烷系原料气体,是至少包含硅(Si)及溴(Br)的原料气体。此时的处理条件可以为与例如上述实施方式相同的处理条件。
随着晶体管的微细化,针对构成用作栅电极的周边结构的侧壁间隔件(SWS)等的氧化膜等薄膜,低温成膜、低介电常数、低WER等要求在提高。另外,对于作为下一代存储器开发的ReRAM、MRAM,将包围这些存储器元件的薄膜作为蚀刻停止件等的保护膜来推进工序。对于在上述工序之后进行成膜的薄膜等,例如ReRAM要求350℃以下的低温成膜,而MRAM甚至要求250℃以下的低温成膜。针对上述要求,本发明适合用于下述情形,即,使用包含Si、C及卤素且具有Si-C键的原料气体和氧化气体等,从而形成Si系薄膜(SiOC膜、SiOCN膜、SiCN膜)等薄膜。
通过将利用上述各实施方式、各变形例的方法形成的Si系薄膜用作SWS,能够提供漏电流少、加工性优异的器件形成技术。
另外,通过将利用上述各实施方式、各变形例的方法形成的Si系薄膜用作蚀刻停止件,能够提供加工性优异的器件形成技术。
根据上述各实施方式、各变形例,在使用催化剂气体的情况下,即使在低温区域中不使用等离子体,也能够形成理想的理论比的Si系薄膜。另外,由于能够在不使用等离子体的情况下形成Si系薄膜,所以也能够适用于例如DPT的SADP膜等担心等离子体损害的工序。
另外,在上述实施方式等中,对形成包含作为半导体元素的Si的硅系薄膜(SiO膜、SiOC膜、SiCN膜、SiON膜、SiOCN膜)的例子进行了说明,但本发明并不限定于此。例如也可以适用于形成包含钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铝(Al)、钼(Mo)等金属元素的金属系薄膜的情形。
例如,本发明也可适合地用于形成氧化钛膜(TiO膜)、氧化锆膜(ZrO膜)、氧化铪膜(HfO膜)、氧化钽膜(TaO膜)、氧化铝膜(AlO膜)、氧化钼膜(MoO膜)等金属氧化膜的情形。
另外例如,本发明也可适合地用于形成碳氧化钛膜(TiOC膜)、碳氧化锆膜(ZrOC膜)、碳氧化铪膜(HfOC膜)、碳氧化钽膜(TaOC膜)、碳氧化铝膜(AlOC膜)、碳氧化钼膜(MoOC膜)等金属碳氧化膜的情形。
另外例如,本发明也可适合地用于形成碳氮化钛膜(TiCN膜)、碳氮化锆膜(ZrCN膜)、碳氮化铪膜(HfCN膜)、碳氮化钽膜(TaCN膜)、碳氮化铝膜(AlCN膜)、碳氮化钼膜(MoCN膜)等金属碳氮化膜的情形。
另外例如,本发明也可适合地用于形成氮氧化钛膜(TiON膜)、氮氧化锆膜(ZrON膜)、氮氧化铪膜(HfON膜)、氮氧化钽膜(TaON膜)、氮氧化铝膜(AlON膜)、氮氧化钼膜(MoON膜)等金属氮氧化膜的情形。
另外例如,本发明也可适合地用于形成碳氮氧化钛膜(TiOCN膜)、碳氮氧化锆膜(ZrOCN膜)、碳氮氧化铪膜(HfOCN膜)、碳氮氧化钽膜(TaOCN膜)、碳氮氧化铝膜(AlOCN膜)、碳氮氧化钼膜(MoOCN膜)等金属碳氮氧化膜的情形。
这种情况下,作为原料气体,可以使用包含金属元素的原料气体来代替上述实施方式中的包含Si的原料气体,并通过与上述实施方式相同的顺序来进行成膜。
例如,在形成包含Ti的金属系薄膜(TiO膜、TiOC膜、TiCN膜、TiON膜、TiOCN膜)时,作为包含Ti的原料气体,可以使用包含Ti、C及卤素且具有Ti-C键的原料气体、包含Ti及卤素的原料气体。作为包含Ti及卤素的原料气体,例如可使用四氯化钛(TiCl4)等包含Ti及氯基的原料气体、四氟化钛(TiF4)等包含Ti及氟基的原料气体。作为氧化气体、氮化气体、胺系催化剂气体、非含氧气体,可以使用与上述实施方式相同的气体。此时的处理条件例如可以为与上述实施方式相同的处理条件。
另外例如,在形成包含Zr的金属系薄膜(ZrO膜、ZrOC膜、ZrCN膜、ZrON膜、ZrOCN膜)时,作为包含Zr的原料气体,可以使用包含Zr、C及卤素且具有Zr-C键的原料气体、包含Zr及卤素的原料气体。作为包含Zr及卤素的原料气体,例如可使用四氯化锆(ZrCl4)等包含Zr及氯基的原料气体、四氟化锆(ZrF4)等包含Zr及氟基的原料气体。作为氧化气体、氮化气体、胺系催化剂气体、非含氧气体,可以使用与上述实施方式相同的气体。此时的处理条件例如可以为与上述实施方式相同的处理条件。
另外例如,在形成包含Hf的金属系薄膜(HfO膜,HfOC膜,HfCN膜,HfON膜,HfOCN膜)时,作为包含Hf的原料气体,可以使用包含Hf、C及卤素且具有Hf-C键的原料气体、包含Hf及卤素的原料气体。作为包含Hf及卤素的原料气体,例如可使用四氯化铪(HfCl4)等包含Hf及氯基的原料气体、四氟化铪(HfF4)等包含Hf及氟基的原料气体。作为氧化气体、氮化气体、胺系催化剂气体、非含氧气体,可以使用与上述实施方式相同的气体。此时的处理条件例如可以为与上述实施方式相同的处理条件。
另外例如,在形成包含Ta的金属系薄膜(TaO膜、TaOC膜、TaCN膜、TaON膜、TaOCN膜)时,作为包含Ta的原料气体,可以使用包含Ta、C及卤素且具有Ta-C键的原料气体、包含Ta及卤素的原料气体。作为包含Ta及卤素的原料气体,例如可使用五氯化钽(TaCl5)等包含Ta及氯基的原料气体、五氟化钽(TaF5)等包含Ta及氟基的原料气体。作为氧化气体、氮化气体、胺系催化剂气体、非含氧气体,可以使用与上述实施方式相同的气体。此时的处理条件例如可以为与上述实施方式相同的处理条件。
另外例如,在形成包含Al的金属系薄膜(AlO膜、AlOC膜、AlCN膜、AlON膜、AlOCN膜)时,作为包含Al的原料气体,可以使用包含Al、C及卤素且具有Al-C键的原料气体、包含Al及卤素的原料气体。作为包含Al及卤素的原料气体,例如可使用三氯化铝(AlCl3)等包含Al及氯基的原料气体、三氟化铝(AlF3)等包含Al及氟基的原料气体。作为氧化气体、氮化气体、胺系催化剂气体、非含氧气体,可以使用与上述实施方式相同的气体。此时的处理条件例如可以为与上述实施方式相同的处理条件。
另外例如,在形成包含Mo的金属系薄膜(MoO膜、MoOC膜,、MoON膜、MoOCN膜)时,作为包含Mo的原料气体,可以使用包含Mo、C及卤素且具有Mo-C键的原料气体、包含Mo及卤素的原料气体。作为包含Mo及卤素的原料气体,例如可使用五氯化钼(MoCl5)等包含Mo及氯基的原料气体、五氟化钼(MoF5)等包含Mo及氟基的原料气体。作为氧化气体、氮化气体、胺系催化剂气体、非含氧气体,可以使用与上述实施方式相同的气体。此时的处理条件可以为与例如上述实施方式相同的处理条件。
即,本发明可适合地用于形成包含半导体元素、金属元素等规定元素的薄膜的情形。
需要说明的是,关于用于上述各种薄膜成膜的工艺制程(记载有处理步骤、处理条件的程序),优选地,与衬底处理的内容(所形成的薄膜的膜种、组成比、膜质、膜厚等)相应地分别单独准备(准备多个)。并且优选地,在开始衬底处理时,与衬底处理的内容相应地,从多个工艺制程中适当选择合适的工艺制程。具体而言,优选地,经由电气通信线路、记录有该工艺制程的记录介质(外部存储装置123),将与衬底处理的内容相应地单独准备的多个工艺制程预先存储(安装)在衬底处理装置所具有的存储装置121c内。然后,在开始衬底处理时,优选地,衬底处理装置所具有的CPU121a与衬底处理的内容相应地从存储于存储装置121c内的多个工艺制程中适当选择合适的工艺制程。通过这样构成,能够在1台衬底处理装置中通用地且再现性良好地形成各种膜种、组成比、膜质、膜厚的薄膜。此外,可以降低操作者的操作负担(处理步骤、处理条件的输入负担等),避免操作失误,同时可以迅速地开始衬底处理。
上述工艺制程不限于新作成的情况,例如可以通过改变已安装于衬底处理装置的已有的工艺制程来准备。在改变工艺制程时,可以经由电气通信线路、记录有该工艺制程的记录介质将变更后的工艺制程安装于衬底处理装置。此外,可以操作已有的衬底处理装置所具有的输入输出装置122,直接改变已安装于衬底处理装置的已有的工艺制程。
另外,在上述实施方式等的成膜顺序中,也对在室温下形成SiOC膜、SiO膜、层合膜等的例子进行了说明,这种情况下,不必利用加热器207对处理室201内进行加热,可以不在衬底处理装置中设置加热器。由此,能够简化衬底处理装置的加热体统的构成,能够使衬底处理装置成为廉价且简单的结构。这种情况下,在与形成SiOC膜、SiO膜、层合膜等的工序的处理室不同的处理室中,以Ex-Situ的方式进行SiOC膜、SiO膜、层合膜等的改质工序。
另外,在上述实施方式等中,对使用一次处理多片衬底的批量式衬底处理装置形成薄膜的例子进行了说明,但本发明并不限于此,也可合适地适用于使用一次处理一片或数片衬底的单片式衬底处理装置形成薄膜的情形。另外,在上述实施方式中,对使用具有热壁式处理炉的衬底处理装置形成薄膜的例子进行了说明,但本发明并不限于此,也可合适地适用于使用具有冷壁式处理炉的衬底处理装置形成薄膜的情形。上述情况下的处理条件可以为与例如上述实施方式相同的处理条件。
另外,可以将上述各实施方式及各变形例适当组合使用。另外,此时的处理条件可以为与例如上述实施方式相同的处理条件。
实施例
(第一实施例)
作为本发明的实施例,使用上述实施方式中的衬底处理装置,按照上述实施方式中的图7的成膜顺序,在晶片上形成SiOC膜,进行SiOC膜的改质处理,对各个SiOC膜的各种特性进行评价。作为SiOC膜的改质处理,未进行第一热处理,只进行了第二热处理。此时,作为原料气体使用BTCSM气体,作为氧化气体使用H2O气体,作为催化剂气体使用吡啶气体,作为SiOC膜改质处理时的热处理气体使用N2气。处理条件为与上述实施方式相同的处理条件。
图16是表示本实施例的评价结果的曲线图,(a)是表示在热处理前后的SiOC膜的比介电常数的曲线图,(b)是表示在热处理前后的SiOC膜的湿蚀刻速率(WER)的曲线图,(c)是表示SiOC膜的WER的热处理的温度依存性的曲线图。
图16(a)的曲线图的横轴表示SiOC膜的处理状态,从左开始,依次表示将晶片的温度设为60℃并进行成膜而且未接受热处理的SiOC膜的例子(60℃as depo),将晶片的温度设为60℃并进行成膜后、在N2气的气氛下将晶片的温度设为600℃并进行30分钟的热处理而得到的SiOC膜的例子(600℃30min N2anneal)。另外,图的纵轴表示SiOC膜的比介电常数(k value)。所谓SiOC膜的比介电常数,是SiOC膜的介电常数ε相对于真空的介电常数ε0之比εr=ε/ε0
由图16(a)可知,本实施例的热处理前的SiOC膜的比介电常数为7.76。另外,根据本申请发明人进行的其他评价,在较高的温度下成膜的SiOC膜的比介电常数为4.5左右。可知,本实施例的SiOC膜的比介电常数在热处理前比在较高的温度下成膜的SiOC膜的比介电常数高。与此相对,本实施例的热处理后的SiOC膜的比介电常数为3.58,可知,可得到将上述的在较高的温度下成膜的SiOC膜的比介电常数(4.5左右)、通常的热氧化膜的比介电常数(3.9左右)大幅降低的比介电常数。认为其主要原因在于,通过SiOC膜的热处理从而将低温条件下形成的SiOC膜中所含的水分、Cl等杂质等提高介电常数的物质从SiOC膜中除去、和SiOC膜被多孔化。
图16(b)的曲线图的横轴与图16(a)相同,从左依次分别表示“60℃as depo”及“600℃30min N2anneal”。另外,图的纵轴表示SiOC膜的被1%浓度的含有氟化氢的溶液(1%HF水溶液)蚀刻的WER[a.u.]。此处,WER为每单位时间的蚀刻深度。
对于图16(b)中的热处理前的SiOC膜,由其WER可确认具有较好的蚀刻耐性。在其他评价中,本申请发明人确认该SiOC膜的WER相当于比在低温条件下成膜的SiO膜的WER低的值。另外,由图16(b)可知,热处理后的SiOC膜的WER是热处理前的SiOC膜的WER的1/8以下的值。可以认为,其相当于比通常的热氧化膜的WER低的值,在热处理后的SiOC膜中可得到更加优异的蚀刻耐性。如上所述,可知通过SiOC膜的热处理,可减少SiOC膜中的杂质,并进一步提高蚀刻耐性。
图16(c)的曲线图的横轴表示将晶片的温度设为60℃并进行成膜后、在N2气的气氛下进行30分钟的热处理而得到的SiOC膜的热处理时的温度条件,从左开始依次表示200℃、300℃、500℃、600℃、630℃的例子。另外,图的纵轴与图16(b)相同,表示SiOC膜的1%HF水溶液蚀刻的WER[a.u.]。
在图16(c)中,在热处理时的温度为200℃时,由其WER确认出可获得由改质处理带来的规定效果。另外,由图16(c)可知,在热处理时的温度为300℃时,可成为热处理时的温度为200℃时的WER的约一半的WER,得到更加良好的结果。另外,热处理时的温度为500℃时,WER的降低更显著,可知能够获得与高于500℃的温度、即600℃、630℃的结果相比毫不逊色的结果。可知热处理时的温度为500℃、600℃、630℃时的WER均在热处理时的温度为200℃时的WER的约十分之一以下。由此可知,通过使热处理时的温度至少为500℃以上,能够获得使蚀刻耐性提高的一定以上的显著效果。另外,虽然在500℃以上的温度下WER的降低程度减缓,但在630℃可观察到WER进一步降低的趋势。630℃时的WER为500℃时的WER的7成左右。因此,可以预测的是,通过将温度提高至630℃、进而630℃以上,能够获得更优异的蚀刻耐性。如上所述,可知通过提高热处理时的温度,从而使降低SiOC膜的WER的效果进一步提高。
(第二实施例)
作为本发明的实施例,使用上述实施方式中的衬底处理装置,按照上述实施方式中的图7的成膜顺序在晶片上形成SiOC膜,并进行SiOC膜的改质处理。作为SiOC膜的改质处理,准备进行了第一热处理及第二热处理这两者的样品(样品1)、和未进行第一热处理而只进行了第二热处理的样品(样品2)。然后,评价各样品的SiOC膜的各种特性。作为原料气体使用BTCSM气体,作为氧化气体使用H2O气体,作为催化剂气体使用吡啶气体,作为SiOC膜改质处理时的热处理气体使用N2气。将形成样品1的SiOC膜时的第一热处理中的晶片的温度(第一温度)设为450℃,将第二热处理中的晶片温度(第二温度)设为600℃。将形成样品2的SiOC膜时的第二热处理中的晶片温度(第二温度)设为600℃。除此之外的处理条件为与上述实施方式相同的处理条件。
图18是表示本实施例的评价结果的图,其对样品1的SiOC膜和样品2的SiOC膜的各种特性(WER、shrink rate(收缩率)、比介电常数(k value))进行比较并总结在表中。
由图18可知,样品1的SiOC膜的WER是样品2的SiOC膜的WER的1/17以下,样品1的SiOC膜的WER远小于样品2的SiOC膜的WER。需要说明的是,可知样品2的SiOC膜的WER也比较小,样品2的SiOC膜也具有比较良好的蚀刻耐性。即,可知样品1的SiOC膜的WER比上述较小的WER(样品2的SiOC膜的WER)更小,样品1的SiOC膜具有将上述良好的蚀刻耐性(样品2的SiOC膜的蚀刻耐性)进一步提高的蚀刻耐性。认为这是由下述情形导致的结果,即,在为样品2的SiOC膜的情况下,通过第二热处理从SiOC膜中除去了SiOC膜中所含的水分、Cl等杂质,与此相对,在为样品1的SiOC膜的情况下,通过阶段性地进行第一热处理及第二热处理,除了SiOC膜中所含的水分、Cl等杂质以外,还从SiOC膜中充分地除去了CxHy类杂质。
另外,由图18可知,样品1的SiOC膜的收缩率是样品2的SiOC膜的收缩率的9/10左右,样品1的SiOC膜的收缩率小于样品2的SiOC膜的收缩率。需要说明的是,所谓收缩率,是表示改质处理后的SiOC膜相对于改质处理前的SiOC膜的收缩率、即经改质处理SiOC膜进行收缩的比例。也即,可知与样品2的SiOC膜相比,样品1的SiOC膜经改质处理未进行收缩。反过来说,可知与样品1的SiOC膜相比,样品2的SiOC膜经改质处理而收缩。
样品1的SiOC膜的收缩率之所以小,认为是因为通过对样品1的SiOC膜阶段性地实施第一热处理及第二热处理,即,通过以2个阶段进行温度不同的热处理,能够抑制由从SiOC膜中脱离的水分、Cl等导致的SiOC膜的氧化,能够抑制膜收缩率。另外,样品2的SiOC膜的收缩率之所以大,认为是因为通过不对样品2的SiOC膜实施第一热处理而只对其实施第二热处理,即,通过以1个阶段在较高温度下进行热处理,从而SiOC膜被从SiOC膜中脱离的水分、Cl等氧化,SiOC膜变得易于收缩。
另外,由图18可知,样品1的SiOC膜的比介电常数为2.68,样品2的SiOC膜的比介电常数为3.58,样品1的SiOC膜的比介电常数小于样品2的SiOC膜的比介电常数。需要说明的是,可知虽然样品2的SiOC膜的比介电常数(3.58)是将通常的热氧化膜的比介电常数(3.9左右)大幅降低的比介电常数,但样品1的SiOC膜的比介电常数(2.68)是将其进一步降低的比介电常数。
样品2的SiOC膜的比介电常数之所以大幅低于通常的热氧化膜的比介电常数,认为下述情形是主要原因,即,通过相对于SiOC膜的第二热处理,SiOC膜中所含的水分、Cl等杂质等提高介电常数的物质被从SiOC膜中除去,以及SiOC膜被多孔化。样品1的SiOC膜的比介电常数之所以大幅低于通常的热氧化膜的比介电常数、样品2的SiOC膜的比介电常数,认为下述情形是主要原因,即,通过相对于SiOC膜的第一热处理及第二热处理,即,通过改变温度并阶段性进行的热处理,除了SiOC膜中所含的水分、Cl等杂质等提高介电常数的物质以外,CxHy类杂质等提高介电常数的物质也被从SiOC膜中充分地除去,以及SiOC膜的多孔化进一步发展。
<本发明的优选方案>
以下,附记本发明的优选方案。
(附记1)
根据本发明的一方案,提供一种半导体器件的制造方法,其具有下述工序:
将包括对衬底供给原料气体的工序、对所述衬底供给氧化气体的工序、和对所述衬底供给催化剂气体的工序的循环进行规定次数,所述原料气体包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的工序;
在高于形成所述薄膜的工序中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的工序;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的工序。
(附记2)
如附记1所述的半导体器件的制造方法,其中,
所述第一杂质包含水分(H2O)及氯(Cl),所述第二杂质包含烃化合物(CxHy类杂质)。
(附记3)
如附记1或2所述的半导体器件的制造方法,其中,
所述第一温度为如下温度:在从所述薄膜中除去所述第一杂质时,所述薄膜不被所述第一杂质氧化。
(附记4)
如附记1至3中任一项所述的半导体器件的制造方法,其中,
所述第一温度为如下温度:在从所述薄膜中除去所述第一杂质时,所述第一杂质和所述薄膜中所含的与所述第一杂质不同的杂质不进行反应。
(附记5)
如附记1至4中任一项所述的半导体器件的制造方法,其中,
所述第一温度为如下温度:在从所述薄膜中除去所述第一杂质时,所述第一杂质与所述薄膜中所含的所述第二杂质不进行反应。
(附记6)
如附记1至5中任一项所述的半导体器件的制造方法,其中,
所述第一温度为300℃以上且450℃以下的范围内的温度。
(附记7)
如附记1至6中任一项所述的半导体器件的制造方法,其中,
所述第一温度为300℃以上且400℃以下的范围内的温度。
(附记8)
如附记1至7中任一项所述的半导体器件的制造方法,其中,
所述第一温度为300℃以上且350℃以下的范围内的温度。
(附记9)
如附记1至8中任一项所述的半导体器件的制造方法,其中,
所述第二温度为300℃以上900℃以下的范围内的温度。
(附记10)
如附记1至9中任一项所述的半导体器件的制造方法,其中,
所述第二温度为350℃以上且700℃以下的范围内的温度。
(附记11)
如附记1至10中任一项所述的半导体器件的制造方法,其中,
所述第二温度为400℃以上且700℃以下的范围内的温度。
(附记12)
如附记1至11中任一项所述的半导体器件的制造方法,其中,
所述第二温度为450℃以上且600℃以下的范围内的温度。
(附记13)
如附记1至12中任一项所述的半导体器件的制造方法,其中,
所述第二温度为高于所述第一温度的温度。
(附记14)
如附记1至13中任一项所述的半导体器件的制造方法,其中,
所述第二温度为与所述第一温度同等的温度(相同的温度)。
(附记15)
如附记1至14中任一项所述的半导体器件的制造方法,其中,
在所述形成薄膜的工序中,使所述衬底的温度为室温以上且150℃以下的温度。
(附记16)
如附记1至15中任一项所述的半导体器件的制造方法,其中,
在所述形成薄膜的工序中,使所述衬底的温度为室温以上且100℃以下的温度。
(附记17)
如附记1至16中任一项所述的半导体器件的制造方法,其中,
在所述形成薄膜的工序中,使所述衬底的温度为50℃以上且100℃以下的温度。
(附记18)
如附记1至17中任一项所述的半导体器件的制造方法,其中,
所述规定元素包括硅(Si),所述原料气体具有选自由Si-C键、Si-C-Si键及Si-C-C-Si键组成的组中的至少一种。
(附记19)
如附记1至18中任一项所述的半导体器件的制造方法,其中,
所述原料气体包含选自由二(三氯甲硅烷基)甲烷((SiCl3)2CH2)气体、1,2-二(三氯甲硅烷基)乙烷((SiCl3)2C2H4)气体、1,1,2,2-四氯-1,2-二甲基乙硅烷((CH3)2Si2Cl4)气体、及1,2-二氯-1,1,2,2-四甲基乙硅烷((CH3)4Si2Cl2)气体组成的组中的至少一种。
(附记20)
如附记1至19中任一项所述的半导体器件的制造方法,其中,
所述催化剂气体包含胺系催化剂气体。
(附记21)
如附记1至20中任一项所述的半导体器件的制造方法,其中,
所述催化剂气体包含选自由三乙胺((C2H5)3N)气体、二乙胺((C2H5)2NH)气体、单乙胺(C2H5NH2)气体、三甲胺((CH3)3N)气体、单甲胺((CH3)NH2)气体、吡啶(C5H5N)气体、氨基吡啶(C5H6N2)气体、甲基吡啶(C6H7N)气体、二甲基吡啶(C7H9N)气体、哌嗪(C4H10N2)气体、及哌啶(C5H11N)气体组成的组中的至少一种。
(附记22)
如附记1至21中任一项所述的半导体器件的制造方法,其中,
所述催化剂气体包含吡啶(C5H5N)气体。
(附记23)
如附记1至22中任一项所述的半导体器件的制造方法,其中,
所述氧化气体包含选自由水蒸气(H2O气体)、臭氧(O3)气体、过氧化氢(H2O2)气体、氢(H2)气及氧(O2)气、氢(H2)气及臭氧(O3)气体组成的组中的至少一种。
(附记24)
如附记1至23中任一项所述的半导体器件的制造方法,其中,
所述氧化气体包含水蒸气(H2O气体)。
(附记25)
如附记1至24中任一项所述的半导体器件的制造方法,其中,
在除去所述第一杂质的工序及除去所述第二杂质的工序中,通过对所述衬底供给非含氧气体,从而在不含氧的气氛下进行所述热处理。
(附记26)
如附记1至25中任一项所述的半导体器件的制造方法,其中,
在除去所述第一杂质的工序及除去所述第二杂质的工序中,通过对所述衬底供给非活性气体,从而在非活性气体气氛下进行所述热处理。
(附记27)
如附记1至26中任一项所述的半导体器件的制造方法,其中,
所述形成薄膜的工序及对所述薄膜进行热处理的工序在相同的处理室内进行。
(附记28)
如附记1至27中任一项所述的半导体器件的制造方法,其中,
所述形成薄膜的工序及对所述薄膜进行热处理的工序在各不相同的处理室内进行。
(附记29)
根据本发明的另一方案,提供一种衬底处理方法,其具有下述工序:
将包括对衬底供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体的工序、对所述衬底供给氧化气体的工序、和对所述衬底供给催化剂气体的工序的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的工序;
在高于形成所述薄膜的工序中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的工序;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的工序。
(附记30)
根据本发明的又一方案,提供一种衬底处理装置,其具有:
处理室,对衬底进行收纳;
原料气体供给系统,向所述处理室内供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体;
氧化气体供给系统,向所述处理室内供给氧化气体;
催化剂气体供给系统,向所述处理室内供给催化剂气体;
加热器,对所述处理室内的衬底进行加热;和
控制部,以进行下述处理的方式控制所述原料气体供给系统、所述氧化气体供给系统、所述催化剂气体供给系统及所述加热器,所述处理为:将包括对所述处理室内的衬底供给所述原料气体的处理、对所述处理室内的所述衬底供给所述氧化气体的处理、和对所述处理室内的所述衬底供给所述催化剂气体的处理的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的处理;在高于形成所述薄膜的处理中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的处理;和在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的处理。
(附记31)
根据本发明的又一方案,提供一种衬底处理系统,其具有在衬底上形成薄膜的第一衬底处理部和对所述薄膜进行热处理的第二衬底处理部,其中,
所述第一衬底处理部具有:
第一处理室,对衬底进行收纳;
原料气体供给系统,向所述第一处理室内供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体;
氧化气体供给系统,向所述第一处理室内供给氧化气体;
催化剂气体供给系统,向所述第一处理室内供给催化剂气体;和
第一控制部,以进行下述处理的方式控制所述原料气体供给系统、所述氧化气体供给系统及所述催化剂气体供给系统,所述处理为:将包括对所述第一处理室内的衬底供给所述原料气体的处理、对所述第一处理室内的所述衬底供给所述氧化气体的处理、和对所述第一处理室内的所述衬底供给所述催化剂气体的处理的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜,
所述第二衬底处理部具有:
第二处理室,对衬底进行收纳;
加热器,对所述第二处理室内的衬底进行加热;和
第二控制部,以进行下述处理的方式控制所述加热器,所述处理为:于在所述第二处理室内收纳了形成有所述薄膜的所述衬底的状态下,在高于形成所述薄膜的处理中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的处理;和在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的处理。
(附记32)
根据本发明的又一方案,提供一种程序、及记录有该程序的计算机可读取记录介质,所述程序使计算机执行下述步骤:
将包括对处理室内的衬底供给包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键的原料气体的步骤、对所述处理室内的所述衬底供给氧化气体的步骤、和对所述处理室内的所述衬底供给催化剂气体的步骤的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的步骤;
在高于形成所述薄膜的步骤中的所述衬底的温度的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去第一杂质的步骤;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去与所述第一杂质不同的第二杂质的步骤。
产业上的利用可能性
本发明的半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质可用于半导体器件的制造领域等。
符号说明
121 控制器(控制部)
200 晶片(衬底)
201 处理室
202 处理炉
203 反应管
207 加热器
209 集流管
231 排气管
232a 第一原料气体供给管
232b 第一氧化气体供给管
232c 第一催化剂气体供给管
244 APC阀(压力调节部)

Claims (15)

1.一种半导体器件的制造方法,其具有下述工序:
将包括对衬底供给原料气体的工序、对所述衬底供给氧化气体的工序、和对所述衬底供给非含氧的催化剂气体的工序的循环进行规定次数,所述原料气体包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜;
在比形成所述薄膜的工序中的所述衬底的温度高的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去包含水分及氯的第一杂质;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去包含烃化合物的、与所述第一杂质不同的第二杂质。
2.如权利要求1所述的半导体器件的制造方法,其中,所述第一温度为如下温度:在从所述薄膜中除去所述第一杂质时,所述薄膜不被所述第一杂质氧化。
3.如权利要求2所述的半导体器件的制造方法,其中,所述第一温度为如下温度:在从所述薄膜中除去所述第一杂质时,所述第一杂质和所述薄膜中所含的与所述第一杂质不同的杂质不进行反应。
4.如权利要求2所述的半导体器件的制造方法,其中,所述第一温度为如下温度:在从所述薄膜中除去所述第一杂质时,所述第一杂质与所述薄膜中所含的所述第二杂质不进行反应。
5.如权利要求2所述的半导体器件的制造方法,其中,所述第一温度为300℃以上且450℃以下的范围内的温度。
6.如权利要求5所述的半导体器件的制造方法,其中,所述第二温度为300℃以上且900℃以下的范围内的温度。
7.如权利要求6所述的半导体器件的制造方法,其中,在所述形成薄膜的工序中,使所述衬底的温度为室温以上且150℃以下的温度。
8.如权利要求1所述的半导体器件的制造方法,其中,所述规定元素包括硅,所述原料气体具有选自由Si-C键、Si-C-Si键及Si-C-C-Si键组成的组中的至少一种。
9.如权利要求1所述的半导体器件的制造方法,其中,所述原料气体包含选自由二(三氯甲硅烷基)甲烷((SiCl3)2CH2)气体、1,2-二(三氯甲硅烷基)乙烷((SiCl3)2C2H4)气体、1,1,2,2-四氯-1,2-二甲基乙硅烷((CH3)2Si2Cl4)气体、及1,2-二氯-1,1,2,2-四甲基乙硅烷((CH3)4Si2Cl2)气体组成的组中的至少一种。
10.如权利要求1所述的半导体器件的制造方法,其中,所述催化剂气体包含选自由三乙胺((C2H5)3N)气体、二乙胺((C2H5)2NH)气体、单乙胺(C2H5NH2)气体、三甲胺((CH3)3N)气体、单甲胺((CH3)NH2)气体、吡啶(C5H5N)气体、氨基吡啶(C5H6N2)气体、甲基吡啶(C6H7N)气体、二甲基吡啶(C7H9N)气体、哌嗪(C4H10N2)气体、及哌啶(C5H11N)气体组成的组中的至少一种。
11.如权利要求1所述的半导体器件的制造方法,其中,所述氧化气体包含选自由水蒸气(H2O气体)、臭氧(O3)气体、过氧化氢(H2O2)气体、氢(H2)气及氧(O2)气、氢(H2)气及臭氧(O3)气体组成的组中的至少一种。
12.如权利要求1所述的半导体器件的制造方法,其中,在除去所述第一杂质的工序及除去所述第二杂质的工序中,通过对所述衬底供给非含氧气体,从而在不含氧的气氛下进行所述热处理。
13.一种衬底处理装置,其具有:
处理室,对衬底进行收纳;
原料气体供给系统,向所述处理室内供给原料气体,所述原料气体包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键;
氧化气体供给系统,向所述处理室内供给氧化气体;
催化剂气体供给系统,向所述处理室内供给非含氧的催化剂气体;
加热器,对所述处理室内的衬底进行加热;和
控制部,以进行下述处理的方式控制所述原料气体供给系统、所述氧化气体供给系统、所述催化剂气体供给系统及所述加热器,所述处理为:将包括对所述处理室内的衬底供给所述原料气体的处理、对所述处理室内的所述衬底供给所述氧化气体的处理、和对所述处理室内的所述衬底供给所述催化剂气体的处理的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜的处理;在比形成所述薄膜的处理中的所述衬底的温度高的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去包含水分及氯的第一杂质的处理;和在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去包含烃化合物的、与所述第一杂质不同的第二杂质的处理。
14.一种衬底处理系统,其具有在衬底上形成薄膜的第一衬底处理部和对所述薄膜进行热处理的第二衬底处理部,其中,
所述第一衬底处理部具有:
第一处理室,对衬底进行收纳;
原料气体供给系统,向所述第一处理室内供给原料气体,所述原料气体包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键;
氧化气体供给系统,向所述第一处理室内供给氧化气体;
催化剂气体供给系统,向所述第一处理室内供给非含氧的催化剂气体;和
第一控制部,以进行下述处理的方式控制所述原料气体供给系统、所述氧化气体供给系统及所述催化剂气体供给系统,所述处理为:将包括对所述第一处理室内的衬底供给所述原料气体的处理、对所述第一处理室内的所述衬底供给所述氧化气体的处理、和对所述第一处理室内的所述衬底供给所述催化剂气体的处理的循环进行规定次数,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜,
所述第二衬底处理部具有:
第二处理室,对衬底进行收纳;
加热器,对所述第二处理室内的衬底进行加热;和
第二控制部,以进行下述处理的方式控制所述加热器,所述处理为:于在所述第二处理室内收纳了形成有所述薄膜的所述衬底的状态下,在比形成所述薄膜的处理中的所述衬底的温度高的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去包含水分及氯的第一杂质的处理;和在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去包含烃化合物的、与所述第一杂质不同的第二杂质的处理。
15.一种计算机可读取记录介质,记录有使计算机执行下述步骤的程序:
将包括对处理室内的衬底供给原料气体的步骤、对所述处理室内的所述衬底供给氧化气体的步骤、和对所述处理室内的所述衬底供给非含氧的催化剂气体的步骤的循环进行规定次数,所述原料气体包含规定元素、碳及卤素且具有由所述规定元素和碳形成的化学键,由此在所述衬底上形成包含所述规定元素、氧及碳的薄膜;
在比形成所述薄膜的步骤中的所述衬底的温度高的第一温度下对所述薄膜进行热处理,由此从所述薄膜中除去包含水分及氯的第一杂质;和
在所述第一温度以上的第二温度下对所述薄膜进行热处理,由此从以所述第一温度进行了热处理后的所述薄膜中除去包含烃化合物的、与所述第一杂质不同的第二杂质。
CN201380079276.7A 2013-09-30 2013-09-30 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质 Active CN105493248B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2013/076571 WO2015045163A1 (ja) 2013-09-30 2013-09-30 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Publications (2)

Publication Number Publication Date
CN105493248A CN105493248A (zh) 2016-04-13
CN105493248B true CN105493248B (zh) 2018-04-10

Family

ID=52742360

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380079276.7A Active CN105493248B (zh) 2013-09-30 2013-09-30 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质

Country Status (5)

Country Link
US (1) US9673043B2 (zh)
JP (1) JP6068661B2 (zh)
KR (1) KR101846850B1 (zh)
CN (1) CN105493248B (zh)
WO (1) WO2015045163A1 (zh)

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6111317B2 (ja) * 2013-03-19 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6470060B2 (ja) 2015-01-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
WO2019032457A1 (en) * 2017-08-08 2019-02-14 Applied Materials, Inc. METHODS AND APPARATUSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
CN108559975B (zh) * 2018-02-06 2020-08-25 江苏微导纳米科技股份有限公司 空间催化式化学气相沉积设备
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
JP6843298B2 (ja) * 2018-04-27 2021-03-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020016087A1 (en) 2018-07-17 2020-01-23 Asml Netherlands B.V. Particle beam inspection apparatus
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP6608516B2 (ja) * 2018-12-19 2019-11-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6910387B2 (ja) 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7329021B2 (ja) 2021-09-14 2023-08-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理システム、およびプログラム
CN117981061A (zh) * 2021-12-24 2024-05-03 株式会社国际电气 衬底处理方法、半导体器件的制造方法、衬底处理装置及程序

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358830B1 (en) * 1998-12-22 2002-03-19 Seiko Epson Corporation Method for manufacturing semiconductor device having interlayer dielectric film layers with like etch speeds
CN101182633A (zh) * 2006-11-14 2008-05-21 应用材料股份有限公司 低温原子层沉积二氧化硅
CN101528974A (zh) * 2006-10-16 2009-09-09 应用材料股份有限公司 浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺ⅱ( harpⅱ)使用不同的硅氧烷前体—远端等离子辅助沉积工艺

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US20030170605A1 (en) * 2002-03-11 2003-09-11 Egan Visual Inc. Vapor deposited writing surfaces
JP4107411B2 (ja) * 2002-03-26 2008-06-25 大日本印刷株式会社 積層体およびその製造方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4854286B2 (ja) 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
US7767594B2 (en) * 2006-01-17 2010-08-03 Hitachi Kokusai Electric Inc. Semiconductor device producing method
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
JP5246843B2 (ja) * 2007-11-07 2013-07-24 株式会社日立国際電気 基板処理装置、ベーキング方法及び半導体装置の製造方法
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358830B1 (en) * 1998-12-22 2002-03-19 Seiko Epson Corporation Method for manufacturing semiconductor device having interlayer dielectric film layers with like etch speeds
CN101528974A (zh) * 2006-10-16 2009-09-09 应用材料股份有限公司 浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺ⅱ( harpⅱ)使用不同的硅氧烷前体—远端等离子辅助沉积工艺
CN101182633A (zh) * 2006-11-14 2008-05-21 应用材料股份有限公司 低温原子层沉积二氧化硅

Also Published As

Publication number Publication date
JPWO2015045163A1 (ja) 2017-03-09
US9673043B2 (en) 2017-06-06
KR101846850B1 (ko) 2018-04-09
JP6068661B2 (ja) 2017-01-25
CN105493248A (zh) 2016-04-13
WO2015045163A1 (ja) 2015-04-02
KR20160039670A (ko) 2016-04-11
US20160211135A1 (en) 2016-07-21

Similar Documents

Publication Publication Date Title
CN105493248B (zh) 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质
TWI540643B (zh) A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium
TWI536463B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP6470057B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US9384961B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US9761437B2 (en) Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US9698007B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI543260B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP5852147B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
TWI508173B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
US9425075B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6112928B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6745887B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2017005016A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20160071720A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2013187324A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体
CN113169069A (zh) 半导体器件的制造方法、衬底处理装置及程序

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181129

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.