TWI543260B - A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium - Google Patents

A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium Download PDF

Info

Publication number
TWI543260B
TWI543260B TW103102913A TW103102913A TWI543260B TW I543260 B TWI543260 B TW I543260B TW 103102913 A TW103102913 A TW 103102913A TW 103102913 A TW103102913 A TW 103102913A TW I543260 B TWI543260 B TW I543260B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
containing gas
film
hydrogen
Prior art date
Application number
TW103102913A
Other languages
English (en)
Other versions
TW201442116A (zh
Inventor
Takashi Ozaki
Hideki Horita
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201442116A publication Critical patent/TW201442116A/zh
Application granted granted Critical
Publication of TWI543260B publication Critical patent/TWI543260B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置之製造方法,基板處理裝置及記錄媒體
本發明係關於半導體裝置之製造方法、基板處理裝置及記錄媒體,尤其是關於具有對處理室內之基板供給原料氣體及反應氣體而於基板上形成薄膜之步驟的半導體裝置之製造方法,基板處理裝置及記錄媒體。
作為半導體裝置之製造步驟的一步驟,有時係進行下述步驟:藉由對處理室內之基板,進行既定次數之包含供給原料氣體之步驟與供給反應氣體之步驟的周期,而於基板上形成薄膜的步驟。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2006-190787號公報
[專利文獻2]日本專利特開2010-153776號公報
如此形成薄膜,係期望其膜厚均勻性優越。本發明之主要目的在於提供具備有可形成膜厚均勻性優越之薄膜之步驟的半導體裝置之製造方法、基板處理裝置及記錄媒體。
根據本發明之一態樣,提供一種半導體裝置之製造方法,其具有下述步驟:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板,供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的步驟;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之步驟、與對上述處理室內之上述基板供給反應氣體之步驟的周期,而於進行了上述前處理之上述基板上形成薄膜的步驟。
根據本發明之其他態樣,提供一種基板處理裝置,其具有:處理室,用以收容基板;加熱器,對上述處理室內之基板進行加熱;氣體供給系統,對上述處理室內供給氣體;壓力調整部,用以調整上述處理室內之壓力;與控制部,係依進行下述處理之方式,控制上述加熱器、上述氣體供給系統及上述壓力調整部:對藉由上述壓力調整部之控制而設定成未滿大氣壓之壓力的上述處理室內藉由上述加熱器經加熱之基板,藉上述氣體供給系統供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的處理;與藉由進行既定次數之包含對上述處理室內之上述基板藉上述氣體供給系統供給原料氣體之處理、與對上述處理室內之上述基板藉上述氣體供給系統供給反應氣體之處理的周期,而於進行了上述前處理之上述基板上形成薄膜的處理。
根據本發明之另一態樣,提供一種電腦可讀取之記錄媒體,其係記錄了程式者;該程式係使電腦實行下述手續: 對位於未滿大氣壓之壓力下的處理室內的經加熱之基板供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的手續;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之手續、與對上述處理室內之上述基板供給反應氣體之手續的周期,而於進行了上述前處理之上述基板上形成薄膜的手續。
根據本發明,可提供具備有可形成膜厚均勻性優越之薄膜之步驟的半導體裝置之製造方法、基板處理裝置及記錄媒體。
115‧‧‧板升降器
115s‧‧‧閘門開關機構
121‧‧‧控制器(控制部)
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸出入裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
209‧‧‧分岐管
217‧‧‧板
218‧‧‧斷熱構件
219‧‧‧密封蓋
219s‧‧‧閘門
220a、220b、220c‧‧‧O型環
224‧‧‧電漿生成區域
231‧‧‧排氣管
232a‧‧‧第1氣體供給管
232b‧‧‧第2氣體供給管
232c‧‧‧第3氣體供給管
232d‧‧‧第1惰性氣體供給管
232e‧‧‧第2惰性氣體供給管
232f‧‧‧第3惰性氣體供給管
233a‧‧‧第1噴嘴
233b‧‧‧第2噴嘴
237‧‧‧緩衝室
241a~241f‧‧‧質量流量控制器
243a~243f‧‧‧閥
244‧‧‧APC閥(壓力調整部)
245‧‧‧壓力感測器
246‧‧‧真空泵
248a‧‧‧氣體供給孔
248b‧‧‧氣體供給孔
248c‧‧‧氣體供給孔
255‧‧‧旋轉軸
263‧‧‧溫度感測器
267‧‧‧旋轉機構
269‧‧‧第1棒狀電極
270‧‧‧第2棒狀電極
272‧‧‧整合器
273‧‧‧高頻電源
275‧‧‧電極保護管
圖1為用於說明本發明較佳實施形態所使用之基板處理裝置之縱型處理爐的概略縱剖面圖。
圖2為圖1之A-A線概略縱剖面圖。
圖3為用於說明本發明較佳實施形態所使用之基板處理裝置之控制器的區塊圖。
圖4為用於說明本發明較佳實施形態中之處理流程之流程圖。
圖5為用於說明本發明較佳實施形態之成膜程序中氣體供給時機的時序圖。
圖6(A)為用於說明不進行前處理時之成膜步驟的圖;圖6(B)為用於說明進行前處理時之成膜步驟的圖。
圖7為表示SiO膜之面內膜厚分佈與膜厚之面內均勻性的圖。
圖8為表示SiO膜與基底Si間之界面Cl濃度的圖。
圖9為表示基底Si之氧化量的圖。
圖10為表示晶圓之搭載位置與SiO膜之膜厚間之關係的圖。
圖11為表示前處理時間與SiO膜之膜厚之晶圓面內分佈等間之關係的圖。
圖12為表示前處理時間與基底Si之氧化量之相關性的圖。
本發明者等人發現,如圖6(A)所示般,以對矽晶圓等之 矽基板(Si基板)供給成為Si源之六氯二矽烷(HCDS)氣體的步驟、進行氮迫淨以去除HCDS氣體的步驟、對Si基板供給H2氣體及O2氣體的步驟、與進行氮迫淨以去除H2氣體及O2氣體的步驟作為1周期,藉由重複此周期而於Si基板上形成氧化膜時,則有氧化膜於Si基板面內之膜厚均勻性惡化的傾向、或於Si基板與氧化膜之界面容易殘留Cl等之雜質的傾向、或對基底Si之氧化進行的傾向。
本發明者等人為了解決此等問題而經潛心研究,結果得 到下述見解。
在形成100Å(10nm)以下之膜厚的氧化膜(以下亦稱為薄 膜)的情況,有膜厚均勻性惡化的傾向,而在形成超過100Å之膜厚的氧化膜(以下亦稱為厚膜)時,則膜厚均勻性較良好。如此,考量到形成較薄膜時膜厚均勻性差、形成較厚膜時則膜厚均勻性較良好的情形,認為應是在成膜初期存在某些問題。
其原因可認為,在形成較厚膜的情況,於成膜後半過程 中成膜之基底為氧化膜(SiO膜),但在成膜初期時成膜之基底為Si,於基底Si呈露出之狀態下,首先,若先流通屬於Si源之HCDS氣體,則HCDS氣體不均勻地吸附於基底Si之表面,或Si不均勻地堆積,故使膜厚均勻性惡化。
因此,可認為即使在成膜初期之膜為較薄之階段,若將 成膜之基底作成為如在形成較厚膜時成膜後半過程中之屬於成膜基底的SiO膜般的狀態,則膜厚均勻性提升。而且,並非先流通屬於Si源之HCDS氣體並於基底Si表面使HCDS氣體吸附或使Si堆積(參照圖6(A)),而是如圖6(B)所示般,首先進行流通H2氣體及O2氣體的前處理,藉此,使基底Si表面稍微氧化而SiO化,使成膜初期之基底Si之表面成為與成膜後半過程中之基底表面相同的狀況。其後,嘗試了以對Si基板供給成為Si源之HCDS氣體的步驟、進行氮迫淨以去除HCDS氣體的步驟、對Si基板供給H2氣體及O2氣體的步驟、與進行氮迫淨以去除H2氣體及O2氣體的步驟作為1周期,藉由重複此周期,以於進行了前處理之Si基板上形成氧化膜。
其結果,即使是在形成100Å以下之薄膜的情形,仍可 作成與在形成超過100Å之厚膜之情況的成膜後半過程類似的環境,結果,即使是在形成100Å以下之薄膜的情形,仍大幅改善了膜厚之面內均勻性。可認為藉由上述前處理,於基底Si之表面形成至少1層、均勻且高品質、高密度之氧化層,於此氧化層,HCDS氣體均勻地吸附、Si均勻地堆積。
另外,亦確認到在Si基板與氧化膜之界面的Cl等雜質 濃度的減低。其理由可認為,於基底Si上係HCDS氣體容易吸附,Cl等雜質亦被較多地攝入,但若於基底Si表面形成至少1層、均勻且高品質、高密度之氧化層,則在形成此氧化層時,基底Si表面之雜質被去除所致。又,藉由存在此氧化層,可抑制Cl等雜質的吸附所致。
再者,亦確認到基底Si的氧化抑制。可認為藉由於基底 Si表面形成至少1層、均勻且高品質、高密度的氧化層,此氧化層成為氧化阻隔層(阻氧化層),而抑制其後非故意之基底Si的氧化。
尚且,藉由流通H2氣體及O2氣體之前處理所形成的氧 化層的厚度,較佳係設為0.1Å以上且10Å以下。若此氧化層之厚度未滿0.1Å,則無法得到上述效果,若超過10Å,則基底Si被過度氧化,而屬不佳。
接著,參照圖式說明較佳實施形態。此實施形態係根據本發明者等人所得之上述見解者。
(較佳實施形態) (1)基板處理裝置之構成
如圖1所示,處理爐202具有作為加熱手段(加熱機構)的加熱器207。加熱器207為圓筒形狀,藉由作為支撐板之加熱器基底(未圖示)所支撐而垂直地裝配。又,加熱器207亦具有如後述般藉由熱使氣體活性化(激發)的活性化機構(激發部)的功能。
於加熱器207內側,與加熱器207呈同心圓狀地配設有反應管203。反應管203係由例如石英(SiO2)或碳化矽(SiC)等之耐熱性材料所構成,形成為上端封閉、下端開口的圓筒形狀。於反應管203下方,與反應管203呈同心圓狀地配設有多岐管(入口凸緣)209。多岐管209係由例如不鏽鋼等之金屬所構成,形成為上端及下端經開口的圓筒形狀。多岐管209之上端部係卡合至反應管203的下端部,構成為支撐反應管203。尚且,在多岐管209與反應管203之間,設有作為密封構件之O型環220a。藉由使多岐管209為加熱器基底所支撐,反應管203係成為垂直地裝配的狀態。主要由反應管203與多岐管209構成處理容器(反應容器)。於處理容器之筒中空部形成有處理室201。處理室201係構成為可將作為基板之晶圓200藉後述之板217依水平 姿勢朝垂直方向多段整列的狀態進行收容。
處理室201內,使作為第1氣體導入部之第1噴嘴233a、 作為第2氣體導入部之第2噴嘴233b設置成貫通多岐管209側壁。於第1噴嘴233a連接著第1氣體供給管232a。又,於第2噴嘴233b連接著第2氣體供給管232b及第3氣體供給管232c。如此,於反應管203設有2根之噴嘴233a、233b與3根之氣體供給管232a、232b、232c,構成為可對處理室201內供給複數種、此處為3種氣體。
於第1氣體供給管232a,由上游方向起依序設置屬於流 量控制器(流量控制部)之質量流量控制器(MFC)241a、及屬於開關閥之閥243a。又,位於較第1氣體供給管232a之閥243a更下游側,連接有第1惰性氣體供給管232d。於該第1惰性氣體供給管232d,由上游方向起依序設置屬於流量控制器之MFC241d、及屬於開關閥之閥243d。又,於第1氣體供給管232a之前端部,連接有上述第1噴嘴233a。 第1噴嘴233a係於反應管203之內壁與晶圓200之間的圓環狀空間,由反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第1噴嘴233a係在晶圓200所配列之晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。 第1噴嘴233a係構成為L字型的長噴嘴,其水平部設置成貫通多岐管209側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第1噴嘴233a側面設有用以供給氣體之氣體供給孔248a。氣體供給孔248a係朝向反應管203中心開口,可朝晶圓200供給氣體。該氣體供給孔248a係含括反應管203下部至上部而複數設置,分別具有相同之開口面積,進而依相同開口間距設置。
主要由第1氣體供給管232a、MFC241a、閥243a構成 第1氣體供給系統。又,亦可認為第1噴嘴233a包含於第1氣體供給系統中。又,主要由第1惰性氣體供給管232d、MFC241d、閥243d構成第1惰性氣體供給系統。第1惰性氣體供給系統亦作為迫淨氣體供給系統而發揮作用。
於第2氣體供給管232b,由上游方向起依序設置屬於流 量控制器之MFC241b、及屬於開關閥之閥243b。又,位於較第2氣體供給管232b之閥243b更下游側,連接有第2惰性氣體供給管232e。 於該第2惰性氣體供給管232e,由上游方向起依序設置屬於流量控制器之MFC241e、及屬於開關閥之閥243e。又,於第2氣體供給管232b之前端部,連接有上述第2噴嘴233b。第2噴嘴233b係設於屬於氣體分散空間的緩衝室237內。
緩衝室237係於反應管203之內壁與晶圓200之間的圓 環狀空間,由反應管203內壁之下部起涵蓋上部的部分,沿著晶圓200之積載方向設置。亦即,緩衝室237係在晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。於緩衝室237與晶圓200鄰接之壁的端部,設有用以供給氣體之氣體供給孔248c。 氣體供給孔248c係朝向反應管203中心的方式呈開口,可朝晶圓200供給氣體。該氣體供給孔248c係含括反應管203下部至上部而複數設置,分別具有相同之開口面積,進而依相同開口間距設置。
第2噴嘴233b係於緩衝室237之設有氣體供給孔248c 的端部相反側的端部,沿著反應管203內壁之下部起朝上部,設置成朝晶圓200之積載方向上方立起。亦即,第2噴嘴233b係在晶圓200所配列之晶圓配列區域之側方、水平包圍晶圓配列區域的區域中,設置成沿著晶圓配列區域。第2噴嘴233b係構成為L字型的長噴嘴,其 水平部設置成貫通多岐管209側壁,其垂直部設置成至少由晶圓配列區域之一端側朝另一端側立起。於第2噴嘴233b側面設有用以供給氣體之氣體供給孔248b。氣體供給孔248b係朝向緩衝室237之中心的方式呈開口。該氣體供給孔248b係與緩衝室237之氣體供給孔248c同樣地,含括反應管203下部至上部而複數設置。該複數之氣體供給孔248b之各自的開口面積,係在緩衝室237內與處理室201內之差壓較小時,由上游側(下部)起至下游側(上部),分別設為相同之開口面積且相同之開口間距;但在差壓較大時,係由上游側起至下游側,可分別增大開口面積或減小開口間距。
本實施形態中,係藉由將第2噴嘴233b之氣體供給孔 248b之各個開口面積或開口間距,從上游側至下游側如上述般進行調節,藉此,首先,雖然分別來自氣體供給孔248b之流速有差別,但使其噴出流量為幾乎相同的氣體。然後,將分別由該氣體供給孔248b所噴出之氣體,暫時導入緩衝室237內,於緩衝室237內進行氣體之流速差的均勻化。亦即,使分別由第2噴嘴233b之氣體供給孔248b噴出至緩衝室237內的氣體,於緩衝室237內緩和各氣體之粒子速度後,再由緩衝室237之氣體供給孔248c噴出至處理室201內。藉此,分別由第2噴嘴233b之氣體供給孔248b噴出至緩衝室237內的氣體,係在分別由緩衝室237之氣體供給孔248c噴出至處理室201內時,成為具有均勻之流量與流速的氣體。
主要由第2氣體供給管232b、MFC241b、閥243b構成 第2氣體供給系統。又,亦可認為第2噴嘴233b及緩衝室237包括於第2氣體供給系統中。又,主要由第2惰性氣體供給管232e、MFC241e、閥243e構成第2惰性氣體供給系統。第2惰性氣體供給系統亦作為迫 淨氣體供給系統而發揮作用。
於第3氣體供給管232c,由上游方向起依序設置屬於流 量控制器之MFC241c、及屬於開關閥之閥243c。又,位於較第3氣體供給管232c之閥243c更下游側,連接有第3惰性氣體供給管232f。 於該第3惰性氣體供給管232f,由上游方向起依序設置屬於流量控制器之MFC241f、及屬於開關閥之閥243f。又,於第3氣體供給管232c之前端部,係連接至位於較第2氣體供給管232b之閥243b更下游側。
主要由第3氣體供給管232c、MFC241c、閥243c構成 第3氣體供給系統。又,亦可認為在第2氣體供給管232b之較與第3氣體供給管232c的連接部更下游側,第2噴嘴233b及緩衝室237包含於第3氣體供給系統中。又,主要由第3惰性氣體供給管232f、MFC241f、閥243f構成第3惰性氣體供給系統。第3惰性氣體供給系統亦作為迫淨氣體供給系統而發揮作用。
如此,本實施形態中之氣體供給的方法,係經由配置在 由反應管203內壁與所積載之複數片晶圓200之端部所定義的圓環狀縱長空間,亦即,經由配置在圓筒狀空間內的噴嘴233a、233b及緩衝室237而搬送氣體,由分別於噴嘴233a、233b及緩衝室237呈開口的氣體供給孔248a、248b、248c,於晶圓200附近初次使氣體噴出至反應管203內,使反應管203內之氣體的主要流動成為與晶圓200表面呈平行的方向(亦即水平方向)。藉由此種構成,可對各晶圓200均勻地供給氣體,具有可使形成於各晶圓200之薄膜的膜厚均勻的效果。又,晶圓200之表面上所流通的氣體(亦即反應後之殘留氣體),係朝排氣口、亦即後述之排氣管231的方向流動,但該殘留氣體之流動方向係藉排氣口位置而適當特定,並不限於垂直方向。
由第1氣體供給管232a,作為含有既定元素與鹵素元素 之原料氣體、亦即含有作為既定元素之矽(Si)與鹵素元素之氯(Cl)的原料氣體(含矽及氯氣體),使例如屬於氯矽烷系原料氣體之一種的六氯矽烷(Si2Cl6,簡稱HCDS)氣體,經由MFC241a、閥243a、第1噴嘴233a供給至處理室201內。亦即,第1氣體供給系統係構成為原料氣體供給系統、亦即含矽及氯氣體供給系統(HCDS氣體供給系統)。於此,所謂氯矽烷系原料氣體,係指氣體狀態之氯矽烷系原料,例如將常溫常壓下為液體狀態之氯矽烷系原料進行氣化而得之氣體、或於常溫常壓下為氣體狀態之氯矽烷系原料等。又,所謂氯矽烷系原料,係指具有作為鹵基之氯基的矽烷系原料,為至少含有Si及Cl的原料。亦即,於此所謂氯矽烷系原料,可謂為鹵化物之一種。又,本說明書中在使用了所謂「原料」的情況,係指「屬於液體狀態之液體原料」的情況、「屬於氣體狀態之原料氣體」的情況、或其兩者的情況。因此,本說明書中在使用了所謂「氯矽烷系原料」的情況,係指「屬於液體狀態之氯矽烷系原料」的情況、「屬於氣體狀態之氯矽烷系原料氣體」的情況、或其兩者的情況。又,在使用如HCDS般於常溫常壓下呈液體狀態的液體原料時,係將液體原料藉氣化器或起泡器等之氣化系統予以氣化,作為原料氣體(HCDS氣體)而供給。
由第2氣體供給管232b,作為氧化性氣體、亦即含有氧 之氣體(含氧氣體),使例如氧(O2)氣體,經由MFC241b、閥243b、第2氣體供給管232b、第2噴嘴233b、衝緩室237供給至處理室201內。 亦即,第2氣體供給系統係構成為含氧氣體供給系統(O2氣體供給系統)。
由第3氣體供給管232c,作為還原性氣體(亦即含有氫 之氣體(含氫氣體)),係例如使氫(H2)氣體,經由MFC241c、閥243c、第2氣體供給管232b、第2噴嘴233b、緩衝室237供給至處理室201內。亦即,第3氣體供給系統係構成為含氫氣體供給系統(H2氣體供給系統)。
尚且,亦可將含氧氣體及含氫氣體稱為反應氣體,此 時,則由含氧氣體供給系統及含氫氣體供給系統構成反應氣體供給系統。主要由第1~第3氣體供給系統、亦即原料氣體供給系統與反應氣體供給系統,構成氣體供給系統。又,亦可認為各惰性氣體供給系統包含於氣體供給系統中。
本實施形態中,雖使O2氣體與H2氣體由相同噴嘴供給 至處理室201內(緩衝室237內),但亦可分別經由不同的噴嘴供給至處理室201內。其中,在複數種氣體共用噴嘴的情況,有可減少噴嘴根數,可減低裝置成本,維護亦容易等的優點。又,亦可使供給HCDS氣體之噴嘴、與供給H2氣體之噴嘴為共用。亦即,亦可將HCDS氣體與H2氣體由相同之噴嘴進行供給。尚且,於後述之成膜溫度帶,雖然HCDS氣體不與H2氣體反應,但認為會與O2氣體反應,故最好將供給HCDS氣體之噴嘴、與供給O2氣體的噴嘴分開。
於緩衝室237內,如圖2所示,使具有細長構造之屬於 第1電極之第1棒狀電極269及屬於第2電極之第2棒狀電極270,含括反應管203之下部至上部沿著晶圓200之積層方向配設。第1棒狀電極269及第2棒狀電極270分別與第2噴嘴233b平行設置。第1棒狀電極269及第2棒狀電極270分別含括上部至下部,由保護各電極之屬於保護管的電極保護管275所被覆而受到保護。該第1棒狀電極269或第2棒狀電極270之任一者,係經由整合器272連接於高頻電源 273,另一者則連接至屬於基準電位的接地。經由整合器272由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加高頻電力,藉此於第1棒狀電極269及第2棒狀電極270之間的電漿生成區域224生成電漿。主要由第1棒狀電極269、第2棒狀電極270、電極保護管275構成作為電漿產生器(電漿產生部)的電漿源。又,亦可認為整合器272、高頻電源273包含於電漿源中。又,電漿源係如後述般作為藉電漿使氣體活性化的活性化機構而發揮作用。
電極保護管275係具有可依使第1棒狀電極269及第2 棒狀電極270分別與緩衝室237內之環境隔離的狀態,插入至緩衝室237內的構造。於此,若電極保護管275之內部之氧濃度與外氣(大氣)氧濃度為相同程度,則分別插入至電極保護管275之第1棒狀電極269及第2棒狀電極270,將因加熱器207所造成之熱而被氧化。因此,藉由事先將電極保護管275內部以氮氣等之惰性氣體填充,或將電極保護管275內部使用惰性氣體迫淨機構藉氮氣等之惰性氣體進行迫淨,則構成為可減低電極保護管275內部的氧濃度,並可防止第1棒狀電極269或第2棒狀電極270的氧化。
於反應管203,設有將處理室201內之環境氣體進行排 氣的排氣管231。於排氣管231,經由作為檢測處理室201內壓力之壓力檢測器(壓力檢測器)的壓力感測器245及作為壓力調整器(壓力調整部)的APC(Auto Pressure Controller)閥244,連接著作為真空排氣裝置的真空泵246。又,APC閥244係構成為藉由在使真空泵246作動的狀態下關閉閥,則可進行處理室201內之真空排氣及真空排氣停止,進而,藉由在使真空泵246作動的狀態下調節閥開度,則可調整處理室201內的壓力。主要由排氣管231、APC閥244、壓力感測器245構 成排氣系統。又,亦可認為真空泵246包含於排氣系統中。排氣系統係構成為一邊使真空泵246作動,一邊根據由壓力感測器245所檢測出之壓力資訊調節APC閥244之閥開度,藉此可使處理室201內之壓力進行真空排氣至既定壓力(真空度)。尚且,排氣管231並不限於設置反應管203的情況,亦可與第1噴嘴233a或第2噴嘴233b同樣地設於多岐管209。
於多岐管209下方,設有作為可使多岐管209之下端開 口氣密性封閉之爐口蓋體的密封蓋219。密封蓋219係構成為由垂直方向下側抵接於多岐管209下端。密封蓋219例如由不鏽鋼等金屬所構成,形成為圓盤狀。於密封蓋219上面設有與多岐管209下端抵接之作為密封構件的O型環220b。在密封蓋219與處理室201呈相反的側,設置使後述作為基板保持具之板217旋轉的旋轉機構267。旋轉機構267之旋轉軸255係由例如不鏽鋼等之金屬所構成、貫通密封蓋219,連接至板217。旋轉機構267係構成為藉由使板217旋轉而使晶圓200旋轉。密封蓋219係構成為藉由於反應管203外部垂直設置之作為升降機構的板升降器115,而於垂直方向上進行升降。板升降器115係構成為藉由使密封蓋219升降,而可將板217對處理室201內外進行搬入及搬出。亦即,板升降器115係構成為將板217、亦即晶圓200於處理室201內外進行搬送的搬送裝置(搬送機構)。又,於多岐管209下方,在藉板升降器115使密封蓋219下降的空間,設有作為可使多岐管209之下端開口氣密性封閉之爐口蓋體的閘門219s。閘門219s係與密封蓋219同樣地由例如不鏽鋼等之金屬所構成,形成為圓盤狀。於閘門219s上面,設有作為抵接於多岐管209下端之密封構件的O型環220c。閘門219s之開關動作(升降動作或旋轉動作等)係構成為由設置於反應管 203外部之閘門開關機構115s所控制。
作為基板支撐具的板217,係例如由石英或碳化矽等之 耐熱性材料所構成,構成為使複數片晶圓200呈水平姿勢且依彼此中心一致的狀態整列而予以多段性支撐。又,於板217下部,設有例如由石英或碳化矽等之耐熱性材料所構成的斷熱構件218。構成為使來自加熱器207之熱不易傳達至密封蓋219側。又,斷熱構件218亦可由含有石英或碳化矽等之耐熱性材料的複數片之斷熱板、與將其等依水平姿勢多段性地支撐的斷熱板固定器所構成。
反應管203內係如圖2所示般,設置有作為溫度檢測器 的溫度感測器263,根據由溫度感測器263所檢測出之溫度資訊調整對加熱器207的通電程度,藉此構成為使處理室201內之溫度成為所需之溫度分佈。溫度感測器263係與第1噴嘴233a及第2噴嘴233b同樣地構成為L字型,沿著反應管203內壁設置。
如圖3所示般,屬於控制部(控制手段)之控制器121係 構成為具備CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶裝置121c、I/O埠121d的電腦。RAM121b、記憶裝置121c、I/O埠121d係經由內部匯流排121e,構成為可與CPU121a進行資料交換。於控制器121連接有例如構成為觸控面板等的輸出入裝置122。
記憶裝置121c係由例如快閃記憶體、HDD(Hard Disk Drive)等所構成。於記憶裝置121c內,可讀取性地儲存著控制基板處理裝置之動作的控制程式、或記載了後述成膜處理之手續或條件等之製程內容。又,製程內容係組合成使控制器121實行後述基板處理步驟中之各手續,而可得到既定結果者,發揮作為程式的機能。以下, 有時將該製程內容或控制程式等整合簡稱為程式。又,本說明書中使用所謂程式之用語的情況,係指僅包括製程內容單體的情況、僅包括控制程式單體的情況、或包括其兩者的情況。又,RAM121b係構成為暫時性保存由CPU121a所讀取之程式或資料等的記憶體區域(工作區域)。
I/O埠121d係連接於上述之MFC241a~f、閥243a~f、壓 力感測器245、APC閥244、真空泵246、加熱器207、溫度感測器263、旋轉機構267、板升降器115、高頻電源273、整合器272、閘門開關機構115s等。
CPU121a係構成為由記憶裝置121c讀取控制程式而實 行,並配合來自輸出入裝置122之操作指令之輸入等而由記憶裝置121c讀取製程內容。然後,CPU121a係構成為沿著所讀取之製程內容,控制由MFC241a~f所進行之各種氣體的流量調整動作、閥243a~f之開關動作、APC閥244之開關動作及根據壓力感測器245而由APC閥244所進行的壓力調整動作、根據溫度感測器263之加熱器207的溫度調整動作、真空泵246之起動及停止、由旋轉機構267所進行之板217之旋轉及旋轉速度調節動作、板升降器115所進行之板217的升降動作、高頻電源273之電力供給、整合器272之阻抗調整動作、閘門開關機構115s所進行之閘門219s之開閉動作等。
尚且,控制器121並不限於構成為專用電腦的情形,亦 可構成為通用電腦。例如,準備儲存了上述程式之外部記憶裝置(例如磁帶、軟碟或硬碟等之磁性碟、CD或DVD等之光碟、MO等之光磁性碟、USB記憶體或記憶卡等之半導體記憶體)123,使用此種外部記憶裝置123對通用電腦安裝程式等,藉此可構成本實施形態之控制器 121。又,用於對電腦供給程式的手段,並不限定於經由外部記憶裝置123供給的情形。亦可例如使用網路或專用迴路等之通信手段,不經由外部記憶裝置123而供給程式。又,記憶裝置121c或外部記憶裝置123係構成電腦可讀取的記錄媒體。以下,有時將此等整合簡稱為記錄媒體。又,本說明書中,在使用所謂記錄媒體的用語時,係指僅包括記憶裝置121c單體的情形、僅包括外部記憶裝置123單體的情形、或包括其兩者的情形。
(2)基板處理步驟
接著,作為使用上述基板處理裝置之處理爐的半導體裝置(device)之製造步驟的一步驟,針對實施於基板上形成薄膜之處理的方法的例子進行說明。又,以下說明中,構成基板處理裝置之各部動作係由控制器121所控制。
以下使用圖4、圖5具體說明本實施形態之成膜程序。
尚且,於此,作為成膜程序,係針對進行使用HCDS氣 體作為原料氣體、使用屬於含氧氣體之O2氣體與屬於含氫氣體之H2氣體作為反應氣體,對處理室201內所收納之作為基板之晶圓200供給O2氣體與H2氣體而對晶圓200表面進行前處理的步驟,其後,交替地依既定次數(1次以上)進行:對處理室201內所收納之晶圓200供給HCDS氣體,而於經前處理之晶圓200上形成含矽層之步驟;與對處理室201內所收納之晶圓200供給O2氣體與H2氣體,使含矽層變化為矽氧化層的步驟;藉此於經前處理之晶圓200上,形成既定組成及既定膜厚之矽氧化膜(SiO2膜,以下亦稱為SiO膜)的步驟的例子,進行說明。
尚且,在對晶圓200之表面進行前處理的步驟中,係針 對朝位於未滿大氣壓之壓力下的處理室201內的經加熱之晶圓200供給O2氣體與H2氣體,於處理室201內使O2氣體與H2氣體反應而生成原子狀氧(O)等之含氧之非含水分(H2O)的氧化種,使用此氧化種將晶圓200表面之矽層(基底Si)表面變化為矽氧化層的例子,進行說明。
另外,在使含矽層變化為矽氧化層的步驟中,係針對朝 位於未滿大氣壓之壓力下的處理室201內的經加熱之晶圓200供給O2氣體與H2氣體,於處理室201內使O2氣體與H2氣體反應而生成原子狀氧(O)等之含氧之非含水分(H2O)的氧化種,使用此氧化種將含矽層變化為矽氧化層的例子,進行說明。
尚且,本說明書中於使用「晶圓」之用語的情況,係包 括意指「晶圓本身」之情形,或意指「晶圓與形成於其表面之既定層或膜等的積層體(集合體)」之情形(亦即,包含形成於表面之既定層或膜而稱為晶圓的情形)。又,本說明書中於使用「晶圓表面」之用語的情況,係包括意指「晶圓本身之表面(露出面)」之情形,或意指「形成於晶圓上之既定層或膜等的表面,亦即作為積層體之晶圓的最表面」之情形。
因此,本說明書中在記載為「對晶圓供給既定氣體」時, 係包括意指「對晶圓本身之表面(露出面)直接供給既定氣體」的情形,或意指「對形成於晶圓上之層或膜等、亦即作為積層體之晶圓的最表面,供給既定氣體」的情形。又,本說明書中,在記載為「於晶圓上形成既定層(或膜)」時,係包括意指「於晶圓本身之表面(露出面)上直接形成既定層(或膜)」的情形,或意指「在形成於晶圓上之層或膜等上、亦即作為積層體之晶圓的最表面上,形成既定層(或膜)」的情形。
尚且,本說明書中,在使用「基板」之用語時,亦與使 用「晶圓」之用語的情況相同,此時,可於上述說明中將「晶圓」置換為「基板」。
(晶圓填裝及板載入)
將複數片之晶圓200填裝於板217(晶圓填裝:步驟S101)時,藉閘門開關機構115s移動閘門219s,使多岐管209下端開放(閘門開)。如圖1所示般,支撐複數片晶圓200之板217藉板升降器115被上舉並搬入至處理室201內(板載入:步驟S102)。於此狀態下,密封蓋219成為經由O型環220b而將多岐管209下端密封的狀態。
(壓力調整及溫度調整:步驟S103)
依處理室201內成為所需壓力(真空度)之方式藉真空泵246進行真空排氣。此時,處理室201內之壓力係藉壓力感測器245所測定,根據其測定之壓力資訊,使APC閥244進行回饋控制(壓力調整)。又,真空泵246係在至少對晶圓200之處理結束為止的期間,維持經常作動的狀態。又,依使處理室201內成為所需溫度的方式,藉加熱器207進行加熱。此時,依處理室201內成為所需溫度分佈之方式,根據由溫度感測器263所檢測之溫度資訊對加熱器207之通電程度進行回饋控制(溫度調整)。又,由加熱器207所進行之處理室201內的加熱,係至少在對晶圓200之處理結束為止的期間內持續進行。接著,使由旋轉機構267所進行之板217及晶圓200的旋轉開始。又,由旋轉機構267所進行之板217及晶圓200的旋轉,係在至少對晶圓200之處理結束為止的期間內持續進行。
(前處理步驟:步驟S120) [O2氣體+H2氣體供給:步驟S121]
接著,打開第2氣體供給管232b之閥243b,於第2氣體供給管232b內流通O2氣體。O2氣體係由第2氣體供給管232b所流通,藉由MFC241b進行流量調整。經流量調整之O2氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。此時,同時打開第3氣體供給管232c之閥243c,於第3氣體供給管232c內流通H2氣體。H2氣體係由第3氣體供給管232c所流通,藉由MFC241c進行流量調整。經流量調整之H2氣體係經由第3氣體供給管232c、232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。尚且,H2氣體係當經由第2氣體供給管232b時,於第2氣體供給管232b內與O2氣體混合。亦即,由第2噴嘴233b係供給O2氣體與H2氣體的混合氣體。供給至緩衝室237內之O2氣體與H2氣體的混合氣體,係由緩衝室237之氣體供給孔248c,被供給至經加熱之減壓狀態的處理室201內,由排氣管231進行排氣。此時,對晶圓200供給O2氣體與H2氣體(O2氣體+H2氣體供給)。
此時,打開第2惰性氣體供給管232e之閥243e,由第 2惰性氣體供給管232e供給N2氣體作為惰性氣體。N2氣體係藉MFC241e進行流量調整,供給至第2氣體供給管232b內。又,打開第3惰性氣體供給管232f之閥243f,由第3惰性氣體供給管232f供給N2氣體作為惰性氣體。N2氣體係藉MFC241f進行流量調整,供給至第3氣體供給管232c內。由第2噴嘴233b係供給O2氣體與H2氣體 與N2氣體的混合氣體。又,作為惰性氣體,除了N2氣體之外,亦可使用Ar、He、Ne、Xe等之稀有氣體。尚且,此時,為了防止O2氣體與H2氣體侵入至第1噴嘴233a內,而打開閥243d,於第1惰性氣體供給管232d內流通N2氣體。N2氣體係經由第1氣體供給管232a、第1噴嘴233a而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力 成為未滿大氣壓,維持例如1~1000Pa範圍內的壓力。藉由MFC241b所控制之O2氣體的供給流量,設為例如1000~10000sccm之範圍內的流量。藉MFC241c所控制之H2氣體的供給流量,設為例如1000~10000sccm範圍內的流量。由MFC241d~241f所控制之N2氣體的流量,分別設為例如100~2000sccm範圍內的流量。H2氣體相對於O2氣體與H2氣體的濃度(H2/(O2+H2))係設為例如2~20%之範圍內的濃度。此H2氣體之濃度未滿2%時,則前處理之效果不充分,若超過20%,則有前處理之效果達到飽和的傾向,若過高則使基底Si之表面被過剩氧化。因此,H2氣體之濃度(H2/(O2+H2))較佳係設為2~20%之範圍內的濃度。尚且,前處理(步驟S120)中之H2氣體的濃度(H2/(O2+H2)),較佳係設為後述矽氧化膜形成步驟(步驟S130)中之O2+H2供給步驟(步驟S133)中之氫濃度(H2/(O2+H2))以下的濃度。將O2氣體及H2氣體對晶圓200進行供給的時間(亦即氣體供給時間(照射時間)),設為例如1~120秒、較佳30~60秒之範圍內的時間。若氣體供給時間未滿1秒,則無前處理之效果,若未滿30秒,則前處理之效果不足。若氣體供給時間超過60秒,則有前處理之效果達成飽和的傾向,若過長、例如超過120秒,則基底Si表面被過剩氧化。因此,氣體供給時間可設為1~120秒、較佳30~60秒之範圍內的時間。尚且,前處理(步驟S120)中之O2+H2 之供給時間,較佳係設為較後述矽氧化膜形成步驟(步驟S130)之O2+H2供給步驟(步驟S133)中之O2+H2之供給時間長。加熱器207之溫度係設定為使晶圓200溫度成為與步驟1之HCDS氣體之供給時相同的溫度帶,為後述氧化力提升效果顯著之溫度帶,亦即例如450~800℃、較佳550~750℃範圍內之溫度。尚且,確認到若為此範圍內之溫度,則於減壓環境下對O2氣體添加H2氣體所造成之氧化力提升效果(後述)變得顯著。又,亦確認到若晶圓200之溫度過低,則無法得到氧化力提升效果。
藉由於上述條件下將O2氣體與H2氣體供給至處理室 201內,O2氣體與H2氣體於經加熱之減壓環境下依非電漿被熱性活性化(激發)而進行反應,藉此生成原子狀氧(O)等之含氧之非含水分(H2O)的氧化種。然後,主要藉由此氧化種,對晶圓200表面之矽層、亦即基底Si表面進行氧化處理。由於相較於晶圓200表面之矽與氫或氮間之鍵結(Si-H鍵結、Si-N鍵結)、或晶圓200表面之矽與雜質等間之鍵結(Si-Cl鍵結、Si-C鍵結等)的鍵結能量,此氧化種所具有之能量高,故藉由將此氧化種之能量賦予至晶圓200表面之矽層,則使Si-N、Si-Cl、Si-H、Si-C鍵結斷開。與Si間之鍵結斷開的N、H、Cl、C係由膜中被去除,依N2、H2、Cl2、HCl、CO2等之型式被排出。又,因與N、H、Cl、C間之鍵結斷開而剩餘之Si的化學鍵,係與氧化種所含之O結合而形成Si-O鍵結。如此,晶圓200表面之矽層的表面,係變化為Cl等雜質含量少之矽氧化層(SiO層)。相較於單獨供給O2氣體之情況或供給水蒸氣(H2O)之情況,根據此氧化處理可大幅提升氧化力。亦即,藉由於減壓環境下對O2氣體添加H2氣體,則相較於單獨供給O2氣體之情況或供給H2O氣體之情況,可得到大幅之氧化力提升 效果。
尚且,亦可使O2氣體與H2氣體之至少任一者或兩者藉 電漿進行活性化而流通。藉由使O2氣體及/或H2氣體被電漿活性化而流動,可生成含有更高能量之活性種的氧化種,藉由此氧化種進行氧化處理,認為亦有提升裝置特性等之效果。例如在使O2氣體與H2氣體之兩者藉電漿所活性化的情況,藉由對第1棒狀電極269及第2棒狀電極270間由高頻電源273經由整合器272施加高頻電力,而使供給至緩衝室237內之O2氣體與H2氣體的混合氣體被電漿活性化(被電漿激活),作成含有活性種之氣體、亦即含有O2*(氧之活性種)或H2*(氫之活性種)的氣體(氧化種)由氣體供給孔248c供給至處理室201內,並由排氣管231進行排氣。此時,由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加的高頻電力,係設定為例如成為50~1000W範圍內的電力。其他處理條件設為與上述處理條件相同。又,於上述溫度帶下,使O2氣體與H2氣體被熱活性化而充分反應,生成充分量的原子狀氧(O)等之非含H2O的氧化種。因此,使O2氣體與H2氣體依非電漿性地被熱活性化,亦可得到充分的氧化力。又,使O2氣體與H2氣體被熱活性化而供給者,可不造成電漿損傷而產生較溫和之反應,並可較軟性地進行上述氧化處理。
作為含氧氣體(亦即氧化性氣體),除了O2氣體之外,亦 可使用臭氧(O3)氣體等,又,上述溫度帶中,雖嘗試了含氫氣體對一氧化氮(NO)氣體或氧化亞氮(N2O)氣體的添加效果,但確認到相較於單獨供給NO氣體或單獨供給N2O氣體,並未得到氧化力提升效果。亦即,較佳係使用不含氮之含氧氣體(不含氮但含氧的氣體)作為含氧氣體。作為含氫氣體(亦即還原性氣體),除了H2氣體之外,亦可使用氚(D2)氣 體等。又,若使用氨(NH3)氣體或甲烷(CH4)氣體等,則認為會於膜中混入氮(N)雜質或碳(C)雜質。亦即,作為含氫氣體,較佳係使用不含其他元素之含氫氣體(不含其他元素但含有氫或氚的氣體)。亦即,作為含氧氣體,可使用選自由O2氣體及O3氣體所組成群之至少一種氣體,作為含氫氣體,可使用選自由H2氣體及D2氣體所組成群之至少一種氣體。
[殘留氣體去除:步驟S122]
使晶圓200表面之矽層之表面氧化而形成SiO層後,關閉第2氣體供給管232b之閥243b,停止O2氣體的供給。又,關閉第3氣體供給管232c之閥243c,停止H2氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201進行真空排氣,使殘留之O2氣體或H2氣體或反應副產物由處理室201內被排除(殘留氣體去除)。又,使閥243d~f維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體發揮作為迫淨氣體的作用,藉此,可更加提高使處理室201內殘留之未反應或有助於SiO層形成後之O2氣體或H2氣體或反應副產物由處理室201被排除的效果。
又,此時,亦可不使殘留於處理室201內之氣體完全排 除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於對後述進行之步驟S131中造成不良影響。此時,供給至處理室201內之N2氣體的流量亦不需設為大流量,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟S131中不致發生不良影響之程度的迫淨。如此,藉由不對處理室201進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑 制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與 O2氣體及H2氣體之供給時相同,例如450~800℃、較佳550~750℃之範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流量,分別設為例如100~2000sccm範圍內的流量。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
(矽氧化膜形成步驟:步驟S130)
在進行了使晶圓200表面之矽層之表面氧化而形成SiO層的前處理後,藉由以下述步驟S131~S134作為1周期並依既定次數(1次以上)重複進行該周期、較佳係重複複數次(步驟S135),而於晶圓200表面所形成之SiO層上,形成既定膜厚之矽氧化膜。
[HCDS氣體供給:步驟S131]
打開第1氣體供給管232a之閥243a,於第1氣體供給管232a流通HCDS氣體。HCDS氣體係由第1氣體供給管232a流通,藉由MFC241a進行流量調整。經流量調整之HCDS氣體係由第1噴嘴233a之氣體供給孔248a供給至經加熱之減壓狀態的處理室201內,並由排氣管231被排氣。此時,對晶圓200供給HCDS氣體(HCDS氣體供給)。
此時,亦可打開第1惰性氣體供給管232d之閥243d,由第1惰性氣體供給管232d供給N2氣體作為惰性氣體。N2氣體係藉MFC241d進行流量調整,供給至第1氣體供給管232a內。經流量調整之N2氣體係於第1氣體供給管232a內,與經流量調整之HCDS氣體混合,由第1噴嘴233a之氣體供給孔248a,供給至經加熱之減壓狀態 的處理室201內,並由排氣管231被排氣。又,此時,為了防止HCDS氣體侵入至緩衝室237內、或第2噴嘴233b內,而打開閥243e、243f,於第2惰性氣體供給管232e、第3惰性氣體供給管232f內流通N2氣體。N2氣體係經由第2氣體供給管232b、第3氣體供給管232c、第2噴嘴233b、緩衝室237而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244,而使處理室201內之壓力 例如1~13300Pa、較佳10~1330Pa之範圍內的壓力。藉由MFC241a所控制之HCDS氣體的供給流量,設為例如1~1000sccm之範圍內的流量。藉MFC241d~241f所控制之N2氣體的供給流量,分別設為例如100~2000sccm範圍內的流量。將HCDS氣體對晶圓200進行供給的時間(亦即氣體供給時間(照射時間)),設為例如1~120秒範圍內的時間。 此時,加熱器207之溫度係設定為晶圓200之溫度成為例如350~800℃、較佳450~800℃、更佳550~750℃之範圍內之溫度。
又,若晶圓200之溫度未滿350℃,則於晶圓200上HCDS 氣體不易分解、吸附,無法得到實用之成膜速度。藉由將晶圓200之溫度設為350℃以上,可解除此情形,得到充分之成膜速度。又,藉由將晶圓200之溫度設為450℃以上,則後述步驟3中之氧化力提升效果變得顯著。又,藉由將晶圓200之溫度設為550℃以上,則可充分進行HCDS的分解。
又,若晶圓200之溫度超過750℃、尤其800℃,則CVD 反應變強(氣相反應成為支配性),而有容易使膜厚均勻性惡化、其控制困難的情形。藉由將晶圓200之溫度設為800℃以下,則可抑制膜厚均勻性之惡化、可進行其控制。尤其藉由將晶圓200之溫度設為750℃以 下,則可容易確保膜厚均勻性,其控制變得容易。因此,較佳係將晶圓200溫度設為350~800℃、更佳450~800℃、再更佳550~750℃。
藉由於上述條件下對晶圓200供給HCDS氣體,於晶圓 200(表面所形成之SiO層)上,形成例如未滿1原子層至數原子層程度之厚度的含矽層。含矽層可為HCDS氣體之吸附層,亦可為Si層,亦可包括其兩者。其中,含矽層較佳係含有Si及Cl的層。
於此,所謂Si層,係除了由Si所構成之連續層之外, 亦包括不連續之層、或將此等重疊而成的Si薄膜的總稱。又,有時亦將由Si所構成之連續層稱為Si薄膜。又,構成Si層之Si亦包括與Cl之鍵結未完全斷開者。
又,HCDS氣體之吸附層係除了HCDS氣體之氣體分子 之連續性化學吸附層之外,亦包括不連續的化學吸附層。亦即,HCDS氣體之吸附層係包括由HCDS分子所構成之1分子層或未滿1分子層之厚度的化學吸附層。又,構成HCDS氣體之吸附層的HCDS(Si2Cl6)分子,亦包括Si與Cl之鍵結一部分斷開者。
又,所謂未滿1原子層之厚度之層,係指不連續地形成 的原子層,所謂1原子層之厚度之層,係指連續形成之原子層。又,所謂未滿1分子層之厚度之層係指不連續形成之分子層,所謂1分子層之厚度之層係指連續形成之分子層。
在HCDS氣體進行自行分解(熱分解)的條件下(亦即發 生HCDS之熱分解反應的條件下),藉由於晶圓200上堆積Si而形成Si層。在HCDS氣體不進行自行分解(熱分解)的條件下(亦即不發生HCDS之熱分解反應的條件下),於晶圓200上吸附HCDS氣體而形成HCDS氣體的吸附層。又,相較於在晶圓200上形成HCDS氣體之吸 附層,於晶圓200上形成Si層者可增加成膜速率,故較佳。
若形成於晶圓200上之含矽層之厚度超過數原子層,則 有於後述之步驟S133中之氧化(改質)作用未能到達含矽層整體的情形。又,可形成於晶圓200上之含矽層的厚度最小值為未滿1原子層。 因此,含矽層之厚度較佳為未滿1原子層至數原子層左右。又,藉由將含矽層之厚度設為1原子層以下(亦即1原子層或未滿1原子層),則可相對地提高後述步驟S133中之氧化反應(改質反應)的作用,可縮短步驟S133之氧化反應所需的時間。亦可縮短步驟S131之含矽層形成所需的時間。結果,可縮短每1周期之處理時間,亦可縮短總合的處理時間。亦即,亦可提高成膜速率。又,藉由將含矽層之厚度設為1原子層以下,亦可提高膜厚均勻性的控制性。
作為原料氣體,除了HCDS氣體之外,亦可使用四氯矽 烷、亦即四氯化矽(SiCl4,簡稱:STC)氣體、三氯矽烷(SiHCl3,簡稱:TCS)氣體、二氯矽烷(SiH2Cl2,簡稱:DCS)氣體、單氯矽烷(SiH3Cl,簡稱:MCS)氣體等。作為惰性氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[殘留氣體去除:步驟S132]
於晶圓200上形成含矽層後,關閉第1氣體供給管232a之閥243a,停止HCDS氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201進行真空排氣,將處理室201內所殘留之未反應或有助於含矽層形成後之HCDS氣體由處理室201內排除(殘留氣體去除)。又,此時,使閥243d~243f維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體發揮作為迫淨氣體的作 用,藉此,可更加提高將處理室201內殘留之未反應或有助於含矽層形成後之HCDS氣體由處理室201排除的效果。
又,此時,亦可不將殘留於處理室201內之氣體完全排 除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於對後述進行之步驟S133中造成不良影響。此時,供給至處理室201內之N2氣體的流量亦不需設為大流量,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟S133中不致發生不良影響之程度的迫淨。如此,藉由不對處理室201進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與 HCDS氣體之供給時相同,例如350~800℃、較佳450~800℃、更佳550~750℃之範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流量,分別設為例如100~2000sccm範圍內的流量。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
[O2氣體+H2氣體供給:步驟S133]
將處理室201內之殘留氣體去除後,打開第2氣體供給管232b之閥243b,於第2氣體供給管232b流通O2氣體。O2氣體係由第2氣體供給管232b所流通,藉由MFC241b進行流量調整。經流量調整之O2氣體係經由第2氣體供給管232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。此時,同時打開第3氣體供給管232c之閥243c,於第3氣體供給管232c流通H2氣體。H2氣體 係由第3氣體供給管232c所流通,藉由MFC241c進行流量調整。經流量調整之H2氣體係經由第3氣體供給管232c、232b,由第2噴嘴233b之氣體供給孔248b供給至經加熱之減壓狀態的緩衝室237內。尚且,H2氣體係當經由第2氣體供給管232b時,於第2氣體供給管232b內與O2氣體混合。亦即,由第2噴嘴233b係供給O2氣體與H2氣體的混合氣體。供給至緩衝室237內之O2氣體與H2氣體的混合氣體,係由緩衝室237之氣體供給孔248c,被供給至經加熱之減壓狀態的處理室201內,由排氣管231進行排氣。此時,對晶圓200供給O2氣體與H2氣體(O2氣體+H2氣體供給)。
此時,打開第2惰性氣體供給管232e之閥243e,由第 2惰性氣體供給管232e供給N2氣體作為惰性氣體。N2氣體係藉MFC241e進行流量調整,供給至第2氣體供給管232b內。又,打開第3惰性氣體供給管232f之閥243f,由第3惰性氣體供給管232f供給N2氣體作為惰性氣體。N2氣體係藉MFC241f進行流量調整,供給至第3氣體供給管232c內。由第2噴嘴233b係供給O2氣體與H2氣體與N2氣體的混合氣體。又,作為惰性氣體,除了N2氣體之外,亦可使用Ar、He、Ne、Xe等之稀有氣體。尚且,此時,為了防止O2氣體與H2氣體侵入至第1噴嘴233a內,而打開閥243d,於第1惰性氣體供給管232d內流通N2氣體。N2氣體係經由第1氣體供給管232a、第1噴嘴233a而供給至處理室201內,並由排氣管231被排氣。
此時,適當調整APC閥244而使處理室201內之壓力 成為未滿大氣壓,維持例如1~1000Pa範圍內的壓力。藉由MFC241b所控制之O2氣體的供給流量,設為例如1000~10000sccm之範圍內的流量。藉MFC241c所控制之H2氣體的供給流量,設為例如 1000~10000sccm範圍內的流量。由MFC241d~241f所控制之N2氣體的供給流量,分別設為例如100~2000sccm範圍內的流量。H2氣體相對於O2氣體與H2氣體的濃度(H2/(O2+H2))係設為例如10~30%之範圍內的濃度。將O2氣體及H2氣體對晶圓200進行供給的時間、亦即氣體供給時間(照射時間),設為例如1~60秒、較佳1~30秒、更佳1~20秒之範圍內的時間。加熱器207之溫度係設定為使晶圓200溫度成為與步驟1之HCDS氣體之供給時相同的溫度帶,為後述氧化力提升效果顯著之溫度帶,亦即例如450~800℃、較佳550~750℃範圍內之溫度。尚且,確認到若為此範圍內之溫度,則於減壓環境下對O2氣體添加H2氣體所造成之氧化力提升效果(後述)變得顯著。又,亦確認到若晶圓200之溫度過低,則無法得到氧化力提升效果。若考慮到產率,如此,較佳係於步驟S131~S133依將處理室201內之溫度保持於同樣溫度帶之方式設定加熱器207之溫度。再者,更佳係於步驟S131~步驟S134(後述)依將處理室201內之溫度保持於同樣溫度帶之方式設定加熱器207之溫度。此時,於步驟S131~步驟S134(後述)依將處理室201內之溫度保持於例如450~800℃、較佳550~750℃範圍內之一定溫度的方式設定加熱器207之溫度。更佳係於步驟S120~步驟S130依將處理室201內之溫度保持於同樣溫度帶之方式設定加熱器207之溫度。
藉由於上述條件下將O2氣體與H2氣體供給至處理室 201內,O2氣體與H2氣體於經加熱之減壓環境下依無電漿被熱性活性化(激發)而進行反應,藉此生成原子狀氧(O)等之含氧之非含水分(H2O)的氧化種。然後,主要藉由此氧化種,於步驟S131對晶圓200上形成之含矽層進行氧化處理。由於相較於含矽層中所含有之Si-N、Si-Cl、Si-H、Si-C的鍵結能量,此氧化種所具有之能量高,故藉由將此氧化 種之能量賦予至含矽層,則使砂層有包含的Si-N、Si-Cl、Si-H、Si-C鍵結斷開。與Si間之鍵結斷開的N、H、Cl、C係由膜中被去除,而作為N2、H2、Cl2、HCl、CO2等被排出。又,因與N、H、Cl、C間之鍵結斷開而剩餘之Si的化學鍵,係與氧化種所含之O結合而形成Si-O鍵結。如此,含矽層的表面,係變化(改質)為Cl等雜質含量少之矽氧化層(SiO2層,以下亦簡稱為SiO層)。根據此氧化處理,相較於單獨供給O2氣體之情況或供給水蒸氣(H2O)之情況,可大幅提升氧化力。亦即,藉由於減壓環境下對O2氣體添加H2氣體,則相較於單獨供給O2氣體之情況或供給H2O氣體之情況,可得到大幅之氧化力提升效果。
尚且,步驟S133中,亦可使O2氣體與H2氣體之至少 任一者或兩者藉電漿進行活性化而流通。藉由使O2氣體及/或H2氣體被電漿活性化而流動,可生成含有更高能量之活性種的氧化種,藉由此氧化種進行氧化處理,認為亦有提升裝置特性等之效果。例如在使O2氣體與H2氣體之兩者藉電漿所活性化的情況,藉由對第1棒狀電極269及第2棒狀電極270間由高頻電源273經由整合器272施加高頻電力,而使供給至緩衝室237內之O2氣體與H2氣體的混合氣體被電漿活性化(被電漿激活),作成含有活性種之氣體、亦即含有O2*(氧之活性種)或H2*(氫之活性種)的氣體(氧化種)而由氣體供給孔248c供給至處理室201內,並由排氣管231進行排氣。此時,由高頻電源273對第1棒狀電極269及第2棒狀電極270間施加的高頻電力,係設定為例如成為50~1000W範圍內的電力。其他處理條件設為與上述處理條件相同。又,於上述溫度帶下,使O2氣體與H2氣體被熱活性化而充分反應,生成充分量的原子狀氧(O)等之非含H2O的氧化種。因此,使O2氣體與H2氣體依非電漿性地被熱活性化,亦可得到充分的氧化力。 又,使O2氣體與H2氣體被熱活性化而供給者,可不造成電漿損傷而產生較軟性之反應,並可較軟性地進行上述氧化處理。
作為含氧氣體(亦即氧化性氣體),除了O2氣體之外,亦 可使用臭氧(O3)氣體等,又,上述溫度帶中,雖嘗試了含氫氣體對一氧化氮(NO)氣體或氧化亞氮(N2O)氣體的添加效果,但確認到相較於單獨供給NO氣體或單獨供給N2O氣體,無法得到氧化力提升效果。亦即,較佳係使用不含氮之含氧氣體(不含氮但含氧的氣體)作為含氧氣體。作為含氫氣體(亦即還原性氣體),除了H2氣體之外,亦可使用氚(D2)氣體等。又,若使用氨(NH3)氣體或甲烷(CH4)氣體等,則認為會於膜中混入氮(N)雜質或碳(C)雜質。亦即,作為含氫氣體,較佳係使用不含其他元素之含氫氣體(不含其他元素但含有氫或氚的氣體)。亦即,作為含氧氣體,可使用選自由O2氣體及O3氣體所組成群之至少一種氣體;作為含氫氣體,可使用選自由H2氣體及D2氣體所組成群之至少一種氣體。
[殘留氣體去除:步驟S134]
使含矽層變化為SiO層後,關閉第2氣體供給管232b之閥243b,停止O2氣體的供給。又,關閉第3氣體供給管232c之閥243c,停止H2氣體的供給。此時,使排氣管231之APC閥244維持打開,藉真空泵246對處理室201進行真空排氣,使殘留之O2氣體或H2氣體或反應副產物由處理室201內排除(殘留氣體去除)。又,使閥243d~243f維持打開,並維持作為惰性氣體之N2氣體對處理室201內的供給。N2氣體發揮作為迫淨氣體的作用,藉此,可更加提高使處理室201內殘留之未反應或有助於SiO層形成後之O2氣體或H2氣體或反應副產物 由處理室201排除的效果。
又,此時,亦可不使殘留於處理室201內之氣體完全排 除,亦可不對處理室201內進行完全迫淨。殘留於處理室201內之氣體若為微量,則不致於對後述進行之步驟S131中造成不良影響。此時,供給至處理室201內之N2氣體的流量亦不需設為大流量,例如藉由供給與反應管203(處理室201)容積相同程度之量,則可進行於步驟S131中不發生不良影響之程度的迫淨。如此,藉由不對處理室201進行完全迫淨,則可縮短迫淨時間、提升產率。又,N2氣體之消耗亦可抑制為所需最小限。
此時加熱器207之溫度,係設定為使晶圓200之溫度與 O2氣體及H2氣體之供給時相同,例如450~800℃、較佳550~750℃之範圍內的溫度。由各惰性氣體供給系統所供給之作為迫淨氣體的N2氣體的供給流量,分別設為例如100~2000sccm範圍內的流量。作為迫淨氣體,除了N2氣體以外,亦可使用Ar、He、Ne、Xe等之稀有氣體。
(既定次數實施:步驟S135)
以上述步驟S131~S134作為1周期,將此周期重複進行既定次數、較佳為複數次(n次)(步驟S135),藉此可於晶圓200上、亦即晶圓200表面所形成之SiO層上形成既定膜厚之矽氧化膜(SiO2膜,以下亦簡稱為SiO膜)。
尚且,在進行複數次之周期時,於至少第2周期以後之 各步驟中,記載為「對晶圓200供給既定氣體」的部分係指「對形成於晶圓200上之層、亦即作為積層體之晶圓200的最表面,供給既定氣體」;記載為「在晶圓200上形成既定層」的部分係指「在形成於晶 圓200上之層上、亦即作為積層體之晶圓200之最表面上形成既定層」。此點係如上述。
(迫淨及大氣壓回復:步驟S104、105)
在形成既定膜厚之SiO膜時,打開閥243d~243f,分別由惰性氣體供給管232d~232f將作為惰性氣體之N2氣體供給至處理室201內並由排氣管231予以排氣。N2氣體發揮作為迫淨氣體的作用,藉此,使處理室201內被惰性氣體所迫淨,由處理室內201去除殘留於處理室201內之氣體(迫淨:步驟S104)。其後,使處理室201內之環境置換為惰性氣體,並使處理室201內之壓力回復為常壓(大氣壓回復:步驟S104)。
(板卸載及晶圓反填裝:步驟S106、107)
其後,藉板升降器115使密封蓋219下降,在多岐管209下端呈開口的同時,使處理完成的晶圓200依被板217支撐的狀態由多岐管209下端被搬出至反應管203外部(板卸載:步驟S106)。板卸載後,藉閘門開關機構115s移動閘門219s,使多岐管209下端開口經由O型環220c藉閘門219s所密封(閘門關)。其後,處理完成的晶圓200係由板217取出(晶圓反填裝:步驟S107)。
<其他實施形態>
以上具體說明了較佳之一實施形態,但本發明並不限定於上述實施形態,在不脫離其要旨之範圍內可進行各種變更。
例如,上述實施形態中,係針對使用了具有電漿源或緩衝室237之基板處理裝置進行說明,但本發明並不限定於該實施形態。 亦即,亦可不設置電漿源或緩衝室。藉由此種構成,可使基板處理裝置之構造單純化、減低製造成本。又,上述實施形態中,係由同一噴嘴將O2氣體與H2氣體供給至處理室201內,但亦可由同一噴嘴將HCDS氣體與H2氣體供給至處理室201內。此時,可將第3氣體供給管232c之前端部連接至較第1氣體供給管232a之閥243a更下游側。
另外,例如上述實施形態中,係針對在步驟S131中形 成含矽層時,使用氯矽烷系原料氣體作為原料氣體的例子進行說明,但亦可取代氯矽烷系原料氣體,使用具有氯基以外之鹵系配位子的矽烷系原料氣體。例如,可使用氟矽烷系原料氣體取代氯矽烷系原料氣體。於此,所謂氟矽烷系原料氣體,係指氣體狀態之氟矽烷系原料,例如將常溫常壓下為液體狀態之氟矽烷系原料進行氣化而得之氣體、或於常溫常壓下為氣體狀態之氟矽烷系原料等。又,所謂氟矽烷系原料,係指具有作為鹵基之氟基的矽烷系原料,為至少含有矽(Si)及氟(F)的原料。亦即,於此所謂氟矽烷系原料,可謂為鹵化物之一種。作為氟矽烷系原料氣體,可使用例如四氟矽烷、亦即四氟化矽(SiF4)氣體、或六氟二矽烷(Si2F6)氣體等之氟化矽烷氣體。此時,在形成含矽層時,對處理室201內之晶圓200供給氟矽烷系原料氣體。藉此所形成之含矽層,有含有氟化矽氣體之吸附層的情形、或含有矽層之情形、或含有其兩者的情形。
另外,上述實施形態中,係針對於矽氧化膜形成步驟中 之步驟S133中,於經加熱之未滿大氣壓之壓力下的處理室201內供給O2氣體與H2氣體作為反應氣體,使含矽層變化為矽氧化層的例子進行說明,但本發明並不限定於此種形態。亦即,在矽氧化膜形成步驟中之步驟S133中,可設定為不供給H2氣體,而單獨地供給O2氣體或 O3氣體或H2O氣體等之含氧氣體作為反應氣體。又,亦可將此等之含氧氣體藉電漿進行活性化而供給。
另外,上述實施形態中,係針對於矽氧化膜形成步驟 中,於處理室201內,交替地供給作為原料氣體之HCDS氣體、與作為反應氣體之O2氣體與H2氣體的例子進行說明,但本發明並不限定於此種形態。亦即,於矽氧化膜形成步驟中,亦可於處理室201內,同時供給作為原料氣體之HCDS氣體、與作為反應氣體之O2氣體或O3氣體或H2O氣體等之含氧氣體。
另外,上述實施形態中,係針對使用HCDS氣體作為原 料氣體、使用O2氣體及H2氣體作為反應氣體,於晶圓上形成SiO膜作為薄膜的例子進行說明,但本發明並不限定於此種形態。例如,作為原料氣體,除了HCDS氣體等之氯矽烷系原料氣體以外,亦可使用胺基矽烷系原料氣體。例如,肆(二甲基胺基)矽烷(Si[N(CH3)2]4,簡稱:4DMAS)氣體、參(二甲基胺基)矽烷(Si[N(CH3)2]3H,簡稱:3DMAS)氣體、雙(二乙基胺基)矽烷(Si[N(C2H5)2]2H2簡稱:2DEAS)氣體、雙(第三丁基胺基)矽烷(SiH2[NH(C4H9)]2,簡稱:BTBAS)氣體、六甲基二矽氮烷((CH3)3Si-NH-Si(CH3)3,簡稱:HMDS)氣體等之有機原料。作為反應氣體,除了O2氣體或H2氣體以外,亦可使用NH3等之氮化氣體(含氮氣體)、或C3H6等之含碳氣體、或BCl3等之含硼氣體等。又,作為所形成之薄膜,除了SiO膜以外,藉由適當使用上述各種反應氣體,亦可應用至形成SiN膜、SiON膜、SiCN膜、SiOCN膜、SiOC膜、SiBCN膜、SiBN膜等的情形。又,亦可應用於形成SiN膜與SiO膜之積層膜,例如ON膜、NO膜、ONO膜、ONONO膜、NONON膜等之積層膜的情形。
另外,上述實施形態中,係針對形成含有屬於半導體元 素之矽的矽系薄膜作為薄膜的例子進行了說明,但本發明並不限定於此種情形。亦即,本發明亦可適合應用於形成含有例如鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)等金屬元素之金屬系薄膜作為薄膜的情形。
例如,在形成鈦氧化膜(TiO膜)作為含Ti之金屬系薄膜 的情形,作為原料氣體,可使用四氯化鈦(TiCl4)等之含Ti及氯基之氣體,或四氟化鈦(TiF4)等之含Ti及氟基之氣體。作為反應氣體,可使用與上述實施形態相同之氣體。又,此時之處理條件,可設為例如與上述實施形態相同之處理條件。
又,例如,在形成鋯氧化膜(ZrO膜)作為含Zr之金屬系 薄膜的情形,作為原料氣體,可使用四氯化鋯(ZrCl4)等之含Zr及氯基之氣體,或四氟化鋯(ZrF4)等之含Zr及氟基之氣體。作為反應氣體,可使用與上述實施形態相同之氣體。又,此時之處理條件,可設為例如與上述實施形態相同之處理條件。
又,例如,在形成鉿氧化膜(HfO膜)作為含Hf之金屬系 薄膜的情形,作為原料氣體,可使用四氯化鉿(HfCl4)等之含Hf及氯基之氣體,或四氟化鉿(HfF4)等之含Hf及氟基之氣體。作為反應氣體,可使用與上述實施形態相同之氣體。又,此時之處理條件,可設為例如與上述實施形態相同之處理條件。
又,例如,在形成鉭氧化膜(TaO膜)作為含Ta之金屬系 薄膜的情形,作為原料氣體,可使用五氯化鉭(TaCl5)等之含Ta及氯基之氣體,或五氟化鉭(TaF5)等之含Ta及氟基之氣體。作為反應氣體,可使用與上述實施形態相同之氣體。又,此時之處理條件,可設為例 如與上述實施形態相同之處理條件。
又,例如,在形成鋁氧化膜(AlO膜)作為含Al之金屬系 薄膜的情形,作為原料氣體,可使用三氯化鋁(AlCl3)等之含Al及氯基之氣體,或三氟化鋁(AlF3)等之含Al及氟基之氣體。作為反應氣體,可使用與上述實施形態相同之氣體。又,此時之處理條件,可設為例如與上述實施形態相同之處理條件。
又,例如,在形成鉬氧化膜(MoO膜)作為含Mo之金屬 系薄膜的情形,作為原料氣體,可使用五氯化鉬(MoCl5)等之含Mo及氯基之氣體,或五氟化鉬(MoF5)等之含Mo及氟基之氣體。作為反應氣體,可使用與上述實施形態相同之氣體。又,此時之處理條件,可設為例如與上述實施形態相同之處理條件。
如此,本發明不僅是矽系薄膜,亦可應用於金屬系薄膜 的成膜,即使此情況下仍可得到與上述實施形態相同的作用效果。亦即,本發明可適合應用於形成含有半導體元素或金屬元素等之既定元素之薄膜的情形。
另外,上述實施形態中,係針對使用一次處理複數片基 板之批次式基板處理裝置進行薄膜成膜的例子進行了說明,但本發明並不限定於此,亦可適合應用於使用一次處理1片或數片基板之片式基板處理裝置進行薄膜成膜的情形。另外,上述實施形態中,係針對使用具有熱壁型之處理爐的基板處理裝置形成薄膜的例子進行了說明,但本發明並不限定於此,亦可適合應用於使用具有冷壁型處理爐之基板處理裝置形成薄膜的情形。
另外,上述各實施形態或各變形例或各應用例等,亦可 予以適當組合使用。
另外,本發明亦可例如變更既存之基板處理裝置之製程 內容而實現。於變更製程內容時,可將本發明之製程內容經由電信回路或記錄有該製程內容之記錄媒體而安裝於既定之基板處理裝置中,或操作既存之基板處理裝置的輸出入裝置,將其製程內容本身變更為本發明之製程內容。
[實施例]
作為習知方式,使用上述實施形態之基板處理裝置,於上述實施形態之成膜程序中,對露出表面之單結晶矽晶圓(以下亦稱為裸晶圓)、或於表面形成有多結晶矽膜(以下亦稱為Poly-Si膜)的晶圓,不進行前處理(步驟S120)而進行SiO膜之形成(步驟S130)。作為本發明方式,使用上述實施形態之基板處理裝置,於上述實施形態之成膜程序中,對露出表面之裸晶圓、或於表面形成有Poly-Si膜的晶圓,進行前處理(步驟S120),其後,進行SiO膜之形成(步驟S130)。又,有時亦將成為SiO膜之形成基底的單結晶矽及Poly-Si膜簡稱為基底Si。作為原料氣體係使用HCDS氣體,作為含氧氣體係使用O2氣體,作為含氫氣體係使用H2氣體。各步驟之處理條件,係設為上述實施形態記載之處理條件範圍內的條件。然後,針對各個SiO膜評價各種特性。
圖7係表示藉本發明方式及習知方式而形成於裸晶圓上之SiO膜的面內膜厚分佈與膜厚之面內均勻性的圖。於此,所謂薄膜條件,係表示形成了100Å以下之膜厚之氧化膜的情形;所謂厚膜條件,係表示形成了超過100Å之膜厚之氧化膜的情形。又,所謂「TOP」、「CNT」、「BTM」,係表示測定了膜厚之晶圓之於板的收納位置,分別表示上部、中央部、下部。又,膜厚面內均勻性係使用晶圓中之SiO膜之面內膜厚中的最大值及最小值(亦即面內最大膜厚及面內最小膜 厚),與晶圓中之SiO膜的面內膜厚之平均值(亦即面內平均膜厚),由以下式(1)所求得。亦即,面內膜厚均勻性係表示晶圓面內之SiO膜之膜厚偏差,值越小則偏差越小(更均勻)。又,SiO膜之膜厚係藉由惰圓偏光計進行測定。以下實施例中亦相同。
面內膜厚均勻性=[(面內最大膜厚-面內最小膜厚)/(面內平均膜厚×2)]×100(±%)...(1)
根據圖7,可知在形成100Å以下之膜厚的氧化膜時(薄膜條件),在本發明方式的情況,膜厚之面內均勻性較習知方式顯著提升。在形成超過100Å之膜厚的氧化膜時(厚膜條件),亦在本發明方式的情況,膜厚之面內均勻性較習知方式顯著提升。
圖8係表示藉本發明方式及習知方式而形成於裸晶圓上之SiO膜與基底Si間之界面中的Cl濃度等的圖,表示由SIMS(Secondary Ion Mass Spectrometry)分析所得的測定結果。圖8之橫軸表示自SiO膜表面的深度(nm),左側之縱軸表示H、C、N、Cl之濃度(atoms/cm3),右側之縱軸表示O、Si之二次離子強度(counts/sec)。
根據圖8可知,藉習知方式所形成之SiO膜與基底Si間之界面中的Cl濃度為1020atoms/cm3等級,藉本發明方式所形成之SiO膜與基底Si間之界面中的Cl濃度為1019atoms/cm3等級,根據本發明方式,可知相較習知方式,可將界面Cl濃度減低1位數。亦即,可知進行了前處理之本發明方式者,相較於未進行前處理之習知方式,殘留Cl濃度大幅減低。
圖9係表示藉本發明方式及習知方式於Poly-Si膜上形成了SiO膜時之基底Si的氧化量的測定結果。又,基底Si之氧化量係藉由在依各測定點測定了面內膜厚(T1)的Poly-Si膜上形成SiO膜,藉 DHF(稀氫氟酸)去除SiO膜後,於各測定點測定殘留之Poly-Si膜之面內膜厚(T2),由T1與T2之差分、亦即T1-T2而求得。圖中之數值係表示各測定點中其差分的平均值,面內分佈圖係表示其差分之分佈。
根據圖9可知,藉習知方法形成SiO膜時之基底Si的 氧化量,平均為11.4Å,藉本發明方法形成SiO膜時之基底Si的氧化量,平均為8.9Å,進行了前處理之本發明方式者,相較於未進行前處理之習知方式,抑制了基底Si的氧化量。
圖10為使用表面平坦(於表面無凹凸)之晶圓(以下亦稱 為原晶圓)及表面有凹凸之晶圓(以下稱為圖案晶圓),藉本發明方式及習知方式,表示形成了SiO膜時之板之晶圓搭載位置(板slot)、與SiO膜之膜厚間的關係圖。圖中,所謂「無前處理」,係指藉習知方式成膜的情形;所謂「有前處理」,係指藉本發明方式成膜的情形。
根據圖10可知,使用了原晶圓時,幾乎未見到負載 (loading)效果,但在使用圖案晶圓時,則整體膜厚降低,尤其是在CNT附近膜厚降低顯著,晶圓間均勻性(WTW)惡化。相對於此,可知即使在使用了圖案晶圓的情況,藉由進行前處理,可緩和此傾向。於此,所謂負載效果,係指膜厚或膜厚均勻性等依存於成膜之基底等(表面積等)而改變的現象。
圖11為藉本發明方式及習知方式於裸晶圓上形成了 SiO膜時,表示前處理時間與SiO膜之膜厚之晶圓面內分佈、平均膜厚及膜厚之晶圓面內均勻性間的關係圖。圖中數值係表示SiO膜之平均膜厚/膜厚之晶圓面內均勻性。所謂「無前處理」,係指藉習知方式成膜的情形、亦即前處理時間設為0秒的情形;所謂「有前處理」,係指藉本發明方式成膜的情形。所謂「TOP」、「CNT」、「BTM」係與上述 相同,SiO膜之膜厚之測定方法或膜厚面內均勻性的算出方法等亦與上述相同。
根據圖11可知,藉由實施前處理,SiO膜之膜厚的面內 分佈有成為理想之同心圓的傾向。又,前處理時間越長,SiO膜之膜厚面內均勻性越良好,在前處理時間經過一定時間、例如1分鐘(60秒)時,可知膜厚面內均勻性的提升效果達到飽和。
圖12係藉本發明方式於表面形成有Poly-Si膜之晶圓上 形成了SiO膜時,表示前處理時間與基底Si(Poly-Si膜)的氧化量的相關圖表。圖12之橫軸表示前處理時間(秒),縱軸表示Poly Depletion、亦即基底Si(Poly-Si膜)的氧化量。又,基底Si之氧化量係如上述般所求得。圖12中,表示將前處理之氫濃度(H2/(O2+H2))與氧化膜形成時之氫濃度同樣設為18%的情況(18%前處理+18%Depo),與將前處理之氫濃度設為3%、將氧化膜形成時之氫濃度設為18%的情況(3%前處理+18%Depo)。
根據圖12可知,任一情況下均可抑制基底Si的氧化(參 照圖9)。又,可知相較於將前處理之氫濃度設為與氧化膜形成時之氫濃度同等,將前處理之氫濃度設為小於氧化膜形成時之氫濃度時可更加抑制基底Si的氧化。
(本發明之較佳態樣)
以下附記本發明之較佳態樣。
(附記1)
根據本發明之一態樣,提供一種半導體裝置之製造方法,其具有 下述步驟:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的步驟;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之步驟、與對上述處理室內之上述基板供給反應氣體之步驟的周期,而於進行了上述前處理之上述基板上形成薄膜的步驟。
(附記2)
如附記1之半導體裝置之製造方法,其中較佳係:在上述供給反應氣體之步驟中,對位於未滿大氣壓之壓力下的上述處理室內的經加熱之上述基板,供給含氧氣體與含氫氣體,作為上述反應氣體;於上述形成薄膜之步驟中,於進行了上述前處理之上述基板上形成氧化膜,作為上述薄膜。
(附記3)
如附記2之半導體裝置之製造方法,其中較佳係:使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體時的處理條件,與在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體時的處理條件相異。
(附記4)
如附記2或3之半導體裝置之製造方法,其中較佳係:使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體的時間,與在上述形成薄膜之步驟中對上述基板供給含氧氣體與含 氫氣體的時間相異。
(附記5)
如附記2或3之半導體裝置之製造方法,其中較佳係:使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體的時間,較在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體的時間長。
(附記6)
如附記2至5中任一項之半導體裝置之製造方法,其中較佳係:使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度,與在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度相異。
(附記7)
如附記2至5中任一項之半導體裝置之製造方法,其中較佳係:將在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度,設為在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度以下。
(附記8)
如附記1至7中任一項之半導體裝置之製造方法,其中較佳係: 於上述進行前處理之步驟中,藉由將上述基板之表面氧化,於上述基板之表面形成氧化層。
(附記9)
如附記8之半導體裝置之製造方法,其中較佳係:上述氧化層之厚度設為0.1~10Å(0.01~1nm)。
(附記10)
如附記1至9中任一項之半導體裝置之製造方法,其中較佳係:在進行上述前處理前之上述基板的表面係露出矽。
(附記11)
根據本發明之其他態樣,提供一種半導體裝置之製造方法,其具有下述步驟:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的步驟;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之步驟、與對位於未滿大氣壓之壓力下的上述處理室內的經加熱之上述基板供給含氧氣體與含氫氣體之步驟的周期,而於進行了上述前處理之上述基板上形成氧化膜的步驟。
(附記12)
根據本發明之再其他態樣,提供一種半導體裝置之製造方法,其具有下述步驟: 對位於未滿大氣壓之壓力下的處理室內的經加熱之基板,供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的步驟;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體而形成層之步驟、與對位於未滿大氣壓之壓力下的上述處理室內的經加熱之上述基板供給含氧氣體與含氫氣體而將上述層氧化之步驟的周期,而於進行了上述前處理之上述基板上形成氧化膜的步驟。
(附記13)
根據本發明之再其他態樣,提供一種基板處理方法,其具有下述步驟:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的步驟;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之步驟、與對上述處理室內之上述基板供給反應氣體之步驟的周期,而於進行了上述前處理之上述基板上形成薄膜的步驟。
(附記14)
根據本發明之再其他態樣,提供一種基板處理裝置,其具有:處理室,用以收容基板;加熱器,對上述處理室內之基板進行加熱;氣體供給系統,對上述處理室內供給氣體;壓力調整部,用以調整上述處理室內之壓力;與控制部,係依進行下述處理之方式,控制上述加熱器、上述氣體供給系統及上述壓力調整部: 藉由上述壓力調整部之控制而設定成對未滿大氣壓之壓力的上述處理室內之經藉由上述加熱器加熱之基板,藉上述氣體供給系統供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的處理;與藉由進行既定次數之包含對上述處理室內之上述基板藉上述氣體供給系統供給原料氣體之處理、與對上述處理室內之上述基板藉上述氣體供給系統供給反應氣體之處理的周期,而於進行了上述前處理之上述基板上形成薄膜的處理。
(附記15)
根據本發明之再其他態樣,提供一種程式,係使電腦實行下述手續:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板,供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的手續;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之手續、與對上述處理室內之上述基板供給反應氣體之手續的周期,而於進行了上述前處理之上述基板上形成薄膜的手續。
(附記16)
根據本發明之再其他態樣,提供一種電腦可讀取之記錄媒體,其係記錄了程式者;該程式係使電腦實行下述手續:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的手續;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之手續、與對上述處理室內之上述基板供給反應氣體之手續的周 期,而於進行了上述前處理之上述基板上形成薄膜的手續。
以上,說明了本發明各種典型之實施形態,但本發明並不限定於此等實施形態。因此,本發明之範圍係僅由下述申請專利範圍所限定者。
S101‧‧‧晶圓填裝
S102‧‧‧板載入
S103‧‧‧壓力調整及溫度調整
S120‧‧‧前處理
S121‧‧‧供給氧氣+氫氣
S122‧‧‧去除殘留氣體
S130‧‧‧形成矽氧化膜
S131‧‧‧供給HCDS氣體
S132‧‧‧去除殘留氣體
S133‧‧‧供給氧氣+氫氣
S134‧‧‧去除殘留氣體
S135‧‧‧是否實施了既定次數?
S104‧‧‧迫淨
S105‧‧‧回復大氣壓
S106‧‧‧板卸載
S107‧‧‧晶圓反填裝

Claims (19)

  1. 一種半導體裝置之製造方法,其具有下述步驟:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板,供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的步驟;與藉由進行既定次數之包含對上述處理室內之上述基板供給原料氣體之步驟、與對上述處理室內之上述基板供給反應氣體之步驟的周期,而於進行了上述前處理之上述基板上形成薄膜的步驟;於上述進行前處理之步驟中,藉由將上述基板之表面氧化,於上述基板之表面形成氧化層。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,在上述供給反應氣體之步驟中,對位於未滿大氣壓之壓力下的上述處理室內的經加熱之上述基板供給含氧氣體與含氫氣體,作為上述反應氣體;於上述形成薄膜之步驟中,於進行了上述前處理之上述基板上形成氧化膜,作為上述薄膜。
  3. 如申請專利範圍第2項之半導體裝置之製造方法,其中,使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體時的處理條件,與在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體時的處理條件相異。
  4. 如申請專利範圍第2項之半導體裝置之製造方法,其中,使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體的時間,與在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體的時間相異。
  5. 如申請專利範圍第2項之半導體裝置之製造方法,其中,使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體的時 間,較在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體的時間長。
  6. 如申請專利範圍第2項之半導體裝置之製造方法,其中,使在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度,與在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度相異。
  7. 如申請專利範圍第2項之半導體裝置之製造方法,其中,將在上述進行前處理之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度,設為在上述形成薄膜之步驟中對上述基板供給含氧氣體與含氫氣體時的含氫氣體相對於含氧氣體與含氫氣體的濃度以下。
  8. 如申請專利範圍第2項之半導體裝置之製造方法,其中,上述氧化膜之厚度為10nm以下。
  9. 如申請專利範圍第2項之半導體裝置之製造方法,其中,於上述進行前處理之步驟中,藉由將上述基板之表面氧化,於上述基板之表面形成氧化層。
  10. 如申請專利範圍第9項之半導體裝置之製造方法,其中,上述氧化層之厚度設為0.01~1nm。
  11. 如申請專利範圍第1項之半導體裝置之製造方法,其中,在進行上述前處理前之上述基板的表面係露出矽。
  12. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述薄膜係含有半導體元素及金屬元素中之至少任一者。
  13. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述薄膜 係含有SiO膜、SiN膜、SiON膜、SiCN膜、SiOCN膜、SiOC膜、SiBCN膜及SiBN膜中之至少任一種。
  14. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述反應氣體係含有選自由含氧氣體、含氮氣體、含碳氣體及含硼氣體所組成群之至少一種。
  15. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述進行前處理之步驟中,使上述含氧氣體與上述含氫氣體非電漿性地被熱活性化而對上述基板進行供給。
  16. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述進行前處理之步驟中,在上述處理室內使上述含氧氣體與上述含氫氣體非電漿性地被熱活性化而進行反應,藉此生成原子狀氧,並對上述基板供給含該原子狀氧的氧化種。
  17. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述進行前處理之步驟中,在上述處理室內使上述含氧氣體與上述含氫氣體非電漿性地被熱活性化而進行反應,藉此生成原子狀氧,並對上述基板供給含該原子狀氧之非含水分的氧化種。
  18. 一種基板處理裝置,其具有:處理室,用以收容基板;加熱器,對上述處理室內之基板進行加熱;氣體供給系統,對上述處理室內供給氣體;壓力調整部,用以調整上述處理室內之壓力;與控制部,係依進行下述處理之方式,控制上述加熱器、上述氣體供給系統及上述壓力調整部:對藉由上述壓力調整部之控制而設定成未滿大氣壓之壓力的上述處 理室內之經藉由上述加熱器加熱之基板,藉上述氣體供給系統供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的處理;與藉由進行既定次數之包含對上述處理室內之上述基板藉上述氣體供給系統供給原料氣體之處理、與對上述處理室內之上述基板藉上述氣體供給系統供給反應氣體之處理的周期,而於進行了上述前處理之上述基板上形成薄膜的處理;於上述進行前處理之處理中,藉由將上述基板之表面氧化,於上述基板之表面形成氧化層。
  19. 一種電腦可讀取之記錄媒體,其係記錄了程式者;該程式係使電腦實行下述手續:對位於未滿大氣壓之壓力下的處理室內的經加熱之基板供給含氧氣體與含氫氣體,而對上述基板之表面進行前處理的手續;與藉由進行既定次數之以包含對上述處理室內之上述基板供給原料氣體之手續、與對上述處理室內之上述基板供給反應氣體之手續的周期,而於進行了上述前處理之上述基板上形成薄膜的手續;於上述進行前處理之手續中,藉由將上述基板之表面氧化,於上述基板之表面形成氧化層。
TW103102913A 2013-04-22 2014-01-27 A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium TWI543260B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013089538A JP6230809B2 (ja) 2013-04-22 2013-04-22 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
TW201442116A TW201442116A (zh) 2014-11-01
TWI543260B true TWI543260B (zh) 2016-07-21

Family

ID=51706830

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103102913A TWI543260B (zh) 2013-04-22 2014-01-27 A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium

Country Status (5)

Country Link
US (1) US9552980B2 (zh)
JP (1) JP6230809B2 (zh)
KR (1) KR101537189B1 (zh)
CN (1) CN104109846B (zh)
TW (1) TWI543260B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN104498895B (zh) * 2014-12-23 2017-02-22 国家纳米科学中心 一种超薄氮氧化硅膜材料及其制备方法和用途
KR102412614B1 (ko) 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6560991B2 (ja) * 2016-01-29 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102126146B1 (ko) * 2016-03-28 2020-06-23 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
WO2018055724A1 (ja) 2016-09-23 2018-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6914048B2 (ja) * 2017-02-14 2021-08-04 株式会社Screenホールディングス 基板処理方法
JP6754493B2 (ja) * 2017-04-19 2020-09-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6807275B2 (ja) * 2017-05-18 2021-01-06 東京エレクトロン株式会社 成膜方法および成膜装置
KR102480740B1 (ko) * 2018-03-20 2022-12-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
SG11202102610UA (en) * 2018-09-21 2021-04-29 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus and program
CN109920877A (zh) * 2019-01-30 2019-06-21 上海微波技术研究所(中国电子科技集团公司第五十研究所) 分炉外延型硅基阻挡杂质带太赫兹探测器的制备方法
JP6783888B2 (ja) 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP7376959B2 (ja) * 2020-03-30 2023-11-09 株式会社フジキン ガス供給量測定方法およびガス供給量制御方法
WO2023042264A1 (ja) 2021-09-14 2023-03-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121568A (ja) * 1991-05-21 1993-05-18 Fujitsu Ltd 半導体装置の製造方法
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3437832B2 (ja) * 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
CN1762043B (zh) * 2003-08-26 2010-05-05 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4694209B2 (ja) * 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JPWO2006098300A1 (ja) * 2005-03-16 2008-08-21 株式会社日立国際電気 基板処理方法及び基板処理装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010212391A (ja) * 2009-03-10 2010-09-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8901013B2 (en) 2010-08-05 2014-12-02 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of processing substrate and method of manufacturing semiconductor device
JP5683388B2 (ja) 2010-08-19 2015-03-11 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置

Also Published As

Publication number Publication date
JP6230809B2 (ja) 2017-11-15
KR101537189B1 (ko) 2015-07-15
US20140315393A1 (en) 2014-10-23
US9552980B2 (en) 2017-01-24
CN104109846A (zh) 2014-10-22
CN104109846B (zh) 2018-03-09
TW201442116A (zh) 2014-11-01
KR20140126241A (ko) 2014-10-30
JP2014216342A (ja) 2014-11-17

Similar Documents

Publication Publication Date Title
TWI543260B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP6125247B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6199570B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6088178B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TWI601209B (zh) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
TWI547996B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
TWI508174B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6545093B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US9741555B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN108122736B (zh) 半导体装置的制造方法、基板处理装置以及存储介质
KR101398236B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2013077805A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI534895B (zh) 半導體裝置之製造方法,基板處理裝置及記錄媒體
JP2013179239A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
JP2013187324A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置