TWI540643B - A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium - Google Patents

A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium Download PDF

Info

Publication number
TWI540643B
TWI540643B TW103110085A TW103110085A TWI540643B TW I540643 B TWI540643 B TW I540643B TW 103110085 A TW103110085 A TW 103110085A TW 103110085 A TW103110085 A TW 103110085A TW I540643 B TWI540643 B TW I540643B
Authority
TW
Taiwan
Prior art keywords
gas
temperature
film
wafer
substrate
Prior art date
Application number
TW103110085A
Other languages
English (en)
Other versions
TW201448038A (zh
Inventor
Satoshi Shimamoto
Takaaki Noda
Takeo Hanashima
Yoshiro Hirose
Hiroshi Ashihara
Tsukasa Kamakura
Shingo Nohara
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201448038A publication Critical patent/TW201448038A/zh
Application granted granted Critical
Publication of TWI540643B publication Critical patent/TWI540643B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置之製造方法、基板處理裝置、基板處理系統及記錄媒體
本發明係關於一種半導體裝置之製造方法、基板處理裝置、基板處理系統及記錄媒體。
作為半導體裝置之製造步驟之一步驟,存在進行對基板供給例如:含有矽等既定元素之原料氣體或氧化氣體等而於基板上形成氧化矽膜等薄膜之步驟之情況。此時,藉由使用例如觸媒氣體而可實現相對低溫下之成膜,可改善半導體裝置所受之熱歷程等。
於在基板上形成薄膜時,存在實現例如使碳等含有於薄膜中而提高對濕式蝕刻之耐性,又,降低膜之介電係數等而謀求膜質之提高之情況。
然而,於相對低溫之條件下,存在難以向膜中取入充分量之碳,或於膜中混入水分等雜質之情況。因此,產生無法形成例如具備充分之耐蝕刻性之低介電係數之薄膜等課題。
本發明之目的在於提供一種形成具有優異之耐蝕刻性之低介電係數之薄膜的技術。
根據本發明之一態樣,可提供一種半導體裝置之製造方 法,其包括如下步驟:於基板上形成薄膜之步驟;藉由在高於上述形成薄膜之步驟中之上述基板溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分及氯之第1雜質的步驟;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的步驟。
根據本發明之另一態樣,可提供一種基板處理裝置,其具有:處理室,其收容基板;處理氣體供給系統,其將用以形成薄膜之處理氣體供給至上述處理室內;加熱器,其對上述處理室內之基板進行加熱;及控制部,其係以進行如下處理之方式控制上述處理氣體供給系統及上述加熱器而構成,上述處理為:對上述處理室內之基板供給上述處理氣體而於上述基板上形成薄膜之處理;藉由在高於上述形成薄膜之處理中之上述基板溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分及氯之第1雜質的處理;及藉由上述第1溫度以上之第2溫度對上述薄膜進行熱處理,而自以上述第1溫度進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的處理。
根據本發明之又一態樣,可提供一種基板處理系統,其係具有於基板上形成薄膜之第1基板處理部、及對上述薄膜進行熱處理之第2基板處理部者,上述第1基板處理部具有:第1處理室,其收容基板;處理氣體供給系統,其將用以形成薄膜之處理氣體供給至上述第1處理室內;及第1控制部,其係以進行對上述第1處理室內之基板供給上述處理氣體,而於上述基板上形成薄膜之處理來控制上述處理氣體供給系統而構成;且上述第2基板處理部具有:第2處理室,其收容基板;加熱器,其對上述第2處理室內之基板進行加熱; 及第2控制部,其係以進行如下處理來控制上述加熱器而構成,上述處理為:於在上述第2處理室內收容形成有上述薄膜之上述基板之狀態下,在高於上述形成薄膜之處理中之上述基板溫度之第1溫度對上述薄膜進行熱處理,藉此自上述薄膜中去除包含水分及氯之第1雜質的處理;及藉由以上述第1溫度以上之第2溫度對上述薄膜進行熱處理,而自以上述第1溫度進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的處理。
根據本發明之又一態樣,可提供一種記錄媒體,其係記錄有使電腦執行如下程序之程式的可由電腦讀取者,上述程序為:於處理室內之基板上形成薄膜之程序;藉由在高於上述形成薄膜之程序中之上述基板溫度之第1溫度對上述薄膜進行熱處理,而自上述薄膜中去除包含水分及氯之第1雜質的程序;及藉由於上述第1溫度以上之第2溫度對上述薄膜進行熱處理,而自以上述第1溫度進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的程序。
根據本發明,可形成具有優異之耐蝕刻性之低介電係數之薄膜。
115‧‧‧晶舟升降機
121‧‧‧控制器(控制部)
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸入輸出裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器(加熱手段)
209‧‧‧歧管
217‧‧‧晶舟
218‧‧‧隔熱板
219‧‧‧密封蓋
220a、220b‧‧‧O環
224‧‧‧電漿產生區域
231‧‧‧排氣管
232a~232l‧‧‧氣體供給管
237‧‧‧緩衝室
241a~241l‧‧‧MFC
243a~243l‧‧‧閥
244‧‧‧APC閥(壓力調整部)
245‧‧‧壓力感測器
246‧‧‧真空泵
249a~249c‧‧‧噴嘴
250a~250d‧‧‧氣體供給孔
255‧‧‧旋轉軸
263‧‧‧溫度感測器
267‧‧‧旋轉機構
269、270‧‧‧棒狀電極
272‧‧‧匹配器
273‧‧‧高頻電源
275‧‧‧電極保護管
圖1係本發明之第1實施形態中較佳地使用之基板處理裝置之立式處理爐之概略構成圖,係以縱剖面圖表示處理爐部分的圖。
圖2係本發明之第1實施形態中較佳地使用之基板處理裝置之立式處理爐之概略構成圖,係以圖1之A-A線剖面圖表示處理爐部分的圖。
圖3係本發明之第1實施形態中較佳地使用之基板處理裝置之控制器之概略構成圖,係以方塊圖表示控制器之控制系統的圖。
圖4係表示本發明之第1實施形態及其變形例之成膜順序中之氣體供給之時序的圖,(a)係表示第1實施形態之順序例之圖,(b)係表示變形例之順序例之圖。
圖5係本發明之第1實施形態之薄膜形成步驟之觸媒反應之說明圖,(a)係表示步驟1a中之觸媒反應之圖,(b)係表示步驟2a中之觸媒反應之圖。
圖6係表示本發明之第2實施形態及其變形例之成膜順序中之氣體供給之時序的圖,(a)係表示第2實施形態之順序例之圖,(b)係表示變形例1之順序例之圖,(c)係表示變形例2之順序例之圖。
圖7係表示本發明之第3實施形態之成膜順序中之氣體供給之時序的圖,(a)係表示形成層疊膜之順序例之圖,(b)係表示形成層壓膜之順序例之圖。
圖8係表示本發明之第3實施形態之變形例之成膜順序中之氣體供給及射頻(RF,Radio Frequency)電力供給之時序的圖,(a)係表示形成層疊膜之順序例之圖,(b)係表示形成層壓膜之順序例之圖。
圖9(a)至(f)係表示用作原料氣體之各種矽烷之化學構造式的圖,係分別表示BTCSM、BTCSE、TCDMDS、DCTMDS、HCDS、BDEAS之化學構造式之圖。
圖10(a)至(f)係表示用作觸媒氣體之各種胺之名稱、化學組成式、化學構造式、及酸解離常數的圖,係分別表示環狀胺、TEA、DEA、MEA、TMA、MMA之名稱、化學組成式、化學構造式、及酸解離常數之圖。
圖11係本發明之實施例之圖表,(a)表示熱處理前後之SiOC膜之相對介電係數,(b)表示熱處理前後之SiOC膜之濕式蝕刻速率,(c)表示SiOC膜之濕式蝕刻速率對熱處理溫度之依存性。
圖12係例示藉由本發明之第1實施形態之成膜順序所形成之熱處理前之SiOC膜之利用TDS獲得之脫附質譜的圖,(a)係例示H2O之脫附質譜之圖表,(b)係例示Cl之脫附質譜之圖表,(c)係例示C2H2之脫附質譜之圖表。
圖13係表示本發明之實施例之評價結果的圖,係將樣品1之SiOC膜與樣品2之SiOC膜之各種特性加以比較並匯總於表中者。
圖14(a)係表示將第2溫度設為高於第1溫度溫度之情形時之熱處理步驟之溫度控制順序的圖,(b)至(d)係表示其變形例之圖。
圖15係表示將第2溫度設為與第1溫度同等之溫度之情形時之熱處理步驟之溫度控制順序的圖。
圖16係表示本發明之實施例之評價結果的圖,(a)係表示樣品1~6之SiOC膜之濕式蝕刻速率之圖表,(b)係將各樣品之熱處理條件加以比較並匯總於表中者。
圖17係表示本發明之實施例之評價結果的圖,係表示樣品1~8之SiOC膜及樣品9、10之SiO膜之相對介電係數之圖表。
<第1實施形態>
以下,參照圖式對本發明之第1實施形態進行說明。
(1)基板處理裝置之整體構成
如圖1所示,處理爐202具有作為加熱手段(加熱機構)之加熱器207。加熱器207係圓筒形狀,且藉由被作為保持板之加熱器基底(未圖示)支持而垂直地固定。如下所述,加熱器207亦作為利用熱使氣體活化(激發)之活化機構(激發部)而發揮功能。
於加熱器207之內側,與加熱器207成同心圓狀地配設有反應管203。反應管203例如包含石英(SiO2)或碳化矽(SiC)等耐熱性材料,形成為上端封閉、下端開口之圓筒形狀。於反應管203之下方,與反應管203成同心圓狀地配設有歧管(入口凸緣(inlet flange))209。歧管209例如包含不鏽鋼等金屬,形成為上端及下端均開口之圓筒形狀。歧管209之上端部與反應管203之下端部接合,以支持反應管203之方式構成。於歧管209與反應管203之間設置作為密封構件之O環220a。藉由利用加熱器基底支持歧管209,而使反應管203成為垂直地固定之狀態。主要由反應管203與歧管209構成處理容器(反應容器)。於處理容器之筒中空部形成處理室201。處理室201係構成為可藉由下述晶舟217以水平姿態於垂直方向上整齊排列為多段之狀態下收容作為基板之晶圓200。
於處理室201內,以貫通歧管209之側壁之方式設置噴嘴249a~249c。噴嘴249a~249c分別與氣體供給管232a~232c連接。氣體供給管232a與氣體供給管232d~232f連接。氣體供給管232b與氣體供給管232g、232h連接。氣體供給管232c與氣體供給管232i連接。如此,處理容器中設置有3個噴嘴249a~249c、及複數根氣體供給管232a~232i,而以可向處理室201內供給複數種氣體之方式構成。
於氣體供給管249a~249i上,自上游方向依序分別設置有作為流量控制器(流量控制部)之質量流量控制器(MFC)241a~241i、 及作為開關閥之閥243a~243i。較氣體供給管232a~232c之閥243a~243c更下游側分別與供給惰性氣體之氣體供給管232j~232l連接。於氣體供給管232j~232l上,自上游方向依序分別設置有MFC 241j~241l及閥243j~243l。
氣體供給管232a、232c之前端部分別與噴嘴249a、249c連接。噴嘴249a、249c係如圖2所示般以於反應管203之內壁與晶圓200之間之圓環狀之空間內,沿著反應管203之內壁之下部直至上部,朝向晶圓200之裝載方向上方立起之方式分別設置。即,噴嘴249a、249c係於供晶圓200排列之晶圓排列區域側的水平包圍晶圓排列區域之區域內,沿晶圓排列區域而分別設置。噴嘴249a、249c係分別構成為L字型之長頸噴嘴,其等各水平部以貫通歧管209之側壁之方式設置,其等各垂直部以自至少晶圓排列區域之一端側向另一端側立起之方式設置。於噴嘴249a、249c之側面分別設置供給氣體之氣體供給孔250a、250c。氣體供給孔250a、250c以朝向反應管203之中心之方式開口,能夠向晶圓200供給氣體。氣體供給孔250a、250c自反應管203之下部至上部設置複數個,均具有相同開口面積,進而以相同之開口間距設置。
氣體供給管232b之前端部係與噴嘴249b連接。噴嘴249b設置於作為氣體分散空間之緩衝室237內。緩衝室237係如圖2所示般於反應管203之內壁與晶圓200之間之圓環狀之空間內,又,於自反應管203內壁之下部至上部之部分,沿晶圓200之裝載方向設置。即,緩衝室237係於晶圓排列區域之側的水平地包圍晶圓排列區域之區域內,沿晶圓排列區域而設置。於緩衝室237之與晶圓200鄰接之壁之端部,設置有供給氣體之氣體供給孔250d。氣體供給孔250d 以朝向反應管203之中心之方式開口,能夠向晶圓200供給氣體。氣體供給孔250d自反應管203之下部至上部設置複數個,均具有相同之開口面積,進而以相同之開口間距設置。
噴嘴249b係以於與緩衝室237之設置氣體供給孔250d之端部相反側的端部,沿著反應管203之內壁之下部直至上部,朝向晶圓200之裝載方向上方立起之方式設置。即,噴嘴249b係於供晶圓200排列之晶圓排列區域之側的水平地包圍晶圓排列區域之區域內,沿晶圓排列區域而設置。噴嘴249b係構成為L字型之長頸噴嘴,其水平部以貫通歧管209之側壁之方式設置,其垂直部以至少自晶圓排列區域之一端側向另一端側立起之方式設置。於噴嘴249b之側面設置供給氣體之氣體供給孔250b。氣體供給孔250b以朝向緩衝室237之中心之方式開口。氣體供給孔250b與氣體供給孔250d同樣地自反應管203之下部至上部設置複數個。於緩衝室237內與處理室201內之差壓較小之情形時,可使複數個氣體供給孔250b之開口面積及開口間距自上游側(下部)至下游側(上部)各自相同。又,於緩衝室237內與處理室201內之差壓較大之情形時,可使氣體供給孔250b之開口面積自上游側向下游側緩慢地增大,或使氣體供給孔250b之開口間距自上游側向下游側緩慢地縮小。
藉由將氣體供給孔250b各者之開口面積或開口間距自上游側至下游側如上述般進行調節,雖然氣體供給孔250b各者存在流速之差,但仍可噴出流量大致同量之氣體。並且,藉由將自該等複數個氣體供給孔250b各者噴出之氣體暫且導入至緩衝室237內,可於緩衝室237內進行氣體之流速差之均勻化。自複數個氣體供給孔250b各者向緩衝室237內噴出之氣體係於緩衝室237內使各氣體之粒子速度 緩和後,自複數個氣體供給孔250d向處理室201內噴出。自複數個氣體供給孔250b各者向緩衝室237內噴出之氣體於自氣體供給孔250d各者向處理室201內噴出時,成為具有均勻之流量與流速之氣體。
如此,於本實施形態中,經由配置於以反應管203之內壁與所裝載之複數個晶圓200之端部定義之圓環狀之縱向之空間內、即圓筒狀之空間內的噴嘴249a~249c及緩衝室237而搬送氣體。然後,自噴嘴249a~249c及分別於緩衝室237開口之氣體供給孔250a~250d,於晶圓200之附近開始向反應管203內噴出氣體。然後,將反應管203內之氣體之主要流動方向設為與晶圓200之表面平行之方向、即水平方向。藉由設為此種構成,可向各晶圓200均勻地供給氣體,可提高各晶圓200所形成之膜之膜厚之均勻性。於晶圓200之表面上流動之氣體、即反應後之殘留氣體向排氣口、即下述排氣管231之方向流動。但是,該殘留氣體之流動方向係根據排氣口之位置而適當特定,並不限於垂直方向。
自氣體供給管232a將含有既定元素、C及鹵素元素且具有既定元素與C之化學鍵之原料氣體、例如含有作為既定元素之Si、伸烷基及鹵基且具有Si與C之化學鍵(Si-C鍵)之伸烷基鹵矽烷原料氣體,經由MFC 241a、閥243a、噴嘴249a供給至處理室201內。所謂伸烷基,係指自通式CnH2n+2所表示之鏈狀飽和烴(烷烴)去除2個氫(H)而成之官能基,係通式CnH2n所表示之原子之集合體。伸烷基包含亞甲基、伸乙基、伸丙基、伸丁基等。鹵基包含氯基、氟基、溴基等。即,鹵基包含氯(Cl)、氟(F)、溴(Br)等鹵素元素。
作為伸烷基鹵矽烷原料氣體,例如可使用含有Si、作為伸烷基之亞甲基(-CH2-)及作為鹵基之氯基(Cl)之原料氣體、即含有亞甲 基之氯矽烷原料氣體,或者含有Si、作為伸烷基之伸乙基(-C2H4-)及作為鹵基之氯基(Cl)之原料氣體、即含有伸乙基之氯矽烷原料氣體。作為含有亞甲基之氯矽烷原料氣體,例如可使用亞甲基雙(三氯矽烷)氣體即雙(三氯矽烷基)甲烷((SiCl3)2CH2,簡稱:BTCSM)氣體等。作為含有伸乙基之氯矽烷原料氣體,例如可使用伸乙基雙(三氯矽烷)氣體即1,2-雙(三氯矽烷基)乙烷((SiCl3)2C2H4,簡稱:BTCSE)氣體等。
如圖9(a)所示,BTCSM於其化學構造式中(1分子中)含有1個作為伸烷基之亞甲基。亞甲基所具有之2個鍵結鍵分別與Si鍵結,而構成Si-C-Si鍵。
如圖9(b)所示,BTCSE於1分子中含有1個作為伸烷基之伸乙基。伸乙基所具有之2個鍵結鍵分別與Si鍵結,而構成Si-C-C-Si鍵。
自氣體供給管232d,將含有既定元素、C及鹵素元素且具有既定元素與C之化學鍵之原料氣體、例如含有作為既定元素之Si、烷基及鹵基且具有Si-C鍵之烷基鹵矽烷原料氣體經由MFC 241d、閥243d、噴嘴249a供給至處理室201內。所謂烷基,係指自通式CnH2n+2所表示之鏈狀飽和烴去除1個H而成之官能基,係通式CnH2n+1所表示之原子之集合體。烷基包含甲基、乙基、丙基、丁基等。鹵基包含氯基、氟基、溴基即Cl、F、Br等鹵素元素。
作為烷基鹵矽烷原料氣體,例如可使用含有Si、作為烷基之甲基(-CH3)及作為鹵基之氯基(Cl)之原料氣體、即含有甲基之氯矽烷原料氣體。作為含有甲基之氯矽烷原料氣體,例如可使用1,1,2,2-四氯-1,2-二甲基二矽烷((CH3)2Si2Cl4,簡稱:TCDMDS)氣體、1,2-二氯-1,1,2,2-四甲基二矽烷((CH3)4Si2Cl2,簡稱:DCTMDS)氣體、1-單氯 -1,1,2,2,2-五甲基二矽烷((CH3)5Si2Cl,簡稱:MCPMDS)氣體等。TCDMDS氣體、DCTMDS氣體等烷基鹵矽烷原料氣體不同於BTCSE氣體、BTCSM氣體等伸烷基鹵矽烷氣體原料氣體,亦係具有Si-Si鍵之氣體、即含有既定元素及鹵素元素且具有既定元素彼此之化學鍵之原料氣體。
如圖9(c)所示,TCDMDS於1分子中含有2個作為烷基之甲基。2個甲基所具有之各鍵結鍵分別與Si鍵結,而構成Si-C鍵。TCDMDS係二矽烷之衍生物,具有Si-Si鍵。即,TCDMDS具有Si彼此鍵結且Si與C鍵結而成之Si-Si-C鍵。
如圖9(d)所示,DCTMDS於1分子中含有4個作為烷基之甲基。4個甲基所具有之各鍵結鍵分別與Si鍵結,而構成Si-C鍵。DCTMDS係二矽烷之衍生物,且具有Si-Si鍵。即,DCTMDS具有Si彼此鍵結且Si與C鍵結而成之Si-Si-C鍵。
自氣體供給管232e,將含有作為既定元素之Si及鹵素元素之原料氣體、例如含有Si及鹵素元素且具有Si彼此之化學鍵(Si-Si鍵)之鹵矽烷原料氣體,經由MFC 241e、閥243e、噴嘴249a供給至處理室201內。
作為鹵矽烷原料氣體,例如可使用含有Si、作為鹵素元素之氯基(Cl)且具有Si-Si鍵之原料氣體、即氯矽烷原料氣體。所謂氯矽烷原料氣體,係指含有氯基之矽烷原料氣體,係至少含有Si及作為鹵素元素之Cl的原料氣體。即,此處所謂氯矽烷原料亦可謂一種鹵化物。作為自氣體供給管232e供給之氯矽烷原料氣體,例如可使用六氯二矽烷(Si2Cl6,簡稱:HCDS)氣體。
如圖9(e)所示,HCDS於1分子中含有2個Si及6個氯 基。作為含有Si及鹵素元素之原料氣體,除了HCDS氣體以外,亦可使用四氯矽烷即四氯化矽(SiCl4,簡稱:STC)氣體、三氯矽烷(SiHCl3,簡稱:TCS)氣體、二氯矽烷(SiH2Cl2,簡稱:DCS)氣體、單氯矽烷(SiH3Cl,簡稱:MCS)氣體等無機原料氣體。
自氣體供給管232f,將含有作為既定元素之Si、C及氮(N)且具有Si與N之化學鍵(Si-N鍵)之原料氣體、例如含有Si及胺基(amine group)之原料氣體即胺基矽烷原料氣體,經由MFC 241f、閥243f、噴嘴249a供給至處理室201內。
所謂胺基矽烷原料氣體,係指含有胺基之矽烷原料氣體,係至少包含Si、與含有C及N之胺基的原料氣體。作為自氣體供給管232f供給之胺基矽烷原料氣體,例如可使用雙(二乙基胺基)矽烷(Si[N(C2H5)2]2H2,簡稱:BDEAS)氣體。
如圖9(f)所示,BDEAS於1分子中含有1個Si及2個胺基。作為含有Si、C及N且具有Si-N鍵之原料氣體,除了BDEAS氣體以外,亦可使用三(二乙基胺基)矽烷(SiH[N(C2H5)2]3,簡稱:3DEAS)氣體、四(二乙基胺基)矽烷(Si[N(C2H5)2]4,簡稱:4DEAS)氣體、三(二甲基胺基)矽烷(Si[N(CH3)2]3H,簡稱:3DMAS)氣體、四(二甲基胺基)矽烷(Si[N(CH3)2]4,簡稱:4DMAS)氣體等有機原料氣體。
此處,所謂原料氣體,係指氣體狀態之原料,例如為藉由將於常溫常壓下為液體狀態之原料氣化而獲得之氣體、或者於常溫常壓下為氣體狀態之原料等。於本說明書中,於使用「原料」之表述之情形時,有時表示「液體狀態之液體原料」,有時表示「氣體狀態之原料氣體」,或表示兩者。於使用如BTCSM、BTCSE、TCDMDS、DCTMDS、HCDS、BDEAS般於常溫常壓下為液體狀態之液體原料之 情形時,藉由氣化器或起泡器等氣化系統將液體原料氣化,並以原料氣體(BTCSM氣體、BTCSE氣體、TCDMDS氣體、DCTMDS氣體、HCDS氣體、BDEAS氣體)之形式供給。
自氣體供給管232b,將氧化氣體、例如含有氧(O)之氣體(含氧氣體)經由MFC 241b、閥243b、噴嘴249b、緩衝室237供給至處理室201內。作為自氣體供給管232b供給之氧化氣體,例如可使用水蒸氣(H2O氣體)。再者,於供給H2O氣體時,亦可設為如下構成:向未圖示之外部燃燒裝置供給氧氣(O2)與氫氣(H2),使之燃燒而產生H2O氣體,並進行供給。
自氣體供給管232g,將氧化氣體、例如含有O之氣體(含氧氣體)經由MFC 241g、閥243g、噴嘴249b、緩衝室237供給至處理室201內。作為自氣體供給管232g供給之氧化氣體,例如可使用臭氧氣體(O3)。
自氣體供給管232h,將氧化氣體、例如含有O之氣體(含氧氣體)經由MFC 241h、閥243h、噴嘴249b、緩衝室237供給至處理室201內。作為自氣體供給管232h供給之氧化氣體,例如可使用氧氣(O2)。
自氣體供給管232c,將藉由觸媒作用而減弱晶圓200之表面或H2O氣體所具有之O-H鍵之鍵結力,促進原料氣體之分解,又,促進H2O氣體等氧化氣體之氧化反應的觸媒氣體,例如含有C、N及H之胺系氣體,經由MFC 241c、閥243c、噴嘴249c供給至處理室201內。
所謂胺系氣體,係指包含氨(NH3)中之至少1個H經烷基等烴基取代之胺的氣體。如圖10所示,用作觸媒氣體之各種胺例如 含有具有孤電子對之N且酸解離常數(以下亦稱為pKa)為5~11左右。所謂酸解離常數(pKa),係指定量地表示酸之強度之指標之一,以負的常用對數表示自酸釋放H離子之解離反應中之平衡常數Ka。作為胺系氣體,可使用烴基成為環狀之環狀胺系氣體,或烴基成為鏈狀之鏈狀胺系氣體。作為自氣體供給管232c供給之胺系氣體,例如可使用作為環狀胺系氣體之吡啶(C5H5N)氣體。
作為環狀胺系氣體,如圖10(a)所示,例如可使用吡啶(C5H5N,pKa=5.67)氣體、胺基吡啶(C5H6N2,pKa=6.89)氣體、甲基吡啶(C6H7N,pKa=6.07)氣體、二甲基吡啶(C7H9N,pKa=6.96)氣體、哌(C4H10N2,pKa=9.80)氣體、哌啶(C5H11N,pKa=11.12)氣體等。環狀胺系氣體亦可謂由C與N之複數種元素構成其環狀構造之雜環化合物、即含氮雜環化合物。
自氣體供給管232i,將具有與環狀胺系氣體相同之觸媒作用之觸媒氣體、例如含有C、N及H之胺系氣體,經由MFC 241i、閥243i、噴嘴249c供給至處理室201內。作為自氣體供給管232i供給之胺系氣體,例如可使用作為鏈狀胺系氣體之三乙基胺((C2H5)3N,簡稱:TEA)氣體。
作為鏈狀胺系氣體,分別如圖10(b)~(f)所示,例如可使用三乙基胺((C2H5)3N,簡稱:TEA,pKa=10.7)氣體、二乙基胺((C2H5)2NH,簡稱:DEA,pKa=10.9)氣體、單乙基胺((C2H5)NH2,簡稱:MEA,pKa=10.6)氣體、三甲基胺((CH3)3N,簡稱:TMA,pKa=9.8)氣體、單甲基胺((CH3)NH2,簡稱:MMA,pKa=10.6)氣體等。
亦可將用作觸媒氣體之胺系氣體稱為胺系觸媒氣體。作為觸媒氣體,除了上述胺系氣體以外,亦可使用非胺系氣體、例如氨 氣(NH3,pKa=9.2)等。
此處例示之觸媒氣體亦有於下述薄膜形成處理中分子構造之一部分發生分解之情況。此種於化學反應之前後其一部分發生變化之氣體嚴格而言並非「觸媒」。然而,於本說明書中,將即便於在化學反應之過程中其一部分發生分解之情形時但大部分並未分解,又,改變反應之速度而實質上作為觸媒發揮作用的物質稱為「觸媒」。
自氣體供給管232j~232l,例如將惰性氣體、例如氮氣(N2)分別經由MFC 241j~241l、閥243j~243l、氣體供給管232a~232c、噴嘴249a~249c、緩衝室237供給至處理室201內。
氮氣作為沖洗氣體發揮作用,又,亦作為產生下述之不含氧之環境之不含O(不含氧)之氣體發揮作用。於使用氮氣作為不含氧之氣體時,氮氣存在亦作為熱處理氣體或退火氣體發揮作用之情況。作為惰性氣體、沖洗氣體、及不含氧之氣體,除了氮氣以外,例如可使用氬氣(Ar)、氦氣(He)、氖氣(Ne)、氙氣(Xe)等稀有氣體。
於自各氣體供給管分別通入如上述氣體情形時,主要由氣體供給管232a、232d、232e、232f、MFC 241a、241d、241e、241f、閥243a、243d、243e、243f構成供給原料氣體之原料氣體供給系統。亦可認為原料氣體供給系統包含噴嘴249a。亦可將原料氣體供給系統稱為原料供給系統。原料氣體供給系統亦可視為分別供給成為互不相同之元素之元素源之複數種原料氣體、或分子構造互不相同之複數種原料氣體的複數個供給線(供給系統)之集合體。即,原料氣體供給系統可謂主要由氣體供給管232a、MFC 241a、閥243a構成之BTCSM氣體供給線,主要由氣體供給管232d、MFC 241d、閥243d構成之TCDMDS氣體供給線,主要由氣體供給管232e、MFC 241e、閥243e 構成之HCDS氣體供給線,及主要由氣體供給管232f、MFC 241f、閥243f構成之BDEAS氣體供給線的集合體。亦可認為各供給線包含噴嘴249a。
如此,構成原料氣體供給系統之複數個供給線係構成為分別供給成為互不相同之元素之元素源之複數種原料氣體、或分子構造互不相同之複數種原料氣體。又,各原料氣體具有互不相同之分子構造、即互不相同之化學構造式。各原料氣體之組成或成分亦可變得不同。具有互不相同之分子構造之原料氣體之化學性質亦互不相同。因此,如下述般,藉由根據所需之成膜處理適當選擇原料氣體之種類,可利用1台基板處理裝置通用性且再現性良好地形成各種組成比、膜質之薄膜。
又,主要由氣體供給管232b、232g、232h、MFC 241b、241g、241h、閥243b、243g、243h構成氧化氣體供給系統。亦可認為氧化氣體供給系統包含噴嘴249b、緩衝室237。亦可將氧化氣體供給系統稱為氧化劑供給系統。氧化氣體供給系統亦可視為分別供給分子構造互不相同之複數種氧化氣體的複數個供給線(供給系統)之集合體。即,氧化氣體供給系統可謂主要由氣體供給管232b、MFC 241b、閥243b構成之H2O氣體供給線,主要由氣體供給管232g、MFC 241g、閥243g構成之臭氧氣體供給線,及主要由氣體供給管232h、MFC 241h、閥243h構成之氧氣供給線的集合體。亦可認為各供給線包含噴嘴249b或緩衝室237。
如此,構成氧化氣體供給系統之複數個供給線係構成為分別供給分子構造互不相同之複數種氧化氣體。又,各氧化氣體具有互不相同之分子構造、即互不相同之化學構造式。各氧化氣體之組成 或成分亦可變得不同。具有互不相同之分子構造之氧化氣體之化學性質亦互不相同。因此,例如藉由根據所需之成膜處理適當選擇氧化氣體之種類,可利用1台基板處理裝置通用性且再現性良好地形成各種組成比、膜質之薄膜。
又,主要由氣體供給管232c、232i、MFC 241C、241i、閥243c、243i構成觸媒氣體供給系統。亦可認為觸媒氣體供給系統包含噴嘴249c。觸媒氣體供給系統亦可視為分別供給分子構造互不相同之複數種觸媒氣體的複數個供給線(供給系統)之集合體。即,觸媒氣體供給系統可謂主要由氣體供給管232c、MFC 241c、閥243c構成之吡啶氣體供給線,及主要由氣體供給管232i、MFC 241i、閥243i構成之TEA氣體供給線的集合體。亦可認為各供給線包含噴嘴249c。又,如下述般,吡啶氣體或TEA氣體亦可謂作為觸媒之胺系氣體、即胺系觸媒氣體。以下,亦將供給各種胺系觸媒氣體之觸媒氣體供給系統稱為胺系觸媒氣體供給系統。
如此,構成觸媒氣體供給系統之複數個供給線係構成為分別供給分子構造互不相同之複數種觸媒氣體。又,各觸媒氣體具有互不相同之分子構造、即互不相同之化學構造式。各觸媒氣體之組成或成分亦可變得不同。具有互不相同之分子構造之觸媒氣體之化學性質亦互不相同。因此,如下述般,藉由根據所需之成膜處理適當選擇觸媒氣體之種類,可以1台基板處理裝置通用性且再現性良好地形成各種組成比、膜質之薄膜。
又,主要由氣體供給管232j~232l、MFC 241j~241l、閥243j~243l構成惰性氣體供給系統。亦可認為惰性氣體供給系統包含氣體供給管232a~232c與氣體供給管232j~232l之連接部之更下游 側、噴嘴249a~249c、及緩衝室237。惰性氣體供給系統亦可視為複數個供給線之集合體。即,惰性氣體供給系統可謂主要由氣體供給管232j、MFC 241j、閥243j構成之惰性氣體供給線,主要由氣體供給管232k、MFC 241k、閥243k構成之惰性氣體供給線,及主要由氣體供給管232l、MFC 241l、閥243l構成之惰性氣體供給線的集合體。惰性氣體供給系統亦作為沖洗氣體供給系統及不含氧之氣體供給系統發揮功能。再者,不含氧之氣體供給系統成為構成產生下述不含氧之環境氣體之環境氣體產生部之一部分。
亦可將上述原料氣體供給系統、氧化氣體供給系統、觸媒氣體供給系統、惰性氣體供給系統中之任一者或全部氣體供給系統稱為處理氣體供給系統。
如圖2所示,於緩衝室237內將包含導電體且具有細長構造之2根棒狀電極269、270,自反應管203之下部至上部沿晶圓200之積層方向配設。棒狀電極269、270各者係與噴嘴249d平行地設置。棒狀電極269、270各者係藉由自上部至下部由電極保護管275覆蓋而被保護。棒狀電極269、270中之任一者經由匹配器272與高頻電源273連接,另一者與作為基準電位之地線連接。經由匹配器272自高頻電源273對棒狀電極269、270之間施加高頻(RF)電力,藉此於棒狀電極269、270間之電漿產生區域224產生電漿。主要由棒狀電極269、270、電極保護管275構成作為電漿產生器(電漿產生部)之電漿源。亦可認為電漿源包含匹配器272、高頻電源273。電漿源係作為將氣體活化(激發)為電漿狀態之活化機構(激發部)發揮功能。
電極保護管275成為可於與緩衝室237內之環境氣體隔離之狀態下,將棒狀電極269、270分別插入至緩衝室237內的構造。 若電極保護管275之內部之氧濃度與外部氣體(大氣)之氧濃度程度相同,則分別插入至電極保護管275內之棒狀電極269、270會因由加熱器207引起之熱而氧化。預先於電極保護管275之內部填充氮氣等惰性氣體,或使用惰性氣體沖洗機構以氮氣等惰性氣體沖洗電極保護管275之內部,藉此構成為可降低電極保護管275之內部之氧濃度,抑制棒狀電極269、270之氧化。
於反應管203設置將處理室201內之環境氣體排氣之排氣管231。排氣管231係經由作為檢測處理室201內之壓力之壓力檢測器(壓力檢測部)之壓力感測器245、及作為壓力調整器(壓力調整部)之自動壓力控制器(APC,Auto Pressure Controller)閥244與作為真空排氣裝置之真空泵246連接。APC閥244係構成為可藉由於使真空泵246作動之狀態下開關閥,而進行處理室201內之真空排氣及真空排氣停止,進而,可藉由於使真空泵246作動之狀態下,基於利用壓力感測器245檢測到之壓力資訊調節閥開度,而調整處理室201內之壓力的閥。主要由排氣管231、APC閥244、壓力感測器245構成排氣系統。亦可認為排氣系統包含真空泵246。排氣管231並不限於設置於反應管203之情形,亦可與噴嘴249a~249c同樣地設置於歧管209。
主要由上述排氣系統及上述不含氧之氣體供給系統構成處理室201內產生不含氧之環境氣體之環境氣體產生部。排氣系統係構成為藉由對處理室201內進行真空排氣而單獨利用排氣系統、或者與對處理室201內之晶圓200供給不含氧之氣體之不含氧之氣體供給系統協動,從而將處理室201內之環境氣體設為不含氧之環境氣體。
於歧管209之下方,設置作為可將歧管209之下端開口封閉成氣密狀態之爐口蓋體之密封蓋219。密封蓋219係構成為自垂直 方向下側抵接於歧管209之下端。密封蓋219例如包含日本不鏽鋼標準(SUS,Steel Use Stainless)等金屬,且形成為圓盤狀。於密封蓋219之上表面,設置作為與歧管209之下端抵接之密封構件之O環220b。於密封蓋219之與處理室201相反之側,設置使下述晶舟217旋轉之旋轉機構267。旋轉機構267之旋轉軸255貫通密封蓋219與晶舟217連接。旋轉機構267係構成為藉由使晶舟217旋轉而使晶圓200旋轉。密封蓋219係構成為藉由作為垂直地設置於反應管203之外部之升降機構之晶舟升降機115而於垂直方向上升降。晶舟升降機115係構成為可藉由使密封蓋219升降而使晶舟217於處理室201內外搬入及搬出。即,晶舟升降機115係構成為將晶舟217及由晶舟217支持之晶圓200搬送至處理室201內外的搬送裝置(搬送機構)。
作為基板支持具之晶舟217係構成為:以水平姿態且於中心相互對齊之狀態下於垂直方向上整齊排列而多段地支持複數個、例如25~200個晶圓200,即空開間隔而排列。晶舟217例如包含石英或SiC等耐熱性材料。晶舟217之下部以水平姿態多段地支持例如包含石英或SiC等耐熱性材料之隔熱板218。藉由該構成,來自加熱器207之熱難以傳遞至密封蓋219側。但是,本實施形態並不限定於上述形態。例如,亦可不於晶舟217之下部設置隔熱板218而設置構成為包含石英或SiC等耐熱性材料之筒狀之構件的隔熱筒。
於反應管203內,設置作為溫度檢測器之溫度感測器263。基於藉由溫度感測器263檢測到之溫度資訊,調整對加熱器207之通電情況,藉此構成為處理室201內之溫度成為所需溫度分佈構成。溫度感測器263係與噴嘴249a~249c同樣地構成為L字型,沿反應管203之內壁設置。
如圖3所示,作為控制部(控制手段)之控制器121係構成為具備中央處理單元(CPU,Central Processing Unit)121a、隨機存取記憶體(RAM,Random Access Memory)121b、記憶裝置121c、I/O埠121d之電腦。RAM 121b、記憶裝置121c、I/O埠121d構成為可經由內部匯流排121e與CPU 121a交換資料。控制器121例如與構成為觸控面板等之輸入輸出裝置122連接。
記憶裝置121c例如包含快閃記憶體、硬盤驅動器(HDD,Hard Disk Drive)等。於記憶裝置121c內,可讀出並儲存控制基板處理裝置之動作之控制程式,或者記載有下述之薄膜形成等基板處理之程序或條件等之製程配方等。製程配方可以使控制器121執行下述之薄膜形成步驟等基板處理步驟中之各程序而獲得既定之結果的方式進行組合,且作為程式發揮功能。以下,可總稱為該製程配方或控制程式等,亦可僅稱為程式。於本說明書中,於使用程式之表述之情形時,有時僅包括製程配方單體,有時僅包括控制程式單體,或有時包括兩者。RAM 121b係構成為暫時保持藉由CPU 121a讀出之程式或資料等的記憶體區域(工作區)。
I/O埠121d係與上述MFC 241a~241l、閥243a~243l、壓力感測器245、APC閥244、真空泵246、溫度感測器263、加熱器207、匹配器272、高頻電源273、旋轉機構267、晶舟升降機115等連接。
CPU 121a係構成為利用記憶裝置121c將控制程式讀出並執行,同時依據利用輸入輸出裝置122之操作指令之輸入等利用記憶裝置121c讀出製程配方。CPU 121a係構成為按照所讀出之製程配方之內容,而控制利用MFC 241a~241l之各種氣體之流量調整動作、 閥243a~243l之開關動作、APC閥244之開關動作及基於壓力感測器245之利用APC閥244之壓力調整動作、真空泵246之啟動及停止、基於溫度感測器263之加熱器207之溫度調整動作、利用旋轉機構267之晶舟217之旋轉及旋轉速度調節動作、利用晶舟升降機115之晶舟217之升降動作、利用匹配器272之阻抗調整動作、高頻電源273之電力供給等。
控制器121並不限於構成為專用電腦之情形,亦可構成為通用電腦。例如,準備儲存有上述程式之外部記憶裝置(例如,磁帶、軟碟或硬碟等磁碟、光碟(CD,Compact Disc)或可記錄式數位化多功能光碟(DVD,Digital Versatile Disc)等光碟,磁光(MO,Magneto Optical)等磁光碟,通用串列匯流排(USB,Universal Serial Bus)記憶體或記憶卡等半導體記憶體)123,使用該外部記憶裝置123對通用電腦安裝程式等,藉此可構成本實施形態之控制器121。但是,用以對電腦供給程式之手段並不限於經由外部記憶裝置123進行供給之情形。例如,亦可使用網際網絡或專用線路等通訊手段不經由外部記憶裝置123而供給程式。記憶裝置121c或外部記憶裝置123係構成為可由電腦讀取之記錄媒體。以下,可總稱為該等,亦可僅稱為記錄媒體。於本說明書中,於使用記錄媒體之表述之情形時,有時僅包括記憶裝置121c單體,有時僅包括外部記憶裝置123單體,或有時包括其兩者。
(2)薄膜形成步驟
針對使用上述基板處理裝置,於基板上形成(成膜)薄膜作為半導體裝置(Semiconductor Device)之製造步驟之一步驟的順序例,利用圖4(a)進行說明。於以下說明中,構成基板處理裝置之各部之動作係藉由控 制器121進行控制。
於圖4(a)所示之成膜順序中,進行藉由進行既定次數(n次)之包括如下步驟之循環,而於晶圓200上形成作為含有Si、O及C之薄膜之氧碳化矽膜(以下亦稱為SiOC膜)之步驟:對作為基板之晶圓200供給作為含有Si、C及Cl且具有Si-C鍵之原料氣體之BTCSM氣體之步驟;對晶圓200供給作為氧化氣體之H2O氣體之步驟;及對晶圓200供給作為觸媒氣體之吡啶氣體之步驟。亦可將該SiOC膜稱為含有C之SiO膜或摻雜(添加)有C之SiO膜。
此時,於實施供給吡啶氣體之步驟之狀態下進行供給BTCSM氣體之步驟,於實施供給吡啶氣體之步驟之狀態下進行供給H2O氣體之步驟。
又,形成SiOC膜後,進而進行如下步驟:藉由在高於形成SiOC膜之步驟中之晶圓200之溫度之第1溫度下對SiOC膜進行熱處理,而自SiOC膜中去除第1雜質的步驟;及藉由於第1溫度以上之第2溫度下對SiOC膜進行熱處理,而自於第1溫度下進行熱處理後之SiOC膜中,去除與第1雜質不同之第2雜質之步驟。
該等熱處理係於不含氧之環境氣體下、即藉由對晶圓200供給作為不含氧之氣體之氮氣而產生之不含氧之環境氣體下進行。
又,於本實施形態中,各步驟係於無電漿之環境氣體下進行。
於本說明書中,於使用「晶圓」之表述之情形時,有時意指「晶圓本身」,或有時意指「晶圓與形成於其表面之既定之層或膜等之積層體(集合體)」、即稱為包含形成於表面之既定之層或膜等之晶圓。又,於本說明書中,於使用「晶圓之表面」之表述之情形時,有 時意指「晶圓本身之表面(露出面)」,或有時意指「形成晶圓上之既定之層或膜等之表面、即作為積層體之晶圓之最表面」。
於本說明書中,於記載為「對晶圓供給既定氣體」之情形時,有時意指「對晶圓本身之表面(露出面)直接供給既定氣體」,或有時意指「對形成於晶圓上之層或膜等、即作為積層體之晶圓之最表面供給既定氣體」。又,於本說明書中,於記載為「於晶圓上形成既定之層(或膜)」之情形時,有時意指「於晶圓本身之表面(露出面)上直接形成既定之層(或膜)」,或有時意指「於形成於晶圓上之層或膜等上、即作為積層體之晶圓之最表面上形成既定之層(或膜)」。
於本說明書中,於使用「基板」之表述之情形時,亦與使用「晶圓」之表述之情形相同,於此情形時,只要將上述說明中之「晶圓」替換為「基板」而進行思考即可。
(晶圓充電及晶舟載入)
若將複數個晶圓200裝填(晶圓充電)於晶舟217,則如圖1所示般支持複數個晶圓200之晶舟217藉由晶舟升降機115提起並搬入(晶舟載入)至處理室201內。於該狀態下,密封蓋219成為經由O環220b密封歧管209下端之狀態。
(壓力調整及溫度調整)
以處理室201內之壓力、即晶圓200存在之空間之壓力成為所需之壓力(真空度)之方式,利用真空泵246進行真空排氣。此時,處理室201內之壓力係利用壓力感測器245進行測定,基於該測得之壓力資訊而反饋控制APC閥244。真空泵246係於至少對晶圓200之處理結束 之前維持使之經常作動之狀態。又,以處理室201內之晶圓200成為所需溫度之方式藉由加熱器207進行加熱。此時,以處理室201內成為所需溫度分佈之方式,基於溫度感測器263檢測到之溫度資訊,反饋控制對加熱器207之通電情況。利用加熱器207之處理室201內之加熱至少繼續進行至對晶圓200之處理結束之前。但是,於如下述般在室溫下進行對晶圓200之處理之情形時,亦可不進行利用加熱器207之處理室201內之加熱。繼而,開始利用旋轉機構267之晶舟217及晶圓200之旋轉。利用旋轉機構267之晶舟217及晶圓200之旋轉至少繼續進行至對晶圓200之處理結束之前。
(SiOC膜形成步驟)
其後,依次執行以下2個步驟、即步驟1a、2a。
[步驟1a] (BTCSM氣體+吡啶氣體供給)
打開閥243a,向氣體供給管232a內通入BTCSM氣體。BTCSM氣體係藉由MFC 241a進行流量調整,自氣體供給孔250a供給至處理室201內,自排氣管231排氣。此時,對晶圓200供給BTCSM氣體。與此同時,打開閥243j,向氣體供給管232j內通入氮氣。氮氣係藉由MFC 241j進行流量調整,與BTCSM氣體一併供給至處理室201內,自排氣管231排氣。
又,打開閥243c,向氣體供給管232c內通入吡啶氣體。吡啶氣體係藉由MFC 241c進行流量調整,自氣體供給孔250c供給至處理室201內,自排氣管231排氣。此時,對晶圓200供給吡啶氣體。 與此同時,打開閥243l,向氣體供給管232l內通入氮氣。氮氣係藉由MFC 241l進行流量調整,與吡啶氣體一併供給至處理室201內,自排氣管231排氣。
又,為了防止BTCSM氣體及吡啶氣體侵入至緩衝室237內或噴嘴249b內,打開閥243k,向氣體供給管232k內通入氮氣。氮氣係經由氣體供給管232b、噴嘴249b、緩衝室237供給至處理室201內,自排氣管231排氣。
此時,適當調整APC閥244,將處理室201內之壓力設為例如1~13330Pa、較佳為133~2666Pa之範圍內之壓力。以MFC 241a控制之BTCSM氣體之供給流量設為例如1~2000sccm、較佳為10~1000sccm之範圍內之流量。以MFC 241c控制之吡啶氣體之供給流量設為例如1~2000sccm、較佳為10~1000sccm之範圍內之流量。以MFC 241j~241l控制之氮氣之供給流量例如分別設為100~10000sccm之範圍內之流量。對晶圓200供給BTCSM氣體及吡啶氣體之時間、即氣體供給時間(照射時間)設為例如1~100秒、較佳為5~60秒之範圍內之時間。
此時,加熱器207之溫度係設定為晶圓200之溫度成為例如室溫以上且150℃以下、較佳為室溫以上且100℃以下、更佳為50℃以上且100℃以下之範圍內之溫度的溫度。於供給BTCSM氣體時不供給觸媒氣體之情形時,若晶圓200之溫度未滿250℃,則有BTCSM難以化學吸附於晶圓200上,無法獲得實用之成膜速率之情況。如本實施形態般,藉由供給作為觸媒氣體之吡啶氣體,即便將晶圓200之溫度設為未滿250℃,亦可消除該情況。於吡啶氣體之存在下,將晶圓200之溫度設為150℃以下、進而設為100℃以下,藉此可降低對晶圓 200施加之熱量,良好地控制晶圓200受到之熱歷程。只要於吡啶氣體之存在下晶圓200之溫度為室溫以上之溫度,則可使BTCSM充分地吸附於晶圓200上,而獲得充分之成膜速率。因此,晶圓200之溫度宜為設為室溫以上且150℃以下、較佳為室溫以上且100℃以下、更佳為50℃以上且100℃以下之範圍內之溫度。
藉由於上述條件下對晶圓200供給BTCSM氣體,而於晶圓200(表面之基底膜)上形成例如自未滿1原子層至數原子層程度之厚度之含有C及Cl之含Si層作為第1層。含有C及Cl之含Si層可為含有C及Cl之Si層,可為BTCSM氣體之吸附層,亦可包括其兩者。
所謂含有C及Cl之Si層,係指除了由Si構成且含有C及Cl之連續之層以外,亦包含不連續之層或可使該等重疊之含有C及Cl之Si薄膜的總稱。亦有時將由Si構成且含有C及Cl之連續之層稱為含有C及Cl之Si薄膜。構成含有C及Cl之Si層之Si除了C或Cl之鍵未完全被切斷者以外,亦包含C或Cl之鍵完全被切斷者。
BTCSM氣體之吸附層除了BTCSM氣體之氣體分子之連續之吸附層以外,亦包含不連續之吸附層。即,BTCSM氣體之吸附層包括包含BTCSM分子之1分子層或者未滿1分子層之厚度之吸附層。構成BTCSM氣體之吸附層之BTCSM分子不僅為圖9(a)中表示化學構造式者,亦包括切斷一部分Si與C之鍵者,或切斷一部分Si與Cl之鍵者。即,BTCSM氣體之吸附層可為BTCSM分子之物理吸附層,可為BTCSM分子之化學吸附層,亦可包括其兩者。
此處,所謂未滿1原子層之厚度之層,意指不連續地形成之原子層,所謂1原子層之厚度之層,意指連續地形成之原子層。所謂未滿1分子層之厚度之層,意指不連續地形成之分子層,所謂1 分子層之厚度之層,意指連續地形成之分子層。含有C及Cl之含Si層可包括含有C及Cl之Si層與BTCSM氣體之吸附層兩者。其中,如上所述,含有C及Cl之含Si層係使用「1原子層」、「數原子層」等表現。
若作為形成於晶圓200上之第1層之含有C及Cl之含Si層之厚度超過數原子層,則下述步驟2a中之氧化之作用無法到達第1層之整體。又,可形成於晶圓200上之第1層之厚度之最小值未滿1原子層。因此,第1層之厚度較佳為設為未滿1原子層至數原子層程度。藉由將第1層之厚度設為1原子層以下、即1原子層或未滿1原子層,可相對提高下述步驟2a中之氧化反應之作用,亦可縮短步驟2a中之氧化反應所需要之時間。亦可縮短步驟1a中之第1層之形成所需要之時間。結果,可縮短每1循環之處理時間,亦可縮短合計之處理時間。即,亦可提高成膜速率。又,藉由將第1層之厚度設為1原子層以下,亦可提高膜厚均勻性之控制性。
於BTCSM氣體自我分解(熱分解)之條件下、即發生BTCSM之熱分解反應之條件下,於晶圓200上堆積Si,藉此形成含有C及Cl之Si層。於BTCSM氣體未自我分解(熱分解)之條件下、即未發生BTCSM之熱分解反應之條件下,於晶圓200上吸附BTCSM氣體,藉此形成BTCSM氣體之吸附層。與在晶圓200上形成BTCSM氣體之吸附層相比,於晶圓200上形成含有C及Cl之Si層更可提高成膜速率,故而較佳。但是,於本實施形態中,將晶圓200之溫度設為例如150℃以下之低溫,因此與在晶圓200上形成含有C及Cl之Si層相比,於晶圓200上形成BTCSM氣體之吸附層更可能處於優勢。進而,於不供給觸媒氣體之情形時,於BTCSM氣體之吸附層中,關 於晶圓200表面等對基底之結合或BTCSM分子彼此之鍵結,弱於化學吸附之物理吸附之狀態有可能處於優勢。即,於不供給觸媒氣體之情形時,BTCSM氣體之吸附層存在幾乎全部由BTCSM氣體之物理吸附層構成之情形。
吡啶氣體係作為減弱存在於晶圓200之表面之O-H鍵之鍵結力,促進BTCSM氣體之分解,促進利用BTCSM分子之化學吸附形成第1層的觸媒氣體發揮作用。例如,如圖5(a)所示般,吡啶氣體係作用於存在於晶圓200之表面之O-H鍵,減弱O-H間之鍵結力。藉由鍵結力減弱之H與BTCSM氣體之Cl進行反應,產生HCl等含有Cl、H之氣體狀物質,H自晶圓200之表面脫離,並且Cl自BTCSM分子脫離。失去Cl之BTCSM分子(鹵化物)係化學吸附於晶圓200等之表面。藉此,於晶圓200等之表面形成BTCSM氣體之化學吸附層。
吡啶氣體減弱O-H間之鍵結力之原因在於:吡啶分子中之具有孤電子對之N具有吸引H之作用。關於含有N等之既定化合物吸引H之作用之大小,例如可將上述酸解離常數(pKa)設為指標之一。如上所述,pKa係以負常用對數表示自酸釋放H離子之解離反應中之平衡常數Ka的常數,且pKa較大之化合物吸引H之能力較強。例如,藉由使用pKa為5以上之化合物作為觸媒氣體,可促進BTCSM氣體之分解,促進第1層之形成。另一方面,若觸媒氣體之pKa過大,則自BTCSM分子奪取之Cl與觸媒氣體進行鍵結,藉此,有產生氯化銨(NH4Cl)等鹽(鹽:離子化合物)成為微粒源之情況。為了抑制此種情況,較理想的是將觸媒氣體之pKa設為約11以下、較佳為7以下。吡啶氣體之pKa約為相對較大之5.67,吸引H之能力較強。又,由於pKa為7以下,故而亦難以產生微粒。
(殘留氣體去除)
形成第1層後,關閉閥243a,停止BTCSM氣體之供給。又,關閉閥243c,停止吡啶氣體之供給。此時,於APC閥244打開之狀態下,藉由真空泵246對處理室201內進行真空排氣,將殘留於處理室201內之未反應或者幫助形成第1層後之BTCSM氣體及吡啶氣體自處理室201內排除。又,於閥243j~243l打開之狀態下,維持向處理室201內供給氮氣。氮氣係作為沖洗氣體發揮作用,藉此,可提高將殘留於處理室201內之未反應或者幫助形成第1層後之BTCSM氣體及吡啶氣體自處理室201內排除的效果。
此時,可不完全排除殘留於處理室201內之氣體,亦可不完全沖洗處理室201內。若殘留於處理室201內之氣體為微量,則不會對其後進行之步驟2a造成不良影響。供給至處理室201內之氮氣之流量亦無需設為大流量,例如,藉由供給與反應管203(處理室201)之體積程度相同之量,可進行不對步驟2a造成不良影響之程度之沖洗。如此,藉由不完全沖洗處理室201內,可縮短沖洗時間,提高產出量。亦可將氮氣之消耗抑制為必要最小限。
作為原料氣體,除了BTCSM氣體以外,例如可使用BTCSE氣體、TCDMDS氣體、DCTMDS氣體等。作為觸媒氣體,除了吡啶氣體以外,例如可使用胺基吡啶氣體、甲基吡啶氣體、二甲基吡啶氣體、哌氣體、哌啶氣體等環狀胺系氣體,TEA氣體、DEA氣體、MEA氣體、TMA氣體、MMA氣體等鏈狀胺系氣體,或NH3氣體等非胺系氣體。作為惰性氣體,除了氮氣以外,例如可使用氬氣、氦氣、氖氣、氙氣等稀有氣體。
[步驟2a] (H2O氣體+吡啶氣體供給)
於步驟1a結束後,打開閥243b,向氣體供給管232b內通入H2O氣體。H2O氣體係藉由MFC 241b進行流量調整,自氣體供給孔250b供給至緩衝室237內,自氣體供給孔250d供給至處理室201內,自排氣管231排氣。此時,於無電漿之環境氣體下,對晶圓200供給H2O氣體。與此同時,打開閥243k,向氣體供給管232k內通入氮氣。氮氣係藉由MFC 241k進行流量調整,與H2O氣體一併供給至處理室201內,自排氣管231排氣。
又,以與步驟1a中之吡啶氣體之供給相同之方式對晶圓200供給吡啶氣體。
又,為了防止H2O氣體及吡啶氣體侵入至噴嘴249a內,打開閥243j,向氣體供給管232j內通入氮氣。氮氣係經由氣體供給管232a、噴嘴249a供給至處理室201內,自排氣管231排氣。
此時,適當地調整APC閥244,將處理室201內之壓力設為例如1~13330Pa、較佳為133~2666Pa之範圍內之壓力。以MFC 241b控制之H2O氣體之供給流量設為例如1000~10000sccm、較佳為10~1000sccm之範圍內之流量。以MFC 241c控制之吡啶氣體之供給流量設為例如1~2000sccm、較佳為10~1000sccm之範圍內之流量。以MFC 241j~241l控制之氮氣之供給流量例如分別設為100~10000sccm之範圍內之流量。對晶圓200供給H2O氣體及吡啶氣體之時間、即氣體供給時間(照射時間)設為例如1~100秒、較佳為5~60秒之範圍內之時間。加熱器207之溫度係以使晶圓200之溫度成為與步驟1a 之晶圓200之溫度相同之溫度帶、例如室溫以上且150℃以下、較佳為室溫以上且100℃以下、更佳為50℃以上且100℃以下之範圍內之溫度的方式設定。
供給至處理室201內之H2O氣體因熱而活化,自排氣管231排氣。此時,對晶圓200供給經熱活化之H2O氣體。通入處理室201內之氣體係經熱活化之H2O氣體,且未向處理室201內通入BTCSM氣體。因此,H2O氣體不會引起氣相反應,而於經活化之狀態下對晶圓200供給,與在步驟1a中形成於晶圓200上之第1層(含有C及Cl之含Si層)之至少一部分進行反應。藉此,第1層於無電漿下進行熱氧化,而轉化為含有Si、O及C之第2層即SiOC層。
吡啶氣體係作為減弱H2O氣體所具有之O-H鍵之鍵結力、促進H2O氣體之分解、促進H2O氣體與第1層之反應的觸媒氣體發揮作用。例如,如圖5(b)所示,吡啶氣體作用於H2O氣體所具有之O-H鍵,減弱O-H間之鍵結力。藉由鍵結力減弱之H、與形成於晶圓200上之第1層所具有之Cl進行反應,而產生HCl等含有Cl、H之氣體狀物質,H自H2O分子脫離,並且Cl自第1層脫離。失去H之H2O氣體之O、與Cl脫離且至少C之一部分殘留之第1層之Si進行鍵結。
於實施吡啶氣體之供給之狀態下供給H2O氣體之步驟(供給H2O氣體與吡啶氣體之步驟)中,可根據所需之膜組成等,適當調整所供給之吡啶氣體之供給量。若增加吡啶氣體之供給量,則吡啶氣體之作用提高,H2O氣體之氧化力提高,Si-C鍵被切斷,C變得容易脫離,結果,SiOC層中之C濃度降低。若減少吡啶氣體之供給量,則吡啶氣體之作用減弱,H2O氣體之氧化力降低,Si-C鍵變得容易維持,結果,SiOC層中之C濃度增加。因此,藉由適當調整吡啶氣體之 供給量,可相對改變SiOC層中即積層SiOC層而成之SiOC膜中之C濃度、Si濃度或O濃度等。
於實施吡啶氣體之供給之狀態下供給H2O氣體之步驟(供給H2O氣體與吡啶氣體之步驟)中所供給之吡啶氣體之供給量、與在上述實施吡啶氣體之供給之狀態下供給BTCSM氣體步驟(供給BTCSM氣體與吡啶氣體之步驟)中所供給之吡啶氣體之供給量可分別獨立地進行調整。例如,可以使兩個步驟中之吡啶氣體之供給量相同之方式進行調整,亦可以不同之方式進行調整。
藉由預先準備複數個將吡啶氣體之供給量或流量等設定為不同數值的製程配方(記載有處理程序或處理條件之程式),調整吡啶氣體之供給量變得容易。操作員(operator)只要根據所需之膜組成等,適當選擇適宜之製程配方而執行成膜處理即可。
若如本實施形態般在例如150℃以下之低溫條件下形成SiOC層,則容易於SiOC層中混入水分(H2O)或Cl等雜質(第1雜質)、或烴化合物等烴(CxHy)系之雜質(第2雜質)。即,有積層該SiOC層而成之SiOC膜中亦含有大量水分或Cl等雜質、或CxHy系之雜質之情況。水分等雜質例如源自用作氧化氣體之H2O氣體、或向處理室201內搬入晶圓200時自外部帶入之水分等。Cl等雜質例如源自BTCSM分子中之Cl等。CxHy系之雜質例如源自BTCSM分子中之C、H、或吡啶分子中之C、H。
(殘留氣體去除)
其後,關閉閥243b,停止H2O氣體之供給。又,關閉閥243c,停止吡啶氣體之供給。此時,於APC閥244打開之狀態下,藉由真空泵 246對處理室201內進行真空排氣,將殘留於處理室201內之未反應或者幫助反應後之H2O氣體或吡啶氣體或反應副產物自處理室201內排除。又,於閥243j~243l打開之狀態下,維持向處理室201內供給氮氣。氮氣係作為沖洗氣體發揮作用,藉此,可提高將殘留於處理室201內之未反應或者幫助形成第2層後之H2O氣體或吡啶氣體或反應副產物自處理室201內排除的效果。
此時,可不完全排除殘留於處理室201內之氣體,亦可不完全沖洗處理室201內。若殘留於處理室201內之氣體為微量,則不會對其後進行之步驟1a造成不良影響。供給至處理室201內之氮氣之流量亦無需設為大流量,例如,藉由供給與反應管203(處理室201)之體積程度相同之量,可進行不會對步驟1a造成不良影響之程度之沖洗。如此,藉由不完全沖洗處理室201內,可縮短沖洗時間,提高產出量。亦可將氮氣之消耗抑制為必要最小限。
作為氧化氣體,除了H2O氣體以外,例如可使用過氧化氫(H2O2)氣體、氫氣(H2)+氧氣(O2)、氫氣+臭氧(O3)氣體等。又,亦可單獨使用不含H之氣體例如氧氣等。作為觸媒氣體,除了吡啶氣體以外,例如可使用上述各種胺系氣體或非胺系氣體。作為惰性氣體,除了氮氣以外,例如可使用上述各種稀有氣體。
根據本發明者等,若於本實施形態之氣體體系及條件範圍內進行綜合判斷,則根據各步驟,可認為作為觸媒氣體更佳為吡啶氣體。其次,可認為較佳為TEA氣體,其次較佳為哌啶氣體。
(既定次數實施)
將上述步驟1a、2a設為1循環,進行該循環1次以上即既定次數 (n次),藉此可於晶圓200上成膜既定組成及既定膜厚之SiOC膜。上述循環較佳為重複數次。即,較佳為使每1循環形成之SiOC層之厚度小於所需之膜厚,重複數次上述循環至成為所需膜厚為止。
此時,藉由控制各步驟中之處理室201內之壓力或氣體供給時間等處理條件,可微調整SiOC層中之各元素成分即Si成分、O成分及C成分之比例、即Si濃度、O濃度及C濃度,可更緻密地控制SiOC膜之組成比。
於進行數次循環之情形時,於至少第2循環以後之各步驟中,記載為「對晶圓200供給既定氣體」之部分意指「對形成於晶圓200上之層、即作為積層體之晶圓200之最表面供給既定氣體」,記載為「於晶圓200上形成既定之層」之部分意指「於形成於晶圓200上之層上,即,作為積層體之晶圓200之最表面上形成既定之層」。該方面係如上所述。該方面於下述其他實施形態中亦同樣。
(SiOC膜改質步驟)
如上所述,於例如150℃以下之低溫條件下形成之SiOC膜中可混入水分或Cl等雜質、或CxHy系之雜質。若於SiOC膜中混入該等雜質,則有SiOC膜之耐蝕刻性降低,又,介電係數增加之情況。即,有損及於膜中添加C之效果之情況。
因此,於本實施形態中,進行如下步驟,而進行以至少2階段去除SiOC膜中複數種雜質的改質處理:藉由在高於形成SiOC膜之步驟中之晶圓200之溫度之第1溫度下對SiOC膜進行熱處理,而自SiOC膜中去除第1雜質(水分或Cl等雜質)之步驟(第1熱處理步驟);及藉由於第1溫度以上之第2溫度下對SiOC膜進行熱處理,而 自於第1溫度下進行熱處理後之SiOC膜中,去除與第1雜質不同之第2雜質(CxHy系之雜質)之步驟(第2熱處理步驟)。即,以2階段進行改質SiOC膜之處理所謂退火處理。以下,對該SiOC膜改質步驟之順序例進行說明。
(壓力調整及溫度調整)
以處理室201內之壓力即晶圓200存在之空間之壓力成為所需之壓力(真空度)之方式,一面反饋控制APC閥244,一面藉由真空泵246對處理室201內進行真空排氣。又,以處理室201內之晶圓200成為所需溫度即第1溫度之方式,藉由加熱器207進行加熱。此時,以處理室201內成為所需溫度分佈之方式,基於溫度感測器263檢測到之溫度資訊,反饋控制對加熱器207之通電情況。於該步驟中,亦繼續進行利用旋轉機構267之晶舟217及晶圓200之旋轉。
又,此時,向處理室201內供給作為不含氧之氣體之氮氣,使處理室201內成為不含氧之環境氣體。此時,可使用氣體供給管232j~232l中之至少任一者或全部供給氮氣。此處,例如自全部氣體供給管232j~232l供給氮氣。即,打開閥243j~243l,向氣體供給管232j~232l內通入氮氣。氮氣係藉由MFC 241j~241l進行流量調整,自氣體供給孔250a、250c、250d供給至處理室201內,自排氣管231排氣。藉此,處理室201內成為氮氣環境氣體即不含氧之環境氣體。此後,氮氣亦可作為熱處理氣體發揮作用。
(第1熱處理)
於處理室201內成為具有所需之壓力之氮氣環境氣體,又,晶圓 200之溫度成為所需溫度即第1溫度後,保持該狀態既定時間,對形成於晶圓200上之SiOC膜進行第1熱處理。
此時,適當地調整APC閥244,將處理室201內之壓力設為例如133~101325Pa(1~760Torr)、較佳為10132~101325Pa(76~760Torr)之範圍內之壓力。以MFC 241j~241l控制之氮氣之供給流量例如分別設為100~10000sccm之範圍內之流量。對晶圓200上之SiOC膜之熱處理時間設為例如1~60分鐘、較佳為1~30分鐘、更佳為1~10分鐘之範圍內之時間。
此時,加熱器207之溫度係設定為晶圓200之溫度例如成為高於上述形成SiOC膜之步驟中之晶圓200之溫度之第1溫度的溫度。具體而言,設定為晶圓200之溫度成為高於室溫~150℃之溫度,例如300℃以上且450℃以下、較佳為300℃以上且400℃以下、更佳為300℃以上且350℃以下之範圍內之溫度的溫度。此種溫度範圍係於考慮如下情況之基礎上決定:將作為第1雜質之水分或Cl等雜質在不會發生非所需之反應(SiOC膜之氧化等)之情況下,有效率且充分地自SiOC膜中脫離並去除。
圖12係例示藉由本實施形態之成膜順序形成之熱處理前之SiOC膜之利用升溫脫離氣體分光法(TDS,Thermal Desorption Spectroscopy)獲得之脫附質譜的圖,(a)係例示水分(H2O)之脫附質譜,(b)係例示Cl之脫附質譜,(c)係例示C2H2之脫附質譜。圖12(a)~(c)之橫軸表示熱處理時之晶圓200之溫度(℃),縱軸表示離子電流值(A)。
如圖12(a)、圖12(b)所例示般,若晶圓200之溫度低於300℃,則不易自SiOC膜中將水分或Cl等雜質尤其是水分脫離並去除,SiOC膜之改質效果降低。例如,若將晶圓200之溫度設為150℃ 以下,則自SiOC膜中水分或Cl等雜質幾乎不會脫離。藉由將晶圓200之溫度設為300℃以上,可自SiOC膜中將水分或Cl等雜質充分地脫離並去除。
但是,若晶圓200之溫度超過450℃,則有因自SiOC膜中使水分或Cl等脫離時水分與Cl進行反應,而使SiOC膜氧化之情況。因SiOC膜被氧化,而使SiOC膜之膜收縮率變大。
又,於超過該溫度帶即450℃之溫度帶中,水分與Cl進行反應時產生HCl,有因該HCl而切斷SiOC膜中所含之Si-Cl鍵或Si-H鍵等之情況。若該等鍵被切斷,則於SiOC膜中產生不需要之吸附部位,導致自SiOC膜中脫離之物質(脫離物質)再吸附至該吸附部位。即,自SiOC膜中脫離之物質吸附於Cl或H之鍵被切斷而具有懸鍵(未鍵結鍵)的Si上。如此形成之Si與脫離物質之鍵不穩定且較弱。因此,該脫離物質不會成為構成SiOC膜之成分,而作為雜質殘存於SiOC膜中。作為脫離物質,可列舉水分或Cl或CxHy系之雜質、或者該等所分解之物質等。
又,於超過該溫度帶即450℃之溫度帶中,如圖12(c)所例示,自SiOC膜中CxHy系之雜質亦脫離。並且,有因該脫離之CxHy系之雜質通過SiOC膜中時與Cl反應,而引起C再吸附至SiOC膜中之吸附部位之情況。即,有因CxHy系之雜質與Cl之反應,而使來自CxHy系之雜質之C吸附於構成SiOC膜之任一元素(原子)例如Si之懸鍵之情況。如此形成之C與Si之鍵不穩定且較弱。因此,來自CxHy系之雜質之C不會成為構成SiOC膜之成分,而作為雜質殘存於SiOC膜中。於C再吸附於SiOC膜中之吸附部位時,有C單獨再吸附於該吸附部位之情況,亦有C以CxHy之形式再吸附於該吸附部位之情況。
即,於超過該溫度帶即450℃之溫度帶中,因上述非所需之反應而使SiOC膜之膜收縮率變大,又,變得無法自SiOC膜中將雜質充分地脫離並去除。結果,無法充分地降低SiOC膜之介電係數(κ值)。
藉由將晶圓200之溫度設為300℃以上且450℃以下,可抑制上述非所需之反應並且自SiOC膜中將水分或Cl等雜質充分地脫離並去除。即,可抑制於自SiOC膜中使水分或Cl等脫離時由水分與Cl之反應引起之SiOC膜之氧化,抑制SiOC膜之膜收縮率之增大。又,可抑制由水分與Cl之反應引起之HCl之產生,抑制由HCl引起之SiOC膜中之Si-Cl鍵或Si-H鍵等之切斷。結果,可抑制SiOC膜中之不需要之吸附部位之產生,抑制脫離物質再吸附於該吸附部位。又,可抑制自SiOC膜中使CxHy系之雜質脫離時的CxHy系之雜質與Cl之反應,抑制C再吸附於SiOC膜中之吸附部位。又,圖12(c)所示,尤其是晶圓200之溫度為400℃左右時,CxHy系之雜質之脫離量成為波峰。因此,藉由將晶圓200之溫度設為400℃以下、較佳為350℃以下,可抑制CxHy系之雜質之脫離。即,可減少CxHy系之雜質之脫離量。藉此,可減少自SiOC膜脫離之CxHy系之雜質之絕對量,可進一步抑制由CxHy系之雜質與Cl之反應引起之C之再吸附。
藉由將晶圓200之溫度設為此種溫度帶即300℃以上且450℃以下之溫度帶,可縮小SiOC膜之膜收縮率,可抑制自SiOC膜中脫離之含有C或CxHy之脫離物質再吸附於SiOC膜中之吸附部位,可自SiOC膜中將雜質尤其是水分或Cl等雜質充分地脫離並去除。
又,藉由將晶圓200之溫度設為此種溫度帶即300℃以上且450℃以下之溫度帶,如上所述,不僅可自SiOC膜中將水分或 Cl等雜質脫離並去除,亦可將CxHy系之雜質之至少一部分脫離並去除。又,此時,只要為此種溫度帶,則可抑制自SiOC膜中脫離之CxHy系之雜質與Cl之反應,抑制C再吸附至SiOC膜中之吸附部位。即,藉由設為此種溫度帶,可抑制自SiOC膜中一次性脫離之CxHy系之雜質中之C再吸附至SiOC膜中之吸附部位。
由以上可知,晶圓200之溫度宜為設為300℃以上且450℃以下、較佳為300℃以上且400℃以下、更佳為300℃以上且350℃以下之範圍內之溫度。
藉由於上述條件下對SiOC膜實施第1熱處理,可抑制上述非所需之反應且將SiOC膜中之水分或Cl等雜質自SiOC膜中充分地脫離並去除。又,可抑制上述非所需之反應且將SiOC膜中之CxHy系之雜質之至少一部分自SiOC膜中脫離並去除。藉由將SiOC膜中之該等雜質自SiOC膜中去除,而改質SiOC膜,與進行第1熱處理前之SiOC膜相比,SiOC膜之耐蝕刻性提高,又,介電係數降低,可提高SiOC膜之膜質(膜特性)。
但是,於第1熱處理步驟結束之階段、即自SiOC膜中充分地去除水分或Cl等雜質之階段,有於SiOC膜中殘留CxHy系之雜質之情況。即,於此種溫度帶中,自SiOC膜中將水分或Cl等雜質或CxHy系之雜質脫離並去除,但水分或Cl等雜質較CxHy系之雜質先被去除,因此存在於去除水分或Cl等雜質之大部分之階段,SiOC膜中仍殘留有CxHy系之雜質之情況。並且,存在由於在該階段SiOC膜中殘留有CxHy系之雜質,故而無法充分地降低SiOC膜之κ值之情況。因此,於下述第2熱處理步驟中,藉由於第1溫度以上之第2溫度下對SiOC膜進行熱處理,而自於第1溫度下進行熱處理後之SiOC膜中, 去除殘留於SiOC膜中之CxHy系之雜質。結果,可充分地降低SiOC膜之κ值。
(第2熱處理)
於第1熱處理結束後,即,自SiOC膜中將水分或Cl等雜質充分地脫離並去除後,使晶圓200之溫度自第1溫度變更為第2溫度。第2溫度係設為第1溫度以上之溫度。即,第2溫度係設為高於第1溫度之溫度或設為與第1溫度同等之溫度。處理室201內之環境氣體係維持為具有與第1熱處理步驟相同之所需之壓力的氮氣環境氣體。
於晶圓200之溫度成為所需溫度即第2溫度後,保持該狀態既定時間,對進行了第1熱處理之SiOC膜進行第2熱處理。即,對在第1溫度下進行第1熱處理後之SiOC膜,於第2溫度下進行第2熱處理。
此時,適當地調整APC閥244,將處理室201內之壓力設為例如133~101325Pa(1~760Torr)、較佳為10132~101325Pa(76~760Torr)之範圍內之壓力。以MFC 241j~241l控制之氮氣之供給流量例如分別設為100~10000sccm之範圍內之流量。對晶圓200上之SiOC膜之熱處理時間設為例如1~120分鐘、較佳為1~60分鐘、更佳為1~30分鐘之範圍內之時間。
此時,加熱器207之溫度係設定為晶圓200之溫度例如成為上述第1熱處理步驟中之第1溫度以上之第2溫度的溫度。具體而言,設定為晶圓200之溫度成為第1溫度以上之溫度且例如300℃以上且900℃以下、較佳為350℃以上且700℃以下、更佳為400℃以上且700℃以下、進而較佳為450℃以上且600℃以下之範圍內溫度的溫 度。此種溫度範圍係於考慮如下情況之基礎上決定:將作為第2雜質之CxHy系之雜質有效率且充分地自SiOC膜中脫離並去除之情況,或晶圓200受到之熱負荷或熱歷程等。
如圖12(c)所例示般,若晶圓200之溫度低於300℃,則不易自SiOC膜中將C2H2等CxHy系之雜質脫離並去除,SiOC膜之改質效果降低。例如,若將晶圓200之溫度設為200℃以下,則CxHy系之雜質幾乎不會自SiOC膜中脫離。藉由將晶圓200之溫度設為300℃以上,可自SiOC膜中將CxHy系之雜質充分地脫離並去除。但是,於將晶圓200之溫度設為300℃之情形時,有於自SiOC膜中使CxHy系之雜質充分地脫離之前需要時間之情況。藉由將晶圓200之溫度設為350℃以上,可縮短自SiOC膜中使CxHy系之雜質充分地脫離前之時間。又,尤其是於晶圓200之溫度為400℃左右時,CxHy系之雜質之脫離量成為波峰。因此,藉由將晶圓200之溫度設為400℃以上,可促進CxHy系之雜質之脫離。即,可自SiOC膜中使CxHy系之雜質有效率地脫離。又,亦可進一步縮短自SiOC膜中使CxHy系之雜質充分地脫離前之時間。
於進行第2熱處理步驟之階段,SiOC膜中之水分或Cl等雜質已被去除,不會發生如上述之非所需之反應。即,不會發生如下非所需之反應:由水分與Cl之反應引起之SiOC膜之氧化、由此引起之SiOC膜之膜收縮率之增大、由水分與Cl之反應引起之HCl之產生、由HCl引起之SiOC膜中之Si-Cl鍵或Si-H鍵等之切斷、由此引起之不需要之吸附部位之產生、對該吸附部位之脫離物質之再吸附、由CxHy系之雜質與Cl之反應引起之C再吸附於吸附部位等。其原因在於:第2溫度雖包含可發生非所需之反應之溫度帶(超過450℃之溫 度帶),但於進行第2熱處理步驟之階段,亦不會產生發生非所需之反應之物質(水分或Cl等)。再者,即便將晶圓200之溫度設為450℃以上,亦可促進CxHy系之雜質自SiOC膜中脫離,故而較佳。藉由將晶圓200之溫度設為450℃以上,與將晶圓200之溫度設為300~350℃之情況相比,可促進CxHy系之雜質自SiOC膜中脫離。
若晶圓200之溫度超過900℃,則存在熱負荷變得過大,對形成於晶圓200上之半導體裝置之電氣特性等造成影響之情況。藉由將晶圓200之溫度設為至少900℃以下,可抑制由該熱負荷引起之對電氣特性等之影響。於形成熱處理對象之SiOC膜之晶圓200朝向記憶體裝置之情形時,可耐受900℃左右之熱。又,即便該晶圓200朝向邏輯裝置,亦可耐受700℃左右之熱。若將晶圓200之溫度設為600℃以下,則容易更確實地避免裝置構造等熱損傷。
由以上可知,晶圓200之溫度宜為設為300℃以上且900℃以下、較佳為350℃以上且700℃以下、更佳為400℃以上且700℃以下、進而較佳為450℃以上且600℃以下之範圍內之溫度。第2溫度只要設為第1溫度以上之溫度即可。即,第2溫度可設為高於第1溫度之溫度,亦可設為與第1溫度同等之溫度。
例如,於將第1溫度設為300~400℃、將第2溫度設為450~600℃之情形時,第1熱處理步驟及第2熱處理步驟均可確實地防止上述非所需之反應。尤其是藉由將第1溫度設為300~400℃之溫度,可於第1熱處理步驟中更確實地防止非所需之反應。又,即便將第2溫度設為450~600℃,即,可發生非所需之反應之溫度帶,於進行第2熱處理步驟之階段亦不會產生發生非所需之反應之物質(水分或Cl等),因此可確實地抑制非所需之反應。又,藉由將第2溫度設為450 ~600℃即超過第1溫度之溫度,可更迅速地進行第2熱處理步驟中之CxHy系之雜質自SiOC膜中之脫離。
又,例如,亦可將第1溫度及第2溫度設為同一溫度例如400~450℃之範圍內之同一溫度。若將第1溫度及第2溫度分別設為400~450℃之範圍內之同一溫度,則可於第1熱處理步驟及第2熱處理步驟中確實地抑制上述非所需之反應。又,若將第1溫度及第2溫度設為同一溫度,則於第1熱處理步驟與第2熱處理步驟之間,無需變更(調整)晶圓200之溫度,即,處理室201內之溫度(加熱器207之溫度)。即,於第1熱處理步驟與第2熱處理步驟之間,無需進行待機至處理室201內之溫度穩定。因此,可連續地進行該等步驟,又,可簡化熱處理之溫度控制。
藉由於上述條件下對SiOC膜實施第2熱處理,可抑制上述非所需之反應且將SiOC膜中之CxHy系之雜質自SiOC膜中充分地脫離並去除。藉由將SiOC膜中之該雜質自SiOC膜中去除,進一步改質SiOC膜,與進行第1熱處理後且進行第2熱處理前之SiOC膜相比,可進一步提高SiOC膜之耐蝕刻性,又,可進一步降低介電係數。即,可進一步提高SiOC膜之膜質(膜特性)。根據本實施形態之方法,可使SiOC膜之介電係數(κ值)降至例如2.7左右。
如此,於本實施形態中,首先,於不會發生非所需之反應之溫度帶(第1溫度)對SiOC膜進行熱處理。藉此,不會發生非所需之反應而將會發生非所需之反應之物質即水分或Cl等雜質(第1雜質)自SiOC膜中去除。並且,自SiOC膜中將會發生非所需之反應之物質,即,水分或Cl等雜質(第1雜質)去除後,於包括可發生非所需之反應之溫度帶在內的溫度帶(第2溫度),於會發生非所需之反應之物質即 水分或Cl等雜質(第1雜質)不存在(不產生)的環境氣體下,對SiOC膜進行熱處理。藉此,不會發生非所需之反應,於不會發生非所需之反應之溫度帶(第1溫度)進行熱處理後,自SiOC膜中去除CxHy系之雜質(第2雜質)。
可將本實施形態中之此種熱處理稱為2階段熱處理(多階段熱處理)。又,亦可稱為2階段退火(多階段退火)、2階段改質處理(多階段改質處理)、2階段雜質去除處理(多階段雜質去除處理)等。
於第1熱處理步驟及第2熱處理步驟中,藉由作為不含氧之氣體之氮氣將處理室201內設為不含氧之環境氣體。此處所謂不含氧之環境氣體,不僅包括於處理室201內之環境氣體中不存在氧化氣體(O成分)之狀態,亦包括處理室201內之環境氣體中之氧化氣體之濃度(O濃度)降低至不會對處理對象之SiOC膜造成影響之程度之狀態。藉此,即便於高於如上述之成膜溫度之溫度下進行熱處理,亦可抑制SiOC膜中之O濃度升高至超過所需之濃度,即,抑制過度進行SiOC膜之氧化。又,將處理室201內設為不含氧之環境氣體,因此可抑制隨著氧化之進行等SiOC膜中之C濃度降低至未滿所需之濃度未滿之情況,即,抑制C自SiOC膜中脫離之情況。此時,亦可謂氮氣等不含氧之氣體作為熱處理氣體發揮作用。又,亦可謂氮氣等作為搬運自SiOC膜中脫離之雜質的載氣發揮作用。即,亦可謂不含氧之氣體係促進該等雜質自SiOC膜中或處理室201內之排出,藉此,作為促進SiOC膜之改質之退火氣體發揮作用。
於將處理室201內設為不含氧之環境氣體時,例如亦可不對晶圓200供給氮氣等不含氧之氣體,而藉由作為產生不含氧之環境氣體之環境氣體產生部之排氣系統對處理室201內進行真空排氣。 藉此,可將含有O成分之大部分成分自處理室201內之環境氣體排氣並去除。其中,如上所述,將處理室201內排氣且進而對晶圓200供給氮氣等不含氧之氣體者容易促進殘留於處理室201內之O成分之排氣,將處理室201內設為不含氧之環境氣體。又,該者即便自構成處理室201之處理容器之內壁、或自外部帶入之晶圓200等產生含有O成分之逸氣,亦容易藉由利用氮氣之稀釋效果,保持處理室201內之不含氧之環境氣體。
SiOC膜之改質處理(退火處理)主要於以所需溫度穩定地維持晶圓200之溫度之熱處理之期間進行。其中,即便於利用上述調整晶圓200之溫度之步驟(自成膜溫度變更為第1溫度之步驟、自第1溫度變更為第2溫度之步驟等)使晶圓200升溫時,或利用下述之沖洗處理室201內之步驟使晶圓200降溫時,亦可於能夠去除SiOC膜中之雜質之溫度下保持晶圓200之溫度之期間,進行SiOC膜之改質處理。因此,可認為所謂改質SiOC膜之步驟,主要係指對SiOC膜進行熱處理之步驟,但改質SiOC膜之步驟亦包括調整晶圓200之溫度之步驟及沖洗處理室201內之步驟中之至少一部分之期間。換言之,亦可謂所謂改質SiOC膜之步驟,係指晶圓200之溫度到達改質處理必要之溫度至到達未滿改質處理必要之溫度前的期間。又,所謂改質SiOC膜之步驟,係指晶圓200之溫度到達改質處理必要之溫度,即,亦可謂SiOC膜開始改質直至SiOC膜結束改質之期間。
作為不含氧之氣體,除了氮氣以外,例如可使用氬氣、氦氣、氖氣、氙氣等稀有氣體。
(沖洗及大氣壓恢復)
於改質SiOC膜之處理結束後,於打開閥243j~243l之狀態下,將氮氣自氣體供給管232j~232l各者供給至處理室201內,自排氣管231排氣。氮氣係作為沖洗氣體發揮作用,藉此,沖洗處理室201內,自處理室201內去除殘留於處理室201內之氣體、或包含自SiOC膜脫離之雜質等物質之氣體等。其後,將處理室201內之環境氣體置換為惰性氣體,使處理室201內之壓力恢復為常壓。
又,調整對加熱器207之通電情況,或停止對加熱器207之通電,以晶圓200之溫度成為例如未滿200℃、較佳為室溫程度之溫度之方式使晶圓200之溫度降溫。藉由與上述沖洗及大氣壓恢復平行地進行晶圓200之降溫,可利用沖洗氣體之冷卻效果,使晶圓200之溫度於短時間內降至既定溫度。
(晶舟卸載及晶圓放電)
其後,藉由晶舟升降機115使密封蓋219下降,使歧管209之下端呈開口,於由晶舟217支持經處理之晶圓200之狀態下自歧管209之下端搬出(晶舟卸載)至反應管203之外部。將經處理之晶圓200自晶舟217取出(晶圓放電)。
(3)利用本實施形態之效果
根據本實施形態,發揮以下所示之1個或複數個效果。
(a)藉由與如BTCSM氣體之含有Si、C及鹵素元素且具有Si-C鍵之原料一併供給如吡啶氣體之觸媒,可促進如BTCSM氣體之原料之分解。藉此,即便於例如150℃以下之低溫條件下,亦可形成第1層。又,於 形成第1層時,並非如BTCSM氣體之原料之物理吸附層,而是化學吸附層之形成有可能處於優勢,可提高第1層之形成速率。
又,藉由與如H2O氣體之氧化劑一併供給如吡啶氣體之觸媒,可促進如H2O氣體之氧化劑之分解,提高如H2O氣體之氧化劑之氧化力。藉此,即便於例如150℃以下之低溫條件下,亦可使第1層與如H2O氣體之氧化劑效率良好地反應,可將第1層改質為第2層。又,可提高第1層之改質速率。
即,藉由如吡啶氣體之觸媒之觸媒作用,可將SiOC膜之成膜溫度低溫化,又,提高SiOC膜之成膜速率。
(b)藉由使用如BTCSM氣體之含有Si、C及鹵素元素且具有Si-C鍵之原料氣體,即,作為Si源發揮作用又作為C源發揮作用的氣體,可於第1層中添加C。結果,可形成高濃度地添加C之膜,即,形成具有較高C濃度之SiOC膜。
尤其是,藉由使用如BTCSM氣體之不具有Si-Si鍵而具有C介存於其等之間之Si-C-Si鍵的原料氣體,可提高SiOC膜中之C濃度。其中,原料氣體中所含之C係以2個鍵結鍵與Si分別鍵結。因此,於形成第1層時,可抑制BTCSM氣體中所含之C與Si之鍵完全被切斷而使C不會被取入至第1層中之情況。又,於將第1層改質為第2層時,可抑制第1層中所含之C與Si之鍵完全被切斷而使C自第1層脫離之情況。即,藉由使用如BTCSM氣體之具有Si-C-Si鍵結之原料氣體,與使用如TCDMDS氣體之不具有C介存於Si-Si之間的原料氣體等之情形相比,可提高膜中之C濃度。
又,藉由於膜中添加C,亦可提高SiOC膜對氫氟酸(HF) 之耐性(耐蝕刻性)。
作為參考,關於對1%濃度之氫氟酸(1%HF水溶液)之濕式蝕刻速率(以下亦稱為WER),以於低溫條件下使用觸媒氣體獲得之SiO膜計約為600Å/min,以於低溫條件下使用電漿獲得之SiO膜計約為200Å/min,以於氧化爐內將矽晶圓熱氧化而獲得之熱氧化膜計約為60Å/min。即,於低溫條件下使用觸媒氣體或電漿形成之SiO膜存在與熱氧化膜相比耐蝕刻性降低之傾向。為了提高耐蝕刻性,較為有效的是於膜中添加C,即,形成SiOC膜是有效的。若成膜溫度為600~800℃,則藉由交替或同時對晶圓供給例如HCDS氣體等原料氣體(Si源)、氧氣等氧化氣體(O源)、丙烯(C3H6)氣體等含碳氣體(C源),可形成SiOC膜。然而,於將成膜溫度設為例如150℃以下之情形時,難以使用上述氣體或上述成膜方法形成SiOC膜。
相對於此,於本實施形態中,即便於例如150℃以下之低溫條件下,亦可形成高濃度地添加有C之SiOC膜、即耐蝕刻性較高之膜。例如,於本實施形態中,可形成耐蝕刻性高於熱氧化膜之膜。又,藉由適當調整吡啶氣體之供給量等,可精度良好地控制SiOC膜中之C濃度(即,耐蝕刻性)。
(c)藉由使用如BTCSM氣體之1分子中所含之伸烷基之分子量(分子尺寸)較小之伸烷基鹵矽烷原料氣體,可提高成膜速率,形成牢固之膜。其中,例如,於使用1分子中含有伸己基或伸庚基等分子量較大之伸烷基的伸烷基鹵矽烷原料氣體之情形時,存在該分子量較大之伸烷基會引起阻礙原料氣體中所含之Si之反應之位阻,而阻礙第1層之形成之情況。又,於上述伸烷基在未分解或僅一部分未分解之狀態下殘留 於第1層中之情形時,存在該分子量較大之伸烷基會引起阻礙第1層中所含之Si與H2O氣體之反應之位阻,而阻礙第2層之形成之情況。相對於此,藉由使用如BTCSM氣體之1分子中所含之伸烷基之分子量較小之伸烷基鹵矽烷原料氣體,可抑制上述位阻之產生,可分別促進第1層及第2層之形成。結果,可提高成膜速率,形成牢固之膜。又,於使用如TCDMDS氣體之1分子中所含之烷基之分子量較小之烷基鹵矽烷原料氣體之情形時,亦可獲得相同之效果。
(d)藉由使用如BTCSM氣體之1分子中含有2個Si之原料氣體,可使SiOC膜成為膜中所含之Si彼此相互近接之膜。其中,於在BTCSM氣體未自我分解之條件下形成第1層時,BTCSM氣體分子中所含之2個Si在保持相互近接之狀態下吸附於晶圓200(表面之基底膜)上。又,於在BTCSM氣體自我分解之條件下形成第1層時,BTCSM氣體分子中所含之2個Si在保持相互近接之狀態下堆積於晶圓200上之傾向增強。即,藉由使用如BTCSM氣體之1分子中含有2個Si之氣體,與使用如三-二甲基胺基矽烷(Si(N(CH3)2))3H,簡稱:3DMAS)氣體之1分子中僅具有1個Si之氣體之情況相比,可成為第1層中所含之Si彼此相互近接之狀態。結果,可使SiOC膜成為膜中之Si彼此相互近接之膜。藉此,亦可提高膜之耐蝕刻性。
(e)藉由交替進行如BTCSM氣體之原料及如吡啶氣體之觸媒之供給、與如H2O氣體之氧化劑及如吡啶氣體之觸媒之供給,可使該等氣體於支配表面反應之條件下適當地進行反應。結果,可分別提高SiOC膜之階差被覆性、膜厚控制之控制性。又,可避免處理室201內之過量之 氣相反應,亦可抑制微粒之產生。
(f)藉由於高於SiOC膜之成膜溫度之第1溫度下對SiOC膜進行熱處理,可自SiOC膜中去除第1雜質(水分或Cl等雜質)。其後,藉由於第1溫度以上之第2溫度下對SiOC膜進行熱處理,可自於第1溫度下進行熱處理後之SiOC膜中,去除與第1雜質不同之第2雜質(CxHy系之雜質)。結果,可使SiOC膜成為雜質少於進行SiOC膜改質步驟前之剛成膜(as depo)狀態之SiOC膜的膜。藉此,可提高SiOC膜之耐蝕刻性,降低膜之介電係數。即,可提高SiOC膜之膜質。
(g)藉由進行SiOC膜形成步驟及SiOC膜改質步驟之一連串之處理,可形成多孔狀之膜。即,可將SiOC膜多孔化。
其中,於SiOC膜形成步驟中所形成之膜中,至少存在Si-C鍵與Si-O鍵。Si與C之鍵結距離大於Si與O之鍵結距離。因此,與SiO2膜相比,SiOC膜藉由向膜中導入Si-C鍵而擴大原子間距離,膜密度變疏。又,亦有於SiOC膜中存在Si-C-Si鍵之情形,於此情形時,膜密度進而變疏。尤其是,於使用如BTCSM氣體之具有Si-C-Si鍵之氣體作為原料氣體之情形時,SiOC膜中容易含有Si-C-Si鍵,膜密度變疏之傾向增強。亦可謂於該膜密度變疏之部分產生微小之孔(bore)即微小空間。即,於SiOC膜形成步驟中所形成之SiOC膜於剛成膜狀態下成為多孔狀之膜,即,成為膜中之原子密度較低之膜。
又,於SiOC膜改質步驟中,水分或Cl等雜質或CxHy系之雜質自SiOC膜中脫離時,於該等雜質之脫離之部分產生微小之孔(bore)即微小空間。即,藉由SiOC膜改質步驟改質之SiOC膜與剛成 膜狀態之SiOC膜相比,成為進一步進行多孔化之多孔狀之膜,即,成為膜中之原子密度之進而低之膜。但是,於SiOC膜改質步驟中,若發生上述非所需之反應,則SiOC膜之膜收縮率變大,變得難以維持SiOC膜之多孔狀態。藉由於上述處理條件下進行SiOC膜改質步驟,可維持剛成膜狀態下之多孔狀態且改質(變化)為進一步進行多孔化之狀態。即,可提高SiOC膜之膜質。
(h)藉由進行SiOC膜形成步驟及SiOC膜改質步驟之一連串之處理,可使SiOC膜之介電係數(κ值)低於SiO2膜之介電係數。其中,藉由進行SiOC膜形成步驟及SiOC膜改質步驟之一連串之處理,可如上所述般將SiOC膜多孔化。又,藉由進行SiOC膜改質步驟,可自SiOC膜中去除水分或Cl等雜質或CxHy系之雜質。水分等雜質具有永久偶極力矩,因此係隨著電場改變朝向而提高介電係數之物質。藉由SiOC膜之多孔化、及提高介電係數之物質之去除,可使SiOC膜之介電係數低於SiO2膜之介電係數。根據本實施形態之成膜順序,確認到可使SiOC膜之介電係數降低至例如3.0以下具體為2.68。
(i)且說,可認為於電晶體、或開發為下一代記憶體之可變電阻式記憶體(ReRAM,Resistive Random Access Memory)或磁阻隨機存取記憶體(MRAM,Magnetic Random Access Memory)中,作為滿足低溫成膜、低WER(高耐蝕刻性)、低介電係數等之薄膜,例如使用氮化矽膜(SiN膜)中添加有C之氮碳化矽膜(SiCN膜)、或SiCN膜中進而添加有O之氧碳氮化矽膜(SiOCN膜)等薄膜。另一方面,若欲進一步提高該等薄膜之耐蝕刻性、進一步降低介電係數,則必須增加膜中之C濃度或O濃度, 降低N濃度。然而,難以利用例如交替供給各種氣體而成膜之上述方法且於低溫區域中,將N濃度抑制為例如雜質級別並且提高C濃度等。
相對於此,於本實施形態中,即便於例如150℃以下之低溫之條件下,亦可藉由使用含有Si、C及Cl且具有Si-C鍵之原料氣體,提高或精度良好地控制薄膜中之C濃度。
(4)本實施形態之變形例
本實施形態之成膜順序並不限定於圖4(a)所示之態樣,亦可以如下所示之變形例之方式進行變更。
(變形例1)
於供給原料氣體之步驟1a中,作為原料氣體,例如亦可供給如BTCSE氣體之與BTCSM氣體種類不同之伸烷基鹵矽烷原料氣體。又,例如亦可供給如TCDMDS氣體之烷基鹵矽烷原料氣體。圖4(b)係表示使用TCDMDS氣體代替BTCSM氣體作為原料氣體的例。於此情形時,於步驟1a中,利用與圖4(a)所示之成膜順序之步驟1a中之閥243a之開關控制相同之程序進行閥243d之開關控制。其他處理條件或處理程序設為例如與圖4(a)所示之成膜順序相同。
根據本變形例,發揮與圖4(a)所示之成膜順序相同之效果。
又,藉由如本變形例般適當選擇原料氣體之種類,可控制SiOC膜中之C濃度等。又,藉由控制SiOC膜中之C濃度,亦可改變相對於C濃度之Si濃度及O濃度。
作為其1個因素,例如可認為係各原料氣體之分子構造 中之C之配置不同。其中,BTCSM氣體或BTCSE氣體等係具有Si-C-Si鍵或Si-C-C-Si鍵之原料氣體,且具有將C夾入Si中之分子構造。BTCSM氣體或BTCSM氣體等中所含之Si之4個鍵結鍵中,未與C鍵結之剩餘之鍵結鍵與多個Cl鍵結。例如,BTCSM氣體或BTCSE氣體均為Si之4個鍵結鍵中之3個鍵結鍵與Cl鍵結。如此,BTCSM氣體或BTCSE氣體等之1分子中含有多個(例如6個)Cl,因此可認為與如TCDMDS氣體等之1分子中所含之Cl之數較少之(例如4個以下)原料氣體相比,具有較高之反應性。藉由使用反應性較高之BTCSM氣體或BTCSE氣體等作為原料氣體,可有效率地進行形成第1層時之反應,可提高SiOC膜之成膜速率。又,藉由使用反應性較高之原料氣體,可擴大能夠進行成膜處理之處理條件之範圍,即,擴大製程窗。可自廣泛之製程窗內選擇獲得所需之C濃度之成膜條件,因此結果容易提高SiOC膜中之C濃度。又,亦可提高SiOC膜中之C濃度之控制性。此處,BTCSM氣體中所含之C之數少於例如TCDMDS氣體等。但是,認為該方面並不會對SiOC膜中之C濃度之提高發揮不利作用。根據本發明者等,確認到與使用TCDMDS氣體之情形相比,使用BTCSM氣體容易提高C濃度。
又,TCDMDS氣體或DCTMDS氣體等係不具有Si-C-Si鍵或Si-C-C-Si鍵之原料氣體,且具有甲基等烷基鍵結於Si上之分子構造,即,具有氯矽烷原料氣體之一部分之氯基被取代為甲基之分子構造。TCDMDS氣體或DCTMDS氣體等之1分子中所含之Cl之數較少(例如4個以下),因此認為與BTCSM氣體或BTCSE氣體等原料氣體相比,反應性降低。因此,藉由使用TCDMDS氣體或DCTMDS氣體等作為原料氣體,可相對緩慢地進行形成第1層時之反應,可使SiOC 膜成為更緻密之膜。結果,即便適當地抑制SiOC膜中之C濃度,亦可維持較高之耐蝕刻性。確認到若將使用TCDMDS氣體作為原料氣體之情形、與使用DCTMDS氣體作為原料氣體之情形加以比較,則1分子中含有多個甲基,即,含有多個C之DCTMDS氣體對C被取入至膜中之量發揮有利作用。
如此,藉由選擇供給例如BTCSM氣體或BTCSE氣體等作為原料氣體,而容易提高SiOC膜中之C濃度。又,藉由選擇供給例如TCDMDS氣體或DCTMDS氣體等作為原料氣體,可維持耐蝕刻性且適當地抑制SiOC膜中之C濃度。如此,藉由自複數種原料氣體之中選擇供給特定之原料氣體,可精度良好地控制SiOC膜中之C濃度等。
(變形例2)
於供給氧氣之步驟2a中,作為觸媒氣體,亦可供給具有與吡啶氣體不同之分子構造之觸媒氣體、即與吡啶氣體不同之種類之胺系觸媒氣體。即,可使與原料氣體一併供給之觸媒氣體之種類、及與氧化氣體一併供給之觸媒氣體之種類不同。於此情形時,於步驟2a中,只要自氣體供給管232c供給與吡啶氣體不同種類之胺系觸媒氣體即可。其他處理條件或處理程序設為例如與圖4(a)所示之成膜順序相同。
根據本變形例,發揮與圖4(a)所示之成膜順序相同之效果。
又,藉由如本變形例般適當選擇觸媒氣體之種類,可控制SiOC膜中之C濃度等。又,藉由控制SiOC膜中之C濃度,亦可使膜中之Si濃度及O濃度相對改變。
作為其1個因素,例如認為係依據觸媒氣體之分子構造之觸媒作用之強度差異。例如,存在藉由選擇pKa值較大之觸媒氣體,而促進氧化氣體之分解,增加其氧化力之情況。其結果,於步驟2a中,存在第1層中所含之Si-C鍵被切斷,最終形成之SiOC膜中之C濃度降低之情況。又,例如存在藉由選擇pKa較小之觸媒氣體,而適當地抑制氧化氣體之分解,降低其氧化力之情況。其結果,於步驟2a中,存在容易維持第1層中所含之Si-C鍵,最終形成之SiOC膜中之C濃度提高之情況。又,作為另一因素,認為係觸媒氣體或所產生之鹽等與觸媒反應相關之各種物質之蒸氣壓之差異。又,認為係與該等因素密切相關之複合因素等。
(變形例3)
於進行複數次上述步驟1a、2a之循環時,亦可於其中途變更原料氣體之種類或觸媒氣體之種類。又,於進行複數次步驟1a、2a之循環時,亦可於其中途變更觸媒氣體之供給量。
於此情形時,可僅進行1次或進行複數次原料氣體之種類之變更。又,使用之原料氣體可為2種,亦可為3種以上。原料氣體之組合可自含有Si、C及鹵素元素且具有Si-C鍵之原料氣體之中任意選擇。原料氣體之使用順序可任意選擇。又,可僅進行1次或進行複數次觸媒氣體之種類之變更。又,使用之觸媒氣體可為2種,亦可為3種以上。觸媒氣體之組合或順序可任意選擇。又,於變更觸媒氣體之供給量之情形時,可將其供給量自小流量變更為大流量,亦可自大流量變更為小流量。又,可僅進行1次或進行複數次觸媒氣體之供給量之變更。此時,可將觸媒氣體之供給量自小流量階段性地增加至 大流量、或自大流量階段性地減少至小流量,或者亦可以適當任意之組合上下地變化。
根據本變形例,發揮與圖4(a)所示之成膜順序相同之效果。又,根據本變形例,可使SiOC膜中之C濃度於膜厚方向上變化。藉由使SiOC膜中之C濃度於膜厚方向上變化,亦可使膜中之相對Si濃度及O濃度於膜厚方向上變化。結果,例如可使SiOC膜之耐蝕刻性或介電係數等於膜厚方向上變化。
(變形例4)
並不限於使用分別具備複數個如圖1所示之原料氣體供給線、觸媒氣體供給線之基板處理裝置之情形,亦可使用僅具備圖1所示之複數個氣體供給線中之特定氣體供給線之基板處理裝置。其中,於使用具備複數個氣體供給線之基板處理裝置之情形時,容易藉由適當選擇使用之氣體供給線,根據所需之膜組成等,自複數種氣體之中選擇供給特定氣體。又,可利用1台基板處理裝置,通用性且再現性良好地形成具有各種組成比、膜質之膜。又,可於氣體種類之追加或更換等時,確保裝置運用之自由度。
(變形例5)
亦可於不同之處理室內進行SiOC膜形成步驟與SiOC膜改質步驟。
例如,於圖1所示之基板處理裝置(以下亦稱為第1基板處理部)所具備之處理室201(以下亦稱為第1處理室)內進行SiOC膜形成步驟。構成第1基板處理部之各部之動作係藉由第1控制部進行控 制。使用第1基板處理部,實施既定次數之包括與上述步驟1a、2a相同之步驟1b、2b之循環。其後,依次執行處理室201內之沖洗及大氣壓恢復、晶舟卸載、晶圓放電。繼而,於與處理室201不同之處理室內進行對形成於自晶舟217取出之晶圓200上之SiOC膜進行熱處理之步驟,即,進行SiOC膜改質步驟。此時,例如可使用具備如下基板處理裝置(以下亦稱為第2基板處理部)之處理室(以下亦稱為第2處理室),該基板處理裝置係與圖1所示之基板處理裝置同樣地構成,且與進行SiOC膜形成步驟之裝置不同。構成第2基板處理部之各部之動作係藉由第2控制部進行控制。使用第2基板處理部,與第1基板處理部進行SiOC膜形成步驟時同樣地依次執行晶圓充電、晶舟載入。又,與進行上述實施形態之SiOC膜改質步驟時同樣地進行壓力調整、溫度調整。其後,與上述實施形態同樣地依次執行第1熱處理、第2熱處理、沖洗、大氣壓恢復、晶舟卸載及晶圓放電。本變形例中之處理條件或處理程序設為例如與圖4(a)所示之成膜順序相同。
如上所述,不僅於同一處理室201內(原地(In-Situ))進行SiOC膜形成步驟與SiOC膜改質步驟,亦可於不同之處理室(第1處理室及第2處理室)內(異地(Ex-Situ))進行。若原地進行兩個步驟,則不會使晶圓200於中途暴露於大氣,而可在將晶圓200置於真空下之狀態下連貫進行處理,可進行穩定之成膜處理。若於異地進行兩個步驟,則可將各處理室內之溫度預先設定為例如各步驟之處理溫度或與其接近之溫度,可縮短溫度調整所需要之時間,提高生產效率。
於本變形例中,主要由形成SiOC膜之第1基板處理部、與對SiOC膜進行熱處理之第2基板處理部構成基板處理系統。但是,基板處理系統並不限於將第1基板處理部與第2基板處理部構成為如 上述般分別獨立之裝置(獨立型裝置)群組之情形,亦可將第1基板處理部與第2基板處理部構成為搭載於同一平台之1個裝置(簇群型裝置)。又,進行SiOC膜改質步驟之裝置亦可構成為與圖1所示之基板處理裝置不同之構成之裝置、例如退火處理專用機(熱處理爐)等。
<第2實施形態>
繼而,使用圖6(a)對本發明之第2實施形態進行說明。於本實施形態中,亦與上述實施形態同樣地使用圖1、圖2所示之基板處理裝置。於以下說明中,構成基板處理裝置之各部之動作係藉由控制器121進行控制。
於本實施形態之成膜順序中,進行藉由進行既定次數(n次)之包括如下步驟之循環,而於晶圓200上形成作為含有Si、O及C之薄膜之SiOC膜之步驟:對晶圓200供給作為含有Si、C及Cl且具有Si-C鍵之原料氣體之BTCSM氣體之步驟;對晶圓200供給作為氧化氣體之O3氣體之步驟;及對晶圓200供給作為觸媒氣體之TEA氣體之步驟。
此時,於不實施供給TEA氣體之步驟之狀態下進行供給BTCSM氣體之步驟,於實施供給TEA氣體之步驟之狀態下進行供給O3氣體之步驟。
又,於實施SiOC膜形成步驟後,與上述實施形態同樣地進行SiOC膜改質步驟。
以下,對本實施形態之SiOC膜形成步驟中與上述實施形態不同之方面詳細地進行說明。
(SiOC膜形成步驟)
於晶圓充電、晶舟載入、壓力調整及溫度調整後,依次執行以下2個步驟1c、2c。
[步驟1c] (BTCSM氣體供給)
利用與圖4(a)所示之成膜順序之步驟1a相同之程序,對晶圓200供給BTCSM氣體。此時,於關閉閥243c、243i之狀態下,於停止供給吡啶氣體或TEA氣體等胺系觸媒氣體之狀態,即,在不供給胺系觸媒氣體之狀態下,對晶圓200供給BTCSM氣體。即,於對晶圓200供給BTCSM氣體時,不供給觸媒氣體。
又,為了防止BTCSM氣體侵入至緩衝室237內、噴嘴249b、249c內,打開閥243k、243l,向氣體供給管232k、232l內通入氮氣。氮氣係經由氣體供給管232b、232c、噴嘴249b、249c、及緩衝室237供給至處理室201內,自排氣管231排氣。
此時,適當地調整APC閥244,將處理室201內之壓力設為例如1~13330Pa、較佳為133~2666Pa之範圍內之壓力。以MFC 241a控制之BTCSM氣體之供給流量設為例如1~2000sccm之範圍內之流量。以MFC 241j~241l控制之氮氣之供給流量例如分別設為100~10000sccm之範圍內之流量。對晶圓200供給BTCSM氣體之時間,即,氣體供給時間(照射時間)設為例如1~100秒、較佳為5~60秒之範圍內之時間。
此時,加熱器207之溫度係設定為晶圓200之溫度成為例如室溫以上且150℃以下、較佳為室溫以上且100℃以下、更佳為50℃ 以上且100℃以下之範圍內之溫度的溫度。於在供給BTCSM氣體時不供給觸媒氣體之情形時,若晶圓200之溫度未滿250℃,則有BTCSM不易化學吸附於晶圓200上,無法獲得實用之成膜速率之情況。於本實施形態中,藉由於其次進行之步驟2a中組合使用O3氣體與TEA氣體,即便將晶圓200之溫度設為未滿250℃,亦可消除該情況。若於以其次進行步驟2a為前提,將晶圓200之溫度設為150℃以下、進而100℃以下,則可減少對晶圓200施加之熱量,可良好地進行晶圓200受到之熱歷程之控制。此時,若為室溫以上之溫度,則可獲得充分之成膜速率。因此,晶圓200之溫度宜為設為室溫以上且150℃以下、較佳為室溫以上且100℃以下、更佳為50℃以上且100℃以下之範圍內之溫度。
藉由於上述條件下對晶圓200供給BTCSM氣體,於晶圓200(表面之基底膜)上形成例如未滿1原子層至數原子層程度之厚度之含有C及Cl之含Si層作為第1層。如上所述,認為於例如150℃以下之低溫條件下,主要形成利用熱分解不充分之物理吸附獲得之BTCSM氣體之吸附層,即,形成BTCSM氣體之物理吸附層作為第1層。
如此,若第1層主要由BTCSM氣體之物理吸附層構成,則第1層難以固定於晶圓200上等。又,即便其後進行氧化處理,第1層亦難以轉化為具有牢固之鍵之SiOC層。即,於供給BTCSM氣體時不供給觸媒氣體之情形時,存在即便利用其後之氧化處理供給觸媒氣體,第1層之氧化反應亦難以進行之情況。其結果,存在SiOC膜之成膜速率降低或不可能形成SiOC膜之情況。
針對此種課題,於上述實施形態中,藉由利用供給原料氣體之步驟與供給氧化氣體之步驟兩者供給觸媒氣體,而促進第1層 對晶圓200上之固定。如上所述,觸媒氣體會減弱存在於晶圓200之表面之O-H鍵之鍵結力,促進BTCSM氣體之熱分解反應,故而可促進利用BTCSM氣體分子之化學吸附之第1層之形成,可將第1層牢固地固定於晶圓200上。
相對於此,於本實施形態中,僅於其次進行之步驟2c中使用觸媒氣體。其中,於本實施形態中,步驟2c係藉由將氧化力較強之氧化氣體(例如O3氣體)、與觸媒作用較強之觸媒氣體(例如TEA氣體等胺系觸媒氣體)組合而解決上述課題。藉由組合使用該等氣體,可明顯提高步驟2c中之氧化氣體之氧化力。結果,即便第1層主要由BTCSM氣體之物理吸附層構成,亦可確實地進行第1層之氧化反應,而轉化為具有牢固之鍵的SiOC層。即,變得可形成與基底之結合、或者層中之鄰接分子或原子間之鍵牢固之SiOC層。
又,於本實施形態中,至少於供給BTCSM氣體時無需經由使用觸媒氣體之複雜之反應系統,因此成膜製程之構建變得容易。又,於供給BTCSM氣體時不供給觸媒氣體,因此可避免因觸媒反應產生之鹽成為微粒源,可提高成膜處理之品質。又,於供給BTCSM氣體時不供給觸媒氣體,因此可減少就成膜處理整體而言時之觸媒氣體之使用量,可削減成膜處理之成本。
(殘留氣體去除)
其後,利用與上述實施形態相同之程序,停止BTCSM氣體之供給,去除處理室201內之殘留氣體。
[步驟2c] (O3氣體+TEA氣體供給)
於步驟1c結束後,向處理室201內通入O3氣體及TEA氣體。於步驟2c中,利用與圖4(a)所示之成膜順序之步驟2a中之閥243a、243c之開關控制相同之程序進行閥243g、243i之開關控制。
此時,以MFC 241g控制之O3氣體之供給流量設為例如1000~10000sccm之範圍內之流量。關於以MFC 241i控制之TEA氣體之供給流量,以例如O3氣體之供給流量(sccm)/TEA氣體之供給流量(sccm)之比計,設為成為0.01~100、更佳為0.05~10之範圍內之流量。以MFC 241j~241l控制之氮氣之供給流量例如分別設為100~10000sccm之範圍內之流量。對晶圓200供給O3氣體及TEA氣體之時間,即,氣體供給時間(照射時間)設為例如1~100秒、較佳為5~60秒之範圍內之時間。加熱器207之溫度係設定為晶圓200之溫度成為與步驟1c中之BTCSM氣體之供給時相同之溫度帶、例如室溫以上且150℃以下、較佳為室溫以上且100℃以下、更佳為50℃以上且100℃以下之範圍內之溫度。其他處理條件設為例如與圖4(a)所示之成膜順序之步驟2a相同之處理條件。
供給至處理室201內之O3氣體經熱活化,自排氣管231排氣。此時,對晶圓200供給經熱活化之O3氣體。通入處理室201內之氣體係經熱活化之O3氣體,且未向處理室201內通入BTCSM氣體。因此,O3氣體不會引起氣相反應,而於經活化之狀態下對晶圓200供給,於步驟1c中與形成於晶圓200上之第1層(含有C及Cl之含Si層)之至少一部分反應。藉此,第1層於無電漿下進行熱氧化,而轉化為含Si、O及C之第2層(即,SiOC層)。
TEA氣體係作為促進O3氣體之分解、提高O3氣體之氧 化力、促進O3氣體與第1層之反應的觸媒氣體發揮作用。尤其是藉由組合O3氣體與TEA氣體,可於通常之觸媒反應下超過預測範圍地大幅提高O3氣體之氧化力。如上所述,若於供給BTCSM氣體時不供給觸媒氣體,而使BTCSM氣體之熱分解不充分,則存在即便於其後之氧化氣體之供給步驟中供給觸媒氣體,亦難以獲得充分之反應性之情況。然而,藉由一併供給O3氣體與TEA氣體,例如即便於步驟1c中主要形成利用熱分解不充分之物理吸附獲得之BTCSM氣體之吸附層,即,形成BTCSM氣體之物理吸附層作為第1層,亦可適當地進行O3氣體與第1層之氧化反應。即,可藉由TEA氣體之作用明顯提高O3氣體之氧化力,藉此,亦可對BTCSM氣體之物理吸附層確實地進行氧化處理。結果,可形成與基底之結合、或者鄰接分子或原子間之鍵牢固之SiOC層。
(殘留氣體去除)
其後,關閉閥243g,停止O3氣體之供給。又,關閉閥243i,停止TEA氣體之供給。然後,利用與上述實施形態相同之程序,去除自處理室201內之殘留氣體。
(既定次數實施)
將上述之步驟1c、2c設為1循環,進行該循環1次以上即既定次數(n次),藉此可於晶圓200上成膜既定組成及既定膜厚之SiOC膜。較佳為重複數次該循環之方面與上述實施形態相同。
(SiOC膜改質步驟)
於本實施形態中,亦存在於低溫條件下形成之SiOC膜中含有大量水分或Cl等雜質、或CxHy系之雜質之情況。因此,利用與上述實施形態相同之程序及處理條件進行壓力調整、溫度調整、第1熱處理、第2熱處理、沖洗及大氣壓恢復,去除SiOC膜中之雜質,改質SiOC膜。藉此,與進行SiOC膜改質步驟前之SiOC膜相比,可獲得高耐蝕刻性且低介電係數之SiOC膜。
其後,利用與上述實施形態相同之程序,進行晶舟卸載及晶圓放電,結束本實施形態之成膜處理。
(2)利用本實施形態之效果
根據本實施形態,除了發揮與上述實施形態相同之效果以外,亦可發揮以下所示之1種或複數種效果。
(a)於停止對晶圓200供給觸媒氣體之狀態下,進行對晶圓200供給BTCSM氣體。藉此,可簡化成膜製程。又,於供給BTCSM氣體時,不會產生於供給觸媒氣體之情形時所產生之鹽,而可抑制微粒之產生。又,可抑制就成膜處理整體而言時之觸媒氣體之使用量,降低製造成本。
(b)於實施對晶圓200供給TEA氣體之狀態下,進行對晶圓200供給O3氣體。藉此,可顯著提高O3氣體之氧化力。藉由組合O3氣體與胺系觸媒氣體,可於通常之觸媒反應下超過可預測之範圍地大幅提高O3氣體之氧化力。因此,即便於供給BTCSM氣體時不供給觸媒氣體,亦可獲得對第1層之充分之反應性,適當地進行O3氣體與第1層之氧 化反應。又,可提高氧化反應之速率,維持SiOC膜之成膜速率。
作為與O3氣體組合之胺系觸媒氣體,認為TEA氣體較為優異,其次以吡啶氣體、哌啶氣體為佳。其係基於如下情況:可形成SiOC膜之溫度範圍於使用TEA氣體作為觸媒氣體之情形時最廣泛,其次於使用吡啶氣體之情形時較為廣泛,其次於使用哌啶氣體之情形時較為廣泛。
(c)根據本實施形態,發揮與使用圖4(a)等進行說明之上述實施形態相同之效果。其中,存在上述實施形態所示之各種效果與本實施形態相比,上述實施形態變得顯著之情況。例如,存在SiOC膜之介電係數降低之效果與使用O3氣體與TEA氣體之本實施形態相比,使用H2O氣體與吡啶氣體之上述實施形態變得顯著之情況。作為其要因,例如可列舉藉由使用H2O氣體作為氧化氣體,與使用O3氣體作為氧化氣體之情形相比,SiOC膜之多孔化之程度增加之情況等。藉由使用H2O氣體作為氧化氣體,與使用O3氣體作為氧化氣體之情形相比,形成含有大量水分之SiOC膜。並且,認為存在因對含有大量水分之SiOC膜實施第1熱處理及第2熱處理,而於膜中產生更大量之微小之孔(bore)即微小之空間,進而推進SiOC膜之多孔化之情況。
(3)本實施形態之變形例
本實施形態之成膜順序並不限定於圖6(a)所示之態樣,例如可以圖6(b)或圖6(c)所示之變形例之方式進行變更,於晶圓200上形成SiO膜。
於此情形時,作為原料氣體,並非使用BTCSM氣體, 而是使用HCDS氣體或BDEAS氣體等。於供給HCDS氣體或BDEAS氣體等之步驟1c中,利用與圖6(a)所示之成膜順序之步驟1c中之閥243a之開關控制相同之程序進行閥243e或閥243f之開關控制。HCDS氣體或BDEAS氣體之供給流量例如亦設為與圖6(a)所示之成膜順序之步驟1c中之BTCSM氣體之供給流量相同。其他處理條件設為例如與圖6(a)所示之成膜順序之步驟1c相同之處理條件。
如此,於低溫條件下形成之SiO膜中可能含有水分等雜質。於使用HCDS氣體作為原料氣體之情形時,SiO膜中亦可能含有Cl等雜質。於使用BDEAS氣體作為原料氣體之情形時,SiO膜中亦可能含有C、H、N等雜質。利用與上述實施形態相同之程序及處理條件,對SiO膜進行第1熱處理及第2熱處理,去除SiO膜中之雜質,改質SiO膜,藉此與進行SiO膜改質步驟前之SiO膜相比,可獲得高耐蝕刻性且低介電係數之SiO膜。
<第3實施形態>
繼而,使用圖7(a)、圖7(b)對本發明之第3實施形態進行說明。本實施形態亦與上述實施形態同樣地使用圖1、圖2所示之基板處理裝置。於以下說明中,構成基板處理裝置之各部之動作係藉由控制器121進行控制。
於本實施形態之成膜順序中,進行藉由進行既定次數(n次)之包括如下步驟之循環,而於晶圓200上形成SiO膜與SiOC膜之積層膜之步驟:於實施對晶圓200供給作為觸媒氣體之吡啶氣體之步驟的狀態下,進行對晶圓200供給作為含有Si及Cl之原料氣體之HCDS氣體之步驟(步驟1d),於實施對晶圓200供給作為觸媒氣體之吡啶氣 體之步驟的狀態下,進行對晶圓200供給作為氧化氣體之H2O氣體之步驟(步驟2d),進行包括該等步驟之集合既定次數(m1次),藉此形成作為含有Si及O之第1薄膜之SiO膜之步驟;於實施對晶圓200供給作為觸媒氣體之吡啶氣體之步驟的狀態下,進行對晶圓200供給作為含有Si、C及Cl且具有Si-C鍵之原料氣體之BTCSM氣體之步驟(步驟1e),於實施對晶圓200供給作為觸媒氣體之吡啶氣體之步驟的狀態下,進行對晶圓200供給作為氧化氣體之H2O氣體之步驟(步驟2e),進行包括該等步驟之集合既定次數(m2次),藉此形成作為含有Si、O及C之第2薄膜之SiOC膜之步驟。
又,於形成SiO膜與SiOC膜之積層膜後,與上述實施形態同樣地進行該積層膜之改質步驟。
以下,對本實施形態之SiO膜及SiOC膜形成步驟之中與上述實施形態不同之方面詳細地進行說明。
(SiO膜形成步驟)
於晶圓充電、晶舟載入、壓力調整及溫度調整後,依次執行以下2個步驟1d、2d。
[步驟1d] (HCDS氣體+吡啶氣體供給)
利用與圖6(b)所示之成膜順序之步驟1c相同之程序,對晶圓200供給HCDS氣體。又,利用與圖4(a)所示之成膜順序之步驟1a相同之程序,對晶圓200供給吡啶氣體。此時之處理條件設為例如與圖6(b)所示之成膜順序之步驟1c及圖4(a)所示之成膜順序之步驟1a相同之處 理條件。吡啶氣體亦對HCDS氣體顯示與對BTCSM氣體之觸媒作用相同之觸媒作用。
藉此,於晶圓200上例如形成未滿1原子層至數原子層程度之厚度之含有Cl之含Si層作為第1層。藉由同時通入HCDS氣體與吡啶氣體,即便於例如150℃以下之相對低溫之條件下,亦可於晶圓200上形成含有Cl之含Si層。
(殘留氣體去除)
其後,利用與上述實施形態相同之程序,停止HCDS氣體與吡啶氣體之供給,去除自處理室201內之殘留氣體。
[步驟2d] (H2O氣體+吡啶氣體供給)
於步驟1d結束,去除處理室201內之殘留氣體後,利用與圖4(a)所示之成膜順序之步驟2a相同之供給程序,對晶圓200供給H2O氣體與吡啶氣體。此時之處理條件設為例如與圖4(a)所示之成膜順序之步驟2a相同之處理條件。藉此,第1層於無電漿下被熱氧化,而轉化為含有Si及O之第2層即氧化矽層(SiO層)。
(殘留氣體去除)
其後,利用與上述實施形態相同之程序,停止H2O氣體與吡啶氣體之供給,去除自處理室201內之殘留氣體。
(既定次數實施)
將上述之步驟1d、2d設為1集合,進行該集合1次以上即既定次數(m1次),藉此可於晶圓200上成膜既定組成及既定膜厚之SiO膜。較佳為重複數次該集合之方面與上述實施形態同樣。
(SiOC膜形成步驟)
繼而,於與圖4(a)所示之成膜順序之步驟1a、2a相同之程序及處理條件下,依次執行步驟1e、2e。將步驟1e、2e設為1集合,進行該集合1次以上即既定次數(m2次),藉此可於SiO膜上成膜既定組成及既定膜厚之SiOC膜。較佳為重複數次該集合之方面與上述實施形態同樣。
(既定次數實施)
將上述SiO膜形成步驟與SiOC膜形成步驟設為1循環,進行該循環1次以上,即,進行既定次數(n次),藉此於晶圓200上形成SiO膜與SiOC膜之積層膜。再者,可自SiO膜形成步驟與SiOC膜形成步驟中之任一者開始。
如圖7(a)所示,藉由進行1次包括SiO膜形成步驟與SiOC膜形成步驟之循環,可形成分別積層各1層之SiO膜與SiOC膜而成之積層膜(堆疊膜)。
又,如圖7(b)所示,藉由進行數次包括SiO膜形成步驟與SiOC膜形成步驟之循環,可形成分別積層複數層SiO膜與SiOC膜而成之積層膜(層疊膜)。圖7(b)表示重複2次包括SiO膜形成步驟與SiOC膜形成步驟之循環的例。
(積層膜改質步驟)
於本實施形態中,亦存在於低溫條件下形成之SiO膜與SiOC膜之積層膜中含有大量水分或Cl等雜質、或CxHy系之雜質之情況。因此,利用與上述實施形態相同之程序及處理條件,進行壓力調整、溫度調整、第1熱處理、第2熱處理、沖洗及大氣壓恢復,去除積層膜中之雜質,改質積層膜。藉此,與進行積層膜改質步驟前之積層膜相比,可獲得高耐蝕刻性且低介電係數之積層膜。
其後,利用與上述實施形態相同之程序,進行晶舟卸載及晶圓放電,結束本實施形態之成膜處理。
藉由本實施形態,亦可發揮與上述實施形態相同之效果。
又,藉由控制SiO膜之膜厚與SiOC膜之膜厚之比率,例如控制上述各集合之次數(m1、m2)之比率,可緻密地控制最終形成之積層膜之組成比。又,於圖7(b)所示之成膜順序中,將SiO膜及SiOC膜之膜厚分別設為5nm以下、較佳為1nm以下,藉此可將最終形成之積層膜設為於積層方向上具有統一特性之膜,即,膜整體具有一體不可分之特性的奈米層壓膜。再者,藉由將上述集合之實施次數(m1次、m2次)分別設為1~10次左右,可將SiO膜及SiOC膜之膜厚分別設為5nm以下、較佳為1nm以下。
(2)本實施形態之變形例
本實施形態之成膜順序並不限定於圖7(a)、圖7(b)所示之態樣,亦可以圖8(a)或圖8(b)所示之變形例之方式進行變更。即,於SiO膜形成步驟中,亦可不進行觸媒氣體之供給。又,於SiO膜形成步驟中, 作為原料氣體,亦可不使用HCDS氣體而使用例如含有Si、C及N且具有Si-N鍵之BDEAS氣體。又,於SiO膜形成步驟中,作為氧化氣體,亦可使用經電漿活化之氧氣(即,激發為電漿狀態之氧氣)。
於供給BDEAS氣體之步驟1f中,利用與圖7(a)、圖7(b)所示之成膜順序之步驟1d中之閥243e之開關控制相同之程序進行閥243f之開關控制。此時,於關閉閥243C、243i之狀態下,於停止吡啶氣體或TEA氣體等胺系觸媒氣體之供給之狀態下進行對晶圓200供給BDEAS氣體。BDEAS氣體之供給流量設為例如與圖7(a)、圖7(b)所示之成膜順序之步驟1d中之HCDS氣體之供給流量相同。其他處理條件設為例如與圖7(a)、圖7(b)所示之成膜順序之步驟1d相同之處理條件。
藉由對晶圓200供給BDEAS氣體,而於晶圓200(表面之基底膜)上形成例如未滿1原子層至數原子層程度之厚度之含有N及C之含Si層作為第1層。BDEAS氣體係容易吸附於晶圓200等且分解性或反應性較高之氣體。因此,即便於例如150℃以下之相對低溫之條件下,亦可於晶圓200上形成第1層。
於供給經電漿活化之氧氣之步驟2f中,利用與圖7(a)、圖7(b)所示之成膜順序之步驟2d中之閥243b之開關控制相同之程序進行閥243h之開關控制。此時,於關閉閥243c、243i之狀態下,於停止吡啶氣體或TEA氣體等胺系觸媒氣體之供給之狀態下進行對晶圓200供給氧氣。以MFC 241h控制之氧氣之供給流量設為例如100~10000sccm之範圍內之流量。施加於棒狀電極269、270間之高頻電力設為例如50~1000W之範圍內之電力。處理室201內之壓力設為例如1~100Pa之範圍內之壓力。藉由使用電漿,即便將處理室201內之壓力設為此種相對較低之壓力帶,亦可將氧氣活化。對晶圓200供給藉 由對氧氣進行電漿激發而獲得之活性物質的時間、即氣體供給時間(照射時間)設為例如1~100秒、較佳為5~60秒之範圍內之時間。其他處理條件設為例如與圖7(a)、圖7(b)所示之成膜順序之步驟2e相同之處理條件。
藉由對晶圓200供給經電漿活化之氧氣,而對形成於晶圓200上之第1層(含有N及C之含Si層)進行氧化處理。第1層變化為含有Si及O之第2層即SiO層。
藉由本變形例,亦可發揮與圖7(a)、圖7(b)所示之成膜順序相同之效果。
<其他實施形態>
以上,對本發明之實施形態具體地進行了說明。但是,本發明並不限定於上述實施形態或變形例,亦可於不脫離其主旨之範圍內進行各種變更。
本發明之熱處理步驟之溫度控制順序即退火順序並不限定於上述實施形態,例如亦可如圖14、圖15所示般進行各種變更。圖14(a)係與上述實施形態同樣地表示將第2溫度設為高於第1溫度之溫度之情形時之退火順序。圖14(b)~14(d)分別表示其變形例。圖15表示將第2溫度設為與第1溫度同等溫度之情形時之退火順序。該等圖之橫軸表示經過時間(分鐘),縱軸表示晶圓溫度(℃)。
於圖14(a)所示之退火順序中,使成膜後之晶圓200之溫度上升至第1溫度,進而將該溫度固定維持為第1溫度既定時間,藉此進行第1熱處理步驟。其後,使晶圓200之溫度上升至高於第1溫度之第2溫度,進而將該溫度固定維持為第2溫度既定時間,藉此進 行第2熱處理步驟。其後,使晶圓200之溫度降至能夠搬出之溫度。
根據該退火順序,於第1熱處理步驟中將晶圓200之溫度固定維持為低於第2溫度之第1溫度既定時間,藉此可如上所述般確實地防止非所需之反應。又,藉由充分地確保將晶圓200之溫度維持為第1溫度之時間,可確實地進行第1雜質(水分或Cl等)自SiOC膜之脫離。
其後,於第2熱處理步驟中將晶圓200之溫度固定維持為高於第1溫度之第2溫度既定時間,藉此可如上所述般迅速地進行第2熱處理步驟中之第2雜質(CxHy系之雜質)之脫離。又,此時,未產生會發生非所需之反應之物質(水分或Cl等),因此可確實地抑制上述非所需之反應。又,藉由充分地確保將晶圓200之溫度維持為第2溫度之時間,可確實地進行第2雜質自SiOC膜之脫離。
於圖14(b)所示之退火順序中,使成膜後之晶圓200之溫度上升至第1溫度後,不固定維持該溫度,而上升至第2溫度。然後,於晶圓200之溫度到達第2溫度後,不固定維持該溫度而使之降低。該退火順序係於晶圓200之溫度到達第1雜質開始自SiOC膜中脫離之溫度(第1溫度附近之溫度)至第1雜質結束自SiOC膜中脫離之間,進行第1熱處理步驟。又,於晶圓200之溫度到達第2雜質活躍地自SiOC膜中脫離之溫度(第2溫度附近之溫度)至第2雜質結束自膜中脫離之間,進行第2熱處理步驟。再者,亦可認為第2熱處理步驟包括第1雜質大致結束自SiOC膜中脫離,即,第2雜質於自膜中脫離之雜質中所占之比例成為可支配直至第2雜質活躍地自膜中脫離之期間。
根據該退火順序,適當地調整晶圓200之升溫速率或降 溫速率之大小,藉此可依序分別適當地進行第1熱處理步驟與第2熱處理步驟。
例如,藉由較低地抑制晶圓200之溫度到達第1溫度之升溫速率、及晶圓200之溫度超過第1溫度至到達第2溫度之升溫速率中之至少任一者之大小,可於第1熱處理步驟中確實地防止上述非所需之反應且充分地確保其實施時間。藉此,可確實地進行第1雜質自SiOC膜之脫離。例如,藉由使晶圓200之溫度到達第1溫度之升溫速率、及晶圓200之溫度超過第1溫度至到達之第2溫度之升溫速率中之任一者小於另一者,可充分地確保第1熱處理步驟之實施時間,可確實地進行第1雜質自SiOC膜之脫離。進而,亦可縮短合計之所需時間。
又,例如,藉由較低地抑制晶圓200之溫度超過第1溫度至到達第2溫度之升溫速率、及晶圓200之溫度到達第2溫度後之降溫速率中之至少任一者之大小,可充分地確保第2熱處理步驟之實施時間。藉此,可確實地進行第2雜質自SiOC膜中脫離。又,此時,未產生會發生非所需之反應之物質,因此可確實地抑制上述非所需之反應。例如,藉由使晶圓200之溫度超過第1溫度至到達第2溫度之升溫速率、及晶圓200之溫度到達第2溫度後之降溫速率中之任一者小於另一者,可充分地確保第2熱處理步驟之實施時間,可確實地進行第2雜質自SiOC膜之脫離。進而,亦可縮短合計之所需時間。
根據該退火順序,不進行固定維持晶圓200之溫度之控制,因此可簡化溫度控制。例如,若注意於使剛成膜之晶圓200之溫度上升至第2溫度時將該升溫速率抑制為足夠低,則可依序分別適當地進行第1熱處理步驟與第2熱處理步驟。
圖14(c)、圖14(d)所示之退火順序係組合圖14(a)、圖14(b)所示之退火順序而成者。於圖14(c)所示之退火順序中,使晶圓200之溫度連續上升至第2溫度,於晶圓200之溫度到達第2溫度後固定維持該溫度既定時間,其後使之降低。又,於圖14(d)所示之退火順序中,於晶圓200之溫度到達第1溫度後固定維持該溫度既定時間,其後使晶圓200之溫度上升至第2溫度,於晶圓200之溫度到達第2溫度後不固定維持該溫度而使之降低。該等退火順序亦可發揮與圖14(a)、圖14(b)所示之退火順序相同之效果。再者,亦可適當組合圖14(a)、圖14(b)、圖14(c)、圖14(d)所示之退火順序而使用。
圖15所示之退火順序表示將第2溫度設為與第1溫度同等之溫度的例。於該退火順序中,使成膜後之晶圓200之溫度上升至第1溫度後,固定維持該溫度既定時間,其後使之降低。
如上所述,若晶圓200之溫度上升至第1溫度,則第1雜質及第2雜質開始自SiOC膜中脫離。此時,第1雜質之脫離較第2雜質之脫離更早結束。該退火順序係於晶圓200之溫度到達第1雜質開始自SiOC膜中脫離之溫度(第1溫度附近之溫度)至第1雜質結束自SiOC膜中脫離之間,進行第1熱處理步驟。又,於第1雜質大致結束自SiOC膜中脫離,即,第2雜質於自膜中脫離之雜質中所占之比例成為可支配直至第2雜質結束自膜中脫離之間,進行第2熱處理步驟。再者,亦可認為第2熱處理步驟包括第2雜質開始自SiOC膜中脫離至第1雜質結束自SiOC膜中脫離之期間。即,亦可認為同時開始第1熱處理步驟與第2熱處理步驟,第1熱處理步驟先結束,其後,第2熱處理步驟結束。再者,即便同時開始第1熱處理步驟與第2熱處理步驟,第1溫度亦不包含會發生上述非所需之反應之溫度帶,因此於 同時進行第1熱處理步驟與第2熱處理步驟時,不會發生上述非所需之反應。
根據該退火順序,充分地確保將晶圓200之溫度維持於第1溫度之時間,藉此可分別適當地進行第1熱處理步驟與第2熱處理步驟。即,藉由於第1熱處理步驟結束後充分地確保將晶圓200之溫度維持於第1溫度之時間,可不使晶圓200之溫度進而上升,而確實地實施第2熱處理步驟。
又,根據該退火順序,將第2溫度設為與第1溫度同等之溫度,即,不使晶圓200之溫度上升至超過第1溫度之溫度,因此可良好地進行晶圓200受到之熱歷程之控制。又,根據該退火順序,無需使晶圓200之溫度上升至超過第1溫度之溫度,因此可使用相對輸出較小之加熱器207,可降低基板處理裝置之製造成本。
又,根據該退火順序,不進行使晶圓200之溫度上升至2階段之控制,因此可簡化溫度控制。例如,若注意於使剛成膜之晶圓200之溫度上升至第1溫度後維持固定保持該溫度之時間,並充分地確保該時間,則可分別適當地進行第1熱處理步驟與第2熱處理步驟。
於上述實施形態等中,對完全自同一氣體供給系統供給惰性氣體、沖洗氣體及不含氧之氣體之例進行了說明。本發明並不限定於該態樣,亦可將惰性氣體供給系統、沖洗氣體供給系統及不含氧之氣體供給系統之全部或一部分設置為其他氣體供給系統。其中,於僅藉由排氣系統於處理室201內產生不含氧之環境氣體之情形時,無需設置不含氧之氣體供給系統。
又,於上述實施形態等中,對使含Si層轉化為SiOC層或SiO層時使用與觸媒氣體一併經熱活化之氧化氣體的例、即於無電 漿之環境下(條件下)供給觸媒氣體與氧化氣體之例進行了說明。本發明並不限定於該態樣,亦可與觸媒氣體一併使用經電漿激發之氧化氣體。即,亦可於電漿之環境下(條件下)供給觸媒氣體與氧化氣體。此時之處理條件例如可設為與上述實施形態或變形例相同之處理條件。
又,於上述實施形態等中,對使用H2O氣體等氧化氣體形成SiOC膜或SiO膜等Si系薄膜之例進行了說明。本發明並不限定於該態樣,例如亦可使用氮化氣體代替氧化氣體,使含有C及Cl之含Si層氮化而形成SiCN膜等Si系薄膜。或者,亦可適當組合氧化氣體或氮化氣體等,而形成SiON膜或SiOCN膜等Si系薄膜。作為氮化氣體,例如可使用氨(NH3)氣、二亞胺(N2H2)氣體、肼(N2H4)氣體、N3H8氣體、含有該等化合物之氣體等。此時之處理條件例如可設為與上述實施形態相同之處理條件。
又,於上述實施形態等中,對使用氯矽烷原料氣體作為SiOC膜或SiO膜之成膜所使用之原料氣體的例進行了說明。本發明並不限定於該態樣,亦可使用氯矽烷原料氣體以外之鹵矽烷原料氣體、例如氟矽烷原料氣體或溴矽烷原料氣體等。此時之處理條件例如可設為與上述實施形態相同之處理條件。
與電晶體之微細化一併對構成閘極電極之側壁分隔件(SWS)等之薄膜,要求成膜溫度之低溫化、對氟化氫(HF)之耐性之提高、介電係數之降低。又,對開發為下一代記憶體之ReRAM用保護膜要求350℃以下之低溫成膜,對MRAM用保護膜要求250℃以下之低溫成膜。對於此種要求,本發明可較佳地應用於使用含有Si、C及鹵素元素且具有Si-C鍵之原料氣體與氧化氣體等形成Si系薄膜(SiOC膜、SiOCN膜、SiCN膜)等薄膜之情形時。
藉由使用利用上述各實施形態或各變形例之方法形成之Si系薄膜作為SWS,可提供洩漏電流較少且加工性優異之裝置形成技術。又,藉由使用利用上述各實施形態或各變形例之方法形成之Si系薄膜作為蝕刻終止層,可提供加工性優異之裝置形成技術。又,根據上述各實施形態或一部分變形例,亦可於低溫區域不使用電漿而形成理想計量比之Si系薄膜。可不使用電漿而形成Si系薄膜,因此例如亦可應用於雙重圖案化技術(DPT,Double Patterning Technology)之自對準雙圖案(SADP,Self-aligned Double Patterning)膜等擔心電漿損壞之步驟。
於上述實施形態等中,對形成含有作為半導體元素之Si之矽系薄膜(SiO膜、SiOC膜、SiCN膜、SiON膜、SiOCN膜)之例進行了說明,但本發明並不限定於此。例如,於形成含有鈦(Ti)、鋯(Zr)、鉿(Hf)、鉭(Ta)、鋁(Al)、鉬(Mo)等金屬元素之金屬系薄膜之情形時,亦可應用本發明。
例如,本發明亦可較佳地應用於形成氧化鈦膜(TiO膜)、氧化鋯膜(ZrO膜)、氧化鉿膜(HfO膜)、氧化鉭膜(TaO膜)、氧化鋁膜(AlO膜)、氧化鉬膜(MoO膜)等金屬氧化膜之情形時。
又,例如,本發明亦可較佳地應用於形成氧碳化鈦膜(TiOC膜)、氧碳化鋯膜(ZrOC膜)、氧碳化鉿膜(HfOC膜)、氧碳化鉭膜(TaOC膜)、氧碳化鋁膜(AlOC膜)、氧碳化鉬膜(MoOC膜)等氧碳化金屬膜之情形時。
又,例如,本發明亦可較佳地應用於形成碳氮化鈦膜(TiCN膜)、碳氮化鋯膜(ZrCN膜)、碳氮化鉿膜(Hf℃N膜)、碳氮化鉭膜(TaCN膜)、碳氮化鋁膜(AlCN膜)、碳氮化鉬膜(MoCN膜)等碳氮化金 屬膜之情形時。
又,例如,本發明亦可較佳地應用於形成氧氮化鈦膜(TiON膜)、氧氮化鋯膜(ZrON膜)、氧氮化鉿膜(HfON膜)、氧氮化鉭膜(TaON膜)、氧氮化鋁膜(AlON膜)、氧氮化鉬膜(MoON膜)等氧氮化金屬膜之情形時。
又,例如,本發明亦可較佳地應用於氧碳氮化鈦膜(TiOCN膜)、氧碳氮化鋯膜(ZrOCN膜)、氧碳氮化鉿膜(HfOCN膜)、氧碳氮化鉭膜(TaOCN膜)、氧碳氮化鋁膜(AlOCN膜)、氧碳氮化鉬膜(MoOCN膜)等氧碳氮化金屬膜之情形時。
於此情形時,作為原料氣體,可使用含有金屬元素之原料氣體代替上述實施形態中之含有Si之原料氣體,藉由與上述實施形態相同順序進行成膜。
例如,於形成含有Ti之金屬系薄膜(TiO膜、TiOC膜、TiCN膜、TiON膜、TiOCN膜)之情形時,作為含有Ti之原料氣體,可使用含有Ti、C及鹵素元素且具有Ti-C鍵之原料氣體、或含有Ti及鹵素元素之原料氣體。作為含有Ti及鹵素元素之原料氣體,例如可使用四氯化鈦(TiCl4)等含有Ti及氯基之原料氣體、或四氟化鈦(TiF4)等含有Ti及氟基之原料氣體。作為氧化氣體、氮化氣體、胺系觸媒氣體或不含氧之氣體,可使用與上述實施形態相同之氣體。此時之處理條件例如可設為與上述實施形態相同之處理條件。
又,例如,於形成含有Zr之金屬系薄膜(ZrO膜、ZrOC膜、ZrCN膜、ZrON膜、ZrOCN膜)之情形時,作為含有Zr之原料氣體,可使用含有Zr、C及鹵素元素且具有Zr-C鍵之原料氣體、或含有Zr及鹵素元素之原料氣體。作為含有Zr及鹵素元素之原料氣體,例如 可使用四氯化鋯(ZrCl4)等含有Zr及氯基之原料氣體、或四氟化鋯(ZrF4)等含有Zr及氟基之原料氣體。作為氧化氣體、氮化氣體、胺系觸媒氣體或不含氧之氣體,可使用與上述實施形態相同之氣體。此時之處理條件例如可設為與上述實施形態相同之處理條件。
又,例如,於形成含有Hf之金屬系薄膜(HfO膜、HfOC膜、HfCN膜、HfON膜、HfOCN膜)之情形時,作為含有Hf之原料氣體,可使用含有Hf、C及鹵素元素且具有Hf-C鍵之原料氣體、或含有Hf及鹵素元素之原料氣體。作為含有Hf及鹵素元素之原料氣體,例如可使用四氯化鉿(HfCl4)等含有Hf及氯基之原料氣體、或四氟化鉿(HfF4)等含有Hf及氟基之原料氣體。作為氧化氣體、氮化氣體、胺系觸媒氣體或不含氧之氣體,可使用與上述實施形態相同之氣體。此時之處理條件例如可設為與上述實施形態相同之處理條件。
又,例如,於形成含有Ta之金屬系薄膜(TaO膜、TaOC膜、TaCN膜、TaON膜、TaOCN膜)之情形時,作為含有Ta之原料氣體,可使用含有Ta、C及鹵素元素且具有Ta-C鍵之原料氣體、或含有Ta及鹵素元素之原料氣體。作為含有Ta及鹵素元素之原料氣體,例如可使用五氯化鉭(TaCl5)等含有Ta及氯基之原料氣體、或五氟化鉭(TaF5)等含有Ta及氟基之原料氣體。作為氧化氣體、氮化氣體、胺系觸媒氣體或不含氧之氣體,可使用與上述實施形態相同之氣體。此時之處理條件例如可設為與上述實施形態相同之處理條件。
又,例如,於形成含有Al之金屬系薄膜(AlO膜、AlOC膜、AlCN膜、AlON膜、AlOCN膜)之情形時,作為含有Al之原料氣體,可使用含有Al、C及鹵素元素且具有Al-C鍵之原料氣體、或含有Al及鹵素元素之原料氣體。作為含有Al及鹵素元素之原料氣體,例如 可使用三氯化鋁(AlCl3)等含有Al及氯基之原料氣體、或三氟化鋁(AlF3)等含有Al及氟基之原料氣體。作為氧化氣體、氮化氣體、胺系觸媒氣體或不含氧之氣體,可使用與上述實施形態相同之氣體。此時之處理條件例如可設為與上述實施形態相同之處理條件。
又,例如,於形成含有Mo之金屬系薄膜(MoO膜、MoOC膜、MoON膜、MoOCN膜)之情形時,作為含有Mo之原料氣體,可使用含有Mo、C及鹵素元素且具有Mo-C鍵之原料氣體、或含有Mo及鹵素元素之原料氣體。作為含有Mo及鹵素元素之原料氣體,例如五氯化鉬(MoCl5)等含有Mo及氯基之原料氣體、或五氟化鉬(MoF5)等含有Mo及氟基之原料氣體。作為氧化氣體、氮化氣體、胺系觸媒氣體或不含氧之氣體,可使用與上述實施形態相同之氣體。此時之處理條件例如可設為與上述實施形態相同之處理條件。
即,本發明可較佳地應用於形成含有半導體元素或金屬元素等既定元素之薄膜之情形時。又,本發明之改質步驟只要為包含水分(H2O)及氯(Cl)作為第1雜質、包含烴化合物(CxHy系之雜質)作為第2雜質之薄膜,則不限於上述成膜方法或膜種類,而可廣泛應用。
該等用於各種薄膜之成膜之製程配方(記載有處理程序或處理條件之程式)較佳為根據基板處理之內容(形成之薄膜之膜種類、組成比、膜質、膜厚、原料氣體、氧化氣體、觸媒氣體、不含氧之氣體之種類等)分別個別地準備(準備複數個)。並且,較佳為於開始基板處理時,根據基板處理之內容,自複數個製程配方之中適當選擇適合之製程配方。具體而言,較佳為經由電氣通訊線路或記錄有該製程配方之記錄媒體(外部記憶裝置123),將根據基板處理之內容個別準備之複數個製程配方,預先儲存(安裝)於具備基板處理裝置之記憶裝置 121c內。並且,較佳為於開始基板處理時,使基板處理裝置所具備之CPU 121a自儲存於記憶裝置121c內之複數個製程配方之中,根據基板處理之內容,適當選擇適合之製程配方。藉由如此構成,可利用1台基板處理裝置通用性且再現性良好地形成各種膜種類、組成比、膜質、膜厚之薄膜。又,可減少操作員之操作負擔(處理程序或處理條件之輸入負擔等),避免操作失誤且迅速開始基板處理。
上述製程配方並不限於新製作之情形,例如亦可藉由變更已安裝於基板處理裝置之既存之製程配方而準備。於變更製程配方之情形時,亦可經由電氣通訊線路或記錄有該製程配方之記錄媒體,將變更後之製程配方安裝於基板處理裝置。又,亦可操作既存之基板處理裝置所具備之輸入輸出裝置122,直接變更已安裝於基板處理裝置之既存之製程配方。
又,於上述實施形態等成膜順序中,亦對在室溫下形成SiOC膜、SiO膜、積層膜等之例進行了說明。於此情形時,無需進行利用加熱器207之處理室201內之加熱,亦可不於基板處理裝置內設置加熱器207。藉此,可簡化基板處理裝置之加熱系統之構成,可將基板處理裝置設為更廉價且簡單之構造。於此情形時,SiOC膜、SiO膜、積層膜等之改質步驟係於與進行SiOC膜、SiO膜、積層膜等之形成步驟之處理室不同之處理室即以異地之方式進行。
於上述實施形態等中,對以利用阻抗加熱式之加熱器207進行之加熱進行SiOC膜、SiO膜、積層膜等之改質處理(退火處理)的例進行了說明。本發明並不限定於該形態。例如,亦可藉由電漿、紫外線、微波等照射進行上述改質處理。即,上述改質處理不僅使用自加熱器207之傳熱而進行,亦可使用電漿或電磁波等熱以外之活化 手段而進行。於該等之情形時,亦可獲得與上述實施形態等相同之效果。
於藉由照射電漿而進行上述改質處理之情形時,例如可使用電容耦合電漿產生器、電感耦合電漿產生器、電子回旋共振器、表面波電漿產生器、大喇叭波電漿產生器等作為代替加熱器207之活化手段。又,亦可將該等機器與加熱器207組合而使用。使用該等機器,於處理室201內或設置於處理室201外部之緩衝室內,對處理室201內之晶圓200照射例如He、Ar、N2等氣體電漿化而獲得之電漿、即包含荷電粒子及中性粒子且表現集體行為之準中性氣體,藉此可進行上述改質處理。
於藉由照射紫外線進行上述改質處理之情形時,例如可使用氘燈、氦燈、碳弧燈、BRV光源、準分子燈、水銀燈等作為代替加熱器207之活化手段。又,亦可將該等機器與加熱器207組合而使用。藉由自該等光源對處理室201內之晶圓200照射例如10nm~200nm之波長之真空紫外線,可進行上述改質處理。
於藉由照射微波進行上述改質處理之情形時,例如可使用產生波長100μm~1m、頻率3THz~300MHz之電磁波之微波產生器作為代替加熱器207之活化手段。又,亦可將該等機器與加熱器207組合而使用。對處理室201內之晶圓200照射上述波長之微波,作用於SiOC膜、SiO膜、積層膜等膜中即介電質中之電子分極或離子分極等而產生感應加熱,藉此可進行上述改質處理。
於該等情形時,處理條件例如亦可設為與上述實施形態或變形例相同之處理條件。
於上述實施形態等中,對使用一次性處理複數片基板之 分批式之基板處理裝置成膜薄膜之例進行了說明。本發明並不限定於此,亦可較佳地應用於使用一次性處理1片或複數片基板之單片式之基板處理裝置形成薄膜之情形時。又,於上述實施形態中,對使用具有熱壁型之處理爐之基板處理裝置形成薄膜之例進行了說明。本發明並不限定於此,亦可較佳地應用於使用具有冷壁型之處理爐之基板處理裝置形成薄膜之情形時。該等情形時之處理條件例如可設為與上述實施形態相同之處理條件。
上述各實施形態及各變形例可適當組合而使用。又,此時之處理條件例如可設為與上述實施形態相同處理條件。
[實施例] (第1實施例)
作為本發明之實施例,使用上述實施形態中之基板處理裝置,藉由上述實施形態中之圖4(a)之成膜順序於晶圓上形成SiOC膜,其後進行SiOC膜之改質處理,對各SiOC膜之各種特性進行評價。成膜處理與改質處理係於互不相同之處理室內即以異地之方式進行。作為改質處理,不進行第1熱處理而僅進行第2熱處理。原料氣體使用BTCSM氣體,氧化氣體使用H2O氣體,觸媒氣體使用吡啶氣體,改質處理時之熱處理氣體使用氮氣。處理條件係設為與上述實施形態相同之處理條件。
圖11係表示本實施例之評價結果之圖表,(a)表示熱處理前後之SiOC膜之相對介電係數,(b)表示熱處理前後之SiOC膜之濕式蝕刻速率(WER),(c)表示SiOC膜之WER之熱處理之溫度依存性。
圖11(a)之圖表之橫軸表示SiOC膜之處理狀態,自左側 起依序表示於將晶圓之溫度設為60℃而成膜之狀態下不受熱處理之SiOC膜之例(60℃剛成膜),於將晶圓之溫度設為60℃而成膜後在氮氣之環境下將晶圓之溫度設為600℃並進行30分鐘熱處理而成之SiOC膜之例(600℃、30min、N2、退火)。又,圖表之縱軸表示SiOC膜之相對介電係數(κ值)。所謂SiOC膜之相對介電係數,係指SiOC膜之介電係數ε相對於真空之介電係數εO之比εr=ε/εO
根據圖11(a)可知,本實施例中之熱處理前之SiOC膜之相對介電係數為7.76。又,根據本發明者等所進行之其他評價,以相對高溫成膜之SiOC膜之相對介電係數為4.5左右。可知本實施例中之SiOC膜之相對介電係數高於熱處理前之相對介電係數。相對於此,可知本實施例中之熱處理後之SiOC膜之相對介電係數為3.58,大幅低於上述以相對高溫成膜之SiOC膜之相對介電係數(4.5左右)、或一般之熱氧化膜之相對介電係數(3.9左右)。認為其主要因素在於:藉由SiOC膜之熱處理,將於低溫條件下形成之SiOC膜中所含之水分或Cl等雜質等會提高介電係數之物質自SiOC膜中去除;及將SiOC膜多孔化。
圖11(b)之圖表之橫軸與圖11(a)相同,自左側起依序分別表示「60℃剛成膜」及「600℃、30min、N2、退火」。又,圖表之縱軸表示SiOC膜之利用1%濃度之含氟化氫之液體(1%HF水溶液)之WER[a.u.]。此處,WER係每單位時間之蝕刻深度,該值越小,表示對HF之耐性(耐蝕刻性)越高。
可知圖11(b)中之熱處理前之SiOC膜根據其WER而具備相對良好之耐蝕刻性。確認到該SiOC膜之WER低於本發明者等於其他評價中在低溫條件下成膜之SiO膜之WER。又,根據圖11(b)可知,熱處理後之SiOC膜之WER係熱處理前之SiOC膜之WER之1/8 以下之值。其相當於低於一般之熱氧化膜之WER之值。即,可知藉由對SiOC膜進行熱處理,可減少SiOC膜中之雜質,提高耐蝕刻性。
圖11(c)之圖表之橫軸表示於將晶圓之溫度設為60℃而成膜後在氮氣之環境氣體下進行30分鐘熱處理而成之SiOC膜於熱處理時之溫度條件,自左側起依序表示200℃、300℃、500℃、600℃、630℃之例。又,圖表之縱軸與圖11(b)同樣地表示SiOC膜之利用1%HF水溶液之WER[a.u]。
根據圖11(c)可知,於熱處理時之溫度為200℃之情形時,根據其WER可充分地獲得由改質處理產生之效果。又,根據圖11(c)可知,熱處理時之溫度為300℃時之WER成為熱處理時之溫度為200℃時之WER之約一半,獲得進一步良好之結果。又,可知熱處理時之溫度為500℃時,WER之降低變得更顯著,可獲得不遜於較其溫度更高即600℃、630℃之結果。可知熱處理時之溫度為500℃、600℃、630℃時之WER均為熱處理時之溫度為200℃時之WER的約十分之一以下。由此可知,藉由將熱處理時之溫度設為至少500℃以上,可獲得提高耐蝕刻性之顯著效果。又,可知雖然於500℃以上之溫度下WER之下降程度不明顯,但於630℃下WER會進一步下降。630℃下之WER係500℃下之WER之70%左右。因此,可預測到藉由將熱處理時之溫度設為630℃或設為其以上之溫度,可獲得更優異之耐蝕刻性。如此可知,藉由提高熱處理時之溫度,降低SiOC膜之WER之效果進一步提高。
(第2實施例)
作為本發明之實施例,使用上述實施形態中之基板處理裝置,藉 由上述實施形態中之圖4(a)之成膜順序於晶圓上形成SiOC膜,其後進行SiOC膜之改質處理。成膜處理與改質處理係於互不相同之處理室內即以異地之方式進行。
此處,作為改質處理,準備以圖14(a)所示之退火順序進行第1熱處理及第2熱處理兩者之樣品(樣品1)、及不進行第1熱處理而僅進行第2熱處理之樣品(樣品2)。並且,對各樣品之SiOC膜之各種特性進行評價。
於製作各樣品時,原料氣體使用BTCSM氣體,氧化氣體使用H2O氣體,觸媒氣體使用吡啶氣體,改質處理時之熱處理氣體使用氮氣。將樣品1之第1熱處理中之晶圓之溫度(第1溫度)設為450℃,將第2熱處理中之晶圓之溫度(第2溫度)設為600℃。其以外之處理條件係設為與上述實施形態相同之處理條件。
圖13係表示本實施例之評價結果之圖,將樣品1之SiOC膜與樣品2之SiOC膜之各種特性(WER、收縮率(shrink rate)、κ值(相對介電係數))加以比較並匯總於表中。
根據圖13可知,樣品1之SiOC膜之WER係樣品2之SiOC膜之WER之1/17以下,樣品1之SiOC膜之WER遠小於樣品2之SiOC膜之WER。又,可知樣品2之SiOC膜之WER亦相對較小,樣品2之SiOC膜亦具有相對良好之耐蝕刻性。即,可知樣品1之SiOC膜之WER進一步小於該較小之WER(樣品2之SiOC膜之WER),樣品1之SiOC膜具有進一步超過其良好之耐蝕刻性(樣品2之SiOC膜之耐蝕刻性)之耐蝕刻性。認為其結果為,於樣品2之SiOC膜之情形時,藉由第2熱處理將SiOC膜中所含之水分或Cl等雜質自SiOC膜中去除,相對於此,於樣品1之SiOC膜之情形時,藉由階段性地進行第1 熱處理及第2熱處理,將SiOC膜中所含之水分或Cl等雜質、及CxHy系之雜質自SiOC膜中充分地去除。
又,根據圖13可知,樣品1之SiOC膜之收縮率係樣品2之SiOC膜之收縮率之9/10左右,樣品1之SiOC膜之收縮率小於樣品2之SiOC膜之收縮率。此處,所謂收縮率,係表示改質處理後之SiOC膜相對於改質處理前之SiOC膜之收縮率、即SiOC膜因改質處理而收縮之比例。即,可知樣品1之SiOC膜與樣品2之SiOC膜相比,不會因改質處理而收縮。反之,可知樣品2之SiOC膜與樣品1之SiOC膜相比,會因改質處理而收縮。
認為樣品1之SiOC膜之收縮率較小之原因在於:樣品1之SiOC膜藉由階段性地實施第1熱處理及第2熱處理、即進行2階段溫度不同之熱處理,可抑制由自SiOC膜中脫離之水分或Cl等引起之SiOC膜之氧化,抑制膜收縮率。又,認為樣品2之SiOC膜之收縮率較大之原因在於:樣品2之SiOC膜因不實施第1熱處理而僅實施第2熱處理、即以1階段於相對較高之溫度下進行熱處理,而使SiOC膜被自SiOC膜中脫離之水分或Cl等氧化,SiOC膜變得易收縮。
又,根據圖13可知,樣品1之SiOC膜之相對介電係數(2.68)小於樣品2之SiOC膜之相對介電係數(3.58)。又,可知樣品2之SiOC膜之相對介電係數(3.58)係大幅低於一般之熱氧化膜之相對介電係數(3.9左右)之相對介電係數,但樣品1之SiOC膜之相對介電係數(2.68)係進一步低於其之相對介電係數。
認為樣品2之SiOC膜之相對介電係數大幅低於一般之熱氧化膜之相對介電係數之主要因素在於:藉由對SiOC膜進行之第2熱處理,將SiOC膜中所含之水分或Cl等雜質等會提高介電係數之物 質自SiOC膜中去除;及將SiOC膜多孔化。認為樣品1之SiOC膜之相對介電係數大幅低於一般之熱氧化膜之相對介電係數或樣品2之SiOC膜之相對介電係數之主要因素在於:藉由對SiOC膜所進行之第1熱處理及第2熱處理,即,藉由改變溫度階段性地進行之熱處理,將SiOC膜中所含之水分或Cl等雜質等會提高介電係數之物質及CxHy系之雜質等會提高介電係數之物質自SiOC膜中充分地去除;以及進一步進行SiOC膜之多孔化。
(第3實施例)
作為本發明之實施例,使用上述實施形態中之基板處理裝置,藉由上述實施形態中之圖4(a)之成膜順序於晶圓上形成SiOC膜,其後進行SiOC膜之改質處理。成膜處理與改質處理係於互不相同之處理室內即以異地之方式進行。
此處,準備於將晶圓之溫度設為60℃而成膜後在氮氣之環境氣體下將晶圓之溫度設為100℃並進行熱處理而成的樣品(樣品1);於將晶圓之溫度設為60℃而成膜後,作為改質處理,在氮氣之環境氣體下將晶圓之溫度設為200℃並進行熱處理而成的樣品2;於將晶圓之溫度設為60℃而成膜後,作為改質處理,以圖15之退火順序進行第1熱處理及第2熱處理而成的樣品3;及於將晶圓之溫度設為60℃而成膜後,作為改質處理,以圖14(a)之退火順序進行第1熱處理及第2熱處理而成的樣品(樣品4~6)。並且,對各樣品之SiOC膜之WER進行評價。
於製作各樣品時,原料氣體使用BTCSM氣體,氧化氣體使用H2O氣體,觸媒氣體使用吡啶氣體,SiOC膜改質處理時之熱處 理氣體使用氮氣。將樣品3之第1熱處理及第2熱處理中之晶圓之溫度(第1溫度、第2溫度)設為300℃。將樣品4~6之第1熱處理中之晶圓之溫度(第1溫度)分別設為450℃。將樣品4~6之第2熱處理中之晶圓之溫度(第2溫度)分別設為500℃、600℃、630℃。其他條件,即維持於第1溫度或第2溫度之時間、升溫或降溫所需之時間等係如圖16(b)之表所示。其以外之處理條件係設為與上述實施形態相同之處理條件。
圖16(a)係表示樣品1~6之WER之圖表,圖16(b)係將樣品1~6之退火順序之熱處理條件加以比較並匯總於表中。圖16(a)之橫軸表示各樣品,縱軸表示利用1%HF水溶液之SiOC膜之WER[Å/min]。
根據圖16(a)可知,樣品2~6之SiOC膜與樣品1之SiOC膜相比,WER非常小即耐蝕刻性極為良好。尤其可知,將第1溫度、第2溫度分別設定為上述實施形態所例示之範圍內之溫度的樣品3~6中,WER進一步減小,耐蝕刻性進一步良好。再者,可知將第2溫度設定為高於第1溫度之溫度的樣品4~6與將第2溫度設定為與第1溫度相同之溫度的樣品3相比,WER較小,耐蝕刻性更良好。認為其主要因素在於:藉由於上述實施形態中記載之條件範圍內進行第1熱處理及第2熱處理,將SiOC膜中所含之水分或Cl等第1雜質及CxHy系之第2雜質自SiOC膜中充分地去除。
(第4實施例)
作為本發明之實施例,使用上述實施形態中之基板處理裝置,藉由上述實施形態中之圖4(a)之成膜順序於晶圓上形成SiOC膜,其後進 行SiOC膜之改質處理。成膜處理與改質處理係於互不相同之處理室內即以異地之方式進行。
此處,準備於將晶圓之溫度設為60℃而形成SiOC膜之剛成膜狀態之樣品(樣品1);於將晶圓之溫度設為60℃而形成SiOC膜後,以圖15之退火順序進行第1熱處理及第2熱處理而成的樣品(樣品2~4);及於將晶圓之溫度設為60℃而形成SiOC膜後,以圖14(a)之退火順序進行第1熱處理及第2熱處理而成的樣品(樣品5~8)。並且,對各樣品之SiOC膜之相對介電係數進行評價。
於製作樣品1~8時,原料氣體使用BTCSM氣體,氧化氣體使用H2O氣體,觸媒氣體使用吡啶氣體,SiOC膜改質處理時之熱處理氣體使用氮氣。將樣品2~4之第1熱處理及第2熱處理中之晶圓之溫度(=第1溫度=第2溫度)分別設為300℃、400℃、600℃。將樣品5之第1熱處理中之晶圓之溫度(第1溫度)設為60℃,將第2熱處理中之晶圓之溫度(第2溫度)設為200℃。將樣品6~8之第1熱處理中之晶圓之溫度(第1溫度)分別設為450℃,將第2熱處理中之晶圓之溫度(第2溫度)分別設為500℃、630℃、700℃。其以外之處理條件係設為與上述實施形態相同之處理條件。
又,作為參考例,藉由交替進行既定次數之原料氣體及觸媒氣體之供給、與氧化氣體及觸媒氣體之供給的成膜順序,而於晶圓上形成SiO膜,其後進行SiO膜之改質處理。成膜處理與改質處理係於不同之處理室內即以異地之方式進行。
此處,準備將晶圓之溫度設為60℃而形成SiO膜之剛成膜狀態之樣品(樣品9);及於將晶圓之溫度設為60℃而形成SiO膜後,作為改質處理,不進行第1熱處理而僅進行第2熱處理而成的樣品(樣 品10)。並且,對各樣品之SiO膜之相對介電係數進行評價。
於製作樣品9、10時,原料氣體使用HCDS氣體,氧化氣體使用H2O氣體,觸媒氣體使用吡啶氣體,改質處理時之熱處理氣體使用氮氣。將樣品10之第2熱處理中之晶圓之溫度(第2溫度)設為600℃。其以外之處理條件係設為與上述實施形態相同之處理條件。
圖17係表示樣品1~10之相對介電係數(κ值)之圖表,橫軸表示第2熱處理中之晶圓之溫度(℃),縱軸表示相對介電係數。於該圖中,方便起見將樣品1~10分別表述為S1~S10。
根據圖17可知,樣品2~8之SiOC膜與樣品1之SiOC膜或樣品9之SiO膜相比,相對介電係數減小。尤其可知,於將第1溫度、第2溫度分別設定為上述實施形態例示之範圍內之溫度的樣品3~4、6~8中,相對介電係數進一步減小。又,可知樣品3~4、6~8之SiOC膜與樣品10之SiO膜相比,相對介電係數減小。又,可知樣品6~8之SiOC膜之相對介電係數小於3。認為該等之主要因素在於:藉由於上述實施形態中記載之條件範圍內進行第1熱處理及第2熱處理,將於低溫條件下形成之SiOC膜中所含之水分或Cl等雜質或者CxHy系之雜質等會提高介電係數之物質自SiOC膜中去除;及將SiOC膜多孔化。
<本發明之較佳之態樣>
以下,對本發明之較佳之態樣進行附記。
(附記1)
根據本發明之一態樣,可提供一種包括如下步驟之半導體裝置之 製造方法及基板處理方法:於基板上形成薄膜之步驟;藉由在高於上述形成薄膜之步驟中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分(H2O)及氯(Cl)之第1雜質的步驟;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物(CxHy系之雜質)之第2雜質的步驟。
(附記2)
如附記1之方法,其中,較佳為上述去除第1雜質之步驟包括使上述基板之溫度上升至上述第1溫度的期間之至少一部分。
(附記3)
如附記1或2之方法,其中,較佳為上述去除第1雜質之步驟包括將上述基板之溫度固定維持為上述第1溫度之期間。
(附記4)
如附記1至3中任一項之方法,其中,較佳為上述第2溫度為高於上述第1溫度之溫度。又,上述去除第2雜質之步驟包括使上述基板之溫度上升至上述第2溫度的期間之至少一部分。
(附記5)
如附記1至4中任一項之方法,其中,較佳為上述去除第2雜質之步驟包括將上述基板之溫度固定維持為上述第2溫度之期間。
(附記6)
如附記1至5中任一項之方法,其中,較佳為上述去除第2雜質之步驟包括使上述基板之溫度自上述第2溫度下降的期間之至少一部分。
(附記7)
如附記1至3中任一項之方法,其中,較佳為上述第2溫度為與上述第1溫度同等之溫度(同一溫度)。又,上述去除第2雜質之步驟包括將上述基板之溫度維持為上述第1溫度之期間。
(附記8)
如附記1至7中任一項之方法,其中,較佳為將上述第1溫度設為自上述薄膜中去除上述第1雜質時,不會因上述第1雜質而使上述薄膜氧化之溫度。又,較佳為將上述第1溫度設為自上述薄膜中去除上述第1雜質時,上述第1雜質與和上述薄膜中所含之上述第1雜質不同之雜質不會發生反應之溫度。又,較佳為將上述第1溫度設為自上述薄膜中去除上述第1雜質時上述第1雜質與上述薄膜中所含之上述第2雜質不會發生反應之溫度。
(附記9)
如附記1至8中任一項之方法,其中,較佳為將上述第1溫度設為300℃以上且450℃以下之範圍內之溫度。更佳為將上述第1溫度設為300℃以上且400℃以下之範圍內之溫度,進而較佳為設為300℃以上且350℃以下之範圍內之溫度。
(附記10)
如附記1至9中任一項之方法,其中,較佳為將上述第2溫度設為300℃以上且900℃以下之範圍內之溫度。更佳為將上述第2溫度設為350℃以上且700℃以下之範圍內之溫度,進而較佳為設為400℃以上且700℃以下之範圍內之溫度,進而較佳為設為450℃以上且600℃以下之範圍內之溫度。
(附記11)
如附記1至10中任一項之方法,其中,較佳為上述薄膜含有既定元素、氧及碳。
(附記12)
如附記11之方法,其中,較佳為於上述形成薄膜之步驟中,進行既定次數之包括如下步驟之循環:對上述基板供給含有上述既定元素、碳及鹵素元素且具有上述既定元素與碳之化學鍵之原料氣體的步驟;對上述基板供給氧化氣體之步驟;及對上述基板供給觸媒氣體之步驟。
(附記13)
如附記12之方法,其中,較佳為於上述形成薄膜之步驟中,將上述基板之溫度設為室溫以上且150℃以下之溫度。又,較佳為將上述基板之溫度設為室溫以上且100℃以下之溫度,進而較佳為設為50℃以上且100℃以下之溫度。
(附記14)
如附記12或13之方法,其中,較佳為上述既定元素包含矽(Si),上述原料氣體具有選自由Si-C鍵、Si-C-Si鍵及Si-C-C-Si鍵所組成之群組中之至少1種。
(附記15)
如附記1至14中任一項之方法,其中,較佳為於上述去除第1雜質之步驟及上述去除第2雜質之步驟中,藉由對上述基板供給不含氧之氣體而於不含氧之環境下進行上述熱處理。又,於上述去除第1雜質之步驟及上述去除第2雜質之步驟中,藉由對上述基板供給惰性氣體而於惰性氣體環境氣體下進行上述熱處理。
(附記16)
如附記1至15中任一項之方法,其中,較佳為上述形成薄膜之步驟及對上述薄膜進行熱處理之步驟(去除第1雜質之步驟、去除第2雜質之步驟)係於同一處理室內或互不相同之處理室內進行。
(附記17)
根據本發明之另一態樣,可提供一種基板處理裝置,其具有:處理室,其收容基板;處理氣體供給系統,其將用以形成薄膜之處理氣體供給至上述處理室內;加熱器,其對上述處理室內之基板進行加熱;控制部,其係以進行如下處理之方式控制上述處理氣體供給系統及上述加熱器而構成,上述處理包括:對上述處理室內之基板供給上述處 理氣體而於上述基板上形成薄膜之處理;藉由在高於上述形成薄膜之處理中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分(H2O)及氯(Cl)之第1雜質的處理;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物(CxHy系之雜質)之第2雜質的處理。
(附記18)
根據本發明之又一態樣,可提供一種基板處理系統,其係具有於基板上形成薄膜之第1基板處理部、及對上述薄膜進行熱處理之第2基板處理部者,上述第1基板處理部具有:第1處理室,其收容基板;處理氣體供給系統,其將用以形成薄膜之處理氣體供給至上述第1處理室內;及第1控制部,其係以進行對上述第1處理室內之基板供給上述處理氣體而於上述基板上形成薄膜之處理的方式控制上述處理氣體供給系統而構成;且上述第2基板處理部具有:第2處理室,其收容基板;加熱器,其對上述第2處理室內之基板進行加熱;及第2控制部,其係以進行如下處理之方式控制上述加熱器而構成,上述處理包括:於上述第2處理室內收容形成有上述薄膜之上述基板之狀態下,在高於上述形成薄膜之處理中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,藉此自上述薄膜中去除包含水分(H2O)及氯(Cl)之第1雜質的處理;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物(CxHy系之雜質)之第2雜質的處理。
(附記19)
根據本發明之又一態樣,可提供一種使電腦執行如下程序之程式、及記錄有該程式之可由電腦讀取之記錄媒體,上述程序為:於處理室內之基板上形成薄膜之程序;藉由在高於上述形成薄膜之程序中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分(H2O)及氯(Cl)之第1雜質的程序;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物(CxHy系之雜質)之第2雜質的程序。

Claims (20)

  1. 一種半導體裝置之製造方法,其包括如下步驟:於基板上形成薄膜之步驟;藉由在高於上述形成薄膜之步驟中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分及氯之第1雜質的步驟;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的步驟。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述去除第1雜質之步驟包括使上述基板之溫度上升至上述第1溫度之期間之至少一部分。
  3. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述去除第1雜質之步驟包括將上述基板之溫度固定維持為上述第1溫度之期間。
  4. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述第2溫度為高於上述第1溫度之溫度。
  5. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述去除第2雜質之步驟包括使上述基板之溫度上升至上述第2溫度之期間之至少一部分。
  6. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述去除第2雜質之步驟包括將上述基板之溫度固定維持為上述第2溫度之期間。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述去除 第2雜質之步驟包括使上述基板之溫度自上述第2溫度下降之期間之至少一部分。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述第2溫度為與上述第1溫度同等之溫度。
  9. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述去除第2雜質之步驟包括將上述基板之溫度維持為上述第1溫度之期間。
  10. 如申請專利範圍第1項之半導體裝置之製造方法,其中,將上述第1溫度設為於自上述薄膜中去除上述第1雜質時不因上述第1雜質而使上述薄膜氧化之溫度。
  11. 如申請專利範圍第1項之半導體裝置之製造方法,其中,將上述第1溫度設為於自上述薄膜中去除上述第1雜質時,上述第1雜質、及與上述薄膜中所含之上述第1雜質不同之雜質不發生反應之溫度。
  12. 如申請專利範圍第1項之半導體裝置之製造方法,其中,將上述第1溫度設為於自上述薄膜中去除上述第1雜質時,上述第1雜質與上述薄膜中所含之上述第2雜質不發生反應之溫度。
  13. 如申請專利範圍第1項之半導體裝置之製造方法,其中,將上述第1溫度設為300℃以上且450℃以下之範圍內之溫度。
  14. 如申請專利範圍第1項之半導體裝置之製造方法,其中,將上述第2溫度設為300℃以上且900℃以下之範圍內之溫度。
  15. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述薄膜含有既定元素、氧及碳。
  16. 如申請專利範圍第15項之半導體裝置之製造方法,其中,於上述形 成薄膜之步驟中,進行既定次數之包括如下步驟之循環:對上述基板供給含有上述既定元素、碳及鹵素元素且具有上述既定元素與碳之化學鍵之原料氣體的步驟;對上述基板供給氧化氣體之步驟;及對上述基板供給觸媒氣體之步驟。
  17. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述去除第1雜質之步驟及上述去除第2雜質之步驟中,藉由對上述基板供給不含氧之氣體而於不含氧之環境氣體下進行上述熱處理。
  18. 一種基板處理裝置,其具有:處理室,其收容基板;處理氣體供給系統,其將用以形成薄膜之處理氣體供給至上述處理室內;加熱器,其對上述處理室內之基板進行加熱;及控制部,其係以進行如下處理之方式控制上述處理氣體供給系統及上述加熱器而構成,上述處理為:對上述處理室內之基板供給上述處理氣體而於上述基板上形成薄膜之處理;藉由在高於上述形成薄膜之處理中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分及氯之第1雜質的處理;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的處理。
  19. 一種基板處理系統,其係具有於基板上形成薄膜之第1基板處理部、及對上述薄膜進行熱處理之第2基板處理部者,上述第1基板處理部具有: 第1處理室,其收容基板;處理氣體供給系統,其將用以形成薄膜之處理氣體供給至上述第1處理室內;及第1控制部,其係以進行對上述第1處理室內之基板供給上述處理氣體,而於上述基板上形成薄膜之處理之方式控制上述處理氣體供給系統而構成;且上述第2基板處理部具有:第2處理室,其收容基板;加熱器,其對上述第2處理室內之基板進行加熱;及第2控制部,其係以進行如下處理之方式控制上述加熱器而構成,上述處理為:於上述第2處理室內收容形成有上述薄膜之上述基板之狀態下,在高於上述形成薄膜之處理中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,藉此自上述薄膜中去除包含水分及氯之第1雜質的處理;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的處理。
  20. 一種記錄媒體,其係記錄有使電腦執行如下程序之程式的可由電腦讀取者,上述程序為:於處理室內之基板上形成薄膜之程序;藉由在高於上述形成薄膜之程序中之上述基板之溫度之第1溫度下對上述薄膜進行熱處理,而自上述薄膜中去除包含水分及氯之第1雜質的程序;及藉由於上述第1溫度以上之第2溫度下對上述薄膜進行熱處理,而自於上述第1溫度下進行熱處理後之上述薄膜中去除包含烴化合物之第2雜質的程序。
TW103110085A 2013-03-19 2014-03-18 A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium TWI540643B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2013057173 2013-03-19
JP2014020046 2014-02-05
JP2014025790A JP5864637B2 (ja) 2013-03-19 2014-02-13 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体

Publications (2)

Publication Number Publication Date
TW201448038A TW201448038A (zh) 2014-12-16
TWI540643B true TWI540643B (zh) 2016-07-01

Family

ID=51569450

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103110085A TWI540643B (zh) 2013-03-19 2014-03-18 A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium

Country Status (4)

Country Link
US (2) US9349586B2 (zh)
JP (1) JP5864637B2 (zh)
KR (2) KR101574232B1 (zh)
TW (1) TWI540643B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786471B (zh) * 2019-12-27 2022-12-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
US11923193B2 (en) 2020-02-27 2024-03-05 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5864637B2 (ja) * 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
KR101863477B1 (ko) * 2014-03-13 2018-05-31 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6318188B2 (ja) * 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
WO2017199570A1 (ja) * 2016-05-20 2017-11-23 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP2018067582A (ja) * 2016-10-18 2018-04-26 東芝メモリ株式会社 半導体製造装置及び半導体装置の製造方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6816260B2 (ja) * 2017-03-31 2021-01-20 株式会社Fuji プラズマ発生装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190005741A (ko) * 2017-07-07 2019-01-16 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 금속 산화물 막의 형성 방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11990332B2 (en) 2017-08-08 2024-05-21 Applied Materials, Inc. Methods and apparatus for deposition of low-k films
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) * 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10605486B2 (en) 2017-12-04 2020-03-31 Rheem Manufacturing Company Tank-based and tankless water heater systems
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP6957442B2 (ja) * 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
SG11202108234QA (en) 2019-02-01 2021-08-30 Versum Materials Us Llc Compositions and methods using same for silicon containing films
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) * 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6910387B2 (ja) 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11569102B2 (en) * 2020-02-14 2023-01-31 Applied Materials, Inc. Oxidation inhibiting gas in a manufacturing system
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7329021B2 (ja) 2021-09-14 2023-08-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理システム、およびプログラム
JP2023090251A (ja) * 2021-12-17 2023-06-29 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3533968B2 (ja) * 1998-12-22 2004-06-07 セイコーエプソン株式会社 半導体装置の製造方法
JP3486155B2 (ja) 1999-07-23 2004-01-13 松下電器産業株式会社 層間絶縁膜の形成方法
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
AU2001287574A1 (en) 2000-06-30 2002-01-08 Ingenium Pharmaceuticals Ag Human g protein-coupled receptor igpcr20, and uses thereof
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP2003179026A (ja) * 2001-12-13 2003-06-27 Hitachi Ltd 半導体装置の製造方法
US20030170605A1 (en) 2002-03-11 2003-09-11 Egan Visual Inc. Vapor deposited writing surfaces
JP4107411B2 (ja) * 2002-03-26 2008-06-25 大日本印刷株式会社 積層体およびその製造方法
CN1306599C (zh) * 2002-03-26 2007-03-21 松下电器产业株式会社 半导体装置及其制造方法
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TWI262960B (en) 2003-02-27 2006-10-01 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane
KR100541693B1 (ko) 2004-07-20 2006-01-11 주식회사 하이닉스반도체 실린더형 캐패시터의 하부전극 형성방법
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4854286B2 (ja) * 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
JP5518499B2 (ja) 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
KR101921619B1 (ko) * 2009-12-28 2018-11-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US9123530B2 (en) 2011-03-23 2015-09-01 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8716708B2 (en) * 2011-09-29 2014-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
TWI621185B (zh) * 2011-12-01 2018-04-11 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5864637B2 (ja) * 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786471B (zh) * 2019-12-27 2022-12-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
US11848203B2 (en) 2019-12-27 2023-12-19 Kokusai Electric Corporation Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium
US11923193B2 (en) 2020-02-27 2024-03-05 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
KR20150023615A (ko) 2015-03-05
US20160155634A1 (en) 2016-06-02
KR20140114776A (ko) 2014-09-29
TW201448038A (zh) 2014-12-16
KR101555604B1 (ko) 2015-09-24
US9831082B2 (en) 2017-11-28
US20140287595A1 (en) 2014-09-25
JP5864637B2 (ja) 2016-02-17
KR101574232B1 (ko) 2015-12-03
JP2015165523A (ja) 2015-09-17
US9349586B2 (en) 2016-05-24

Similar Documents

Publication Publication Date Title
TWI540643B (zh) A semiconductor device manufacturing method, a substrate processing apparatus, a substrate processing system, and a recording medium
JP6068661B2 (ja) 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101788440B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102319147B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102186965B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6457101B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP5957128B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体