KR101574232B1 - 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체 Download PDF

Info

Publication number
KR101574232B1
KR101574232B1 KR1020140030754A KR20140030754A KR101574232B1 KR 101574232 B1 KR101574232 B1 KR 101574232B1 KR 1020140030754 A KR1020140030754 A KR 1020140030754A KR 20140030754 A KR20140030754 A KR 20140030754A KR 101574232 B1 KR101574232 B1 KR 101574232B1
Authority
KR
South Korea
Prior art keywords
temperature
gas
thin film
film
substrate
Prior art date
Application number
KR1020140030754A
Other languages
English (en)
Other versions
KR20140114776A (ko
Inventor
사토시 시마모토
타카아키 노다
타케오 하나시마
요시로 히로세
히로시 아시하라
츠카사 카마쿠라
싱고 노하라
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20140114776A publication Critical patent/KR20140114776A/ko
Application granted granted Critical
Publication of KR101574232B1 publication Critical patent/KR101574232B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 뛰어난 에칭 내성을 가지는 저유전율의 박막을 형성한다.
기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정; 상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;을 포함한다.

Description

반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 발명은 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서 기판에 대하여 예컨대 실리콘 등의 소정 원소를 포함하는 원료 가스나 산화 가스 등을 공급하여 기판 상에 실리콘 산화막 등의 박막을 형성하는 공정이 수행되는 경우가 있다. 그 때, 예컨대 촉매 가스를 이용하는 것에 의해 비교적 저온으로의 성막이 가능해져 반도체 장치가 받는 열 이력 등을 개선할 수 있다.
기판 상에 박막을 형성할 때, 예컨대 탄소 등을 박막에 함유시켜서 웨트 에칭에 대한 내성을 향상시키고, 또한 막의 유전율을 저하시키는 등 막질의 향상을 도모하는 경우가 있다.
하지만 비교적 저온의 조건 하에서는 막 중에 충분한 양의 탄소가 취입(取入)되기 어렵거나, 막 중에 수분 등의 불순물이 혼입되는 경우가 있다. 이 때문에 예컨대 충분한 에칭 내성을 가지는 저유전율의 박막을 형성할 수 없다는 등의 과제가 발생한다.
본 발명의 목적은 뛰어난 에칭 내성을 가지는 저유전율의 박막을 형성하는 기술을 제공하는 데 있다.
본 발명의 일 형태에 의하면,
기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 의하면,
기판을 수용하는 처리실;
수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하기 위한 처리 가스를 상기 처리실 내에 공급하는 처리 가스 공급계;
상기 처리실 내의 기판을 가열하는 히터; 및
상기 처리실 내의 기판에 대하여 상기 처리 가스를 공급하여 상기 기판 상에 박막을 형성하는 처리와, 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물을 포함하는 제2 불순물을 제거하는 처리를 수행하도록, 상기 처리 가스 공급계 및 상기 히터를 제어하도록 구성되는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 의하면,
기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 제1 기판 처리부와, 상기 박막을 열처리하는 제2 기판 처리부를 포함하는 기판 처리 시스템으로서,
상기 제1 기판 처리부는,
기판을 수용하는 제1 처리실;
박막을 형성하기 위한 처리 가스를 상기 제1 처리실 내에 공급하는 처리 가스 공급계; 및
상기 제1 처리실 내의 기판에 대하여 상기 처리 가스를 공급하여 상기 기판 상에 박막을 형성하는 처리를 수행하도록 상기 처리 가스 공급계를 제어하도록 구성되는 제1 제어부;를 포함하고,
상기 제2 기판 처리부는,
기판을 수용하는 제2 처리실;
상기 제2 처리실 내의 기판을 가열하는 히터; 및
상기 제2 처리실 내에 상기 박막이 형성된 상기 기판을 수용한 상태에서 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물을 포함하는 제2 불순물을 제거하는 처리를 수행하도록, 상기 히터를 제어하도록 구성되는 제2 제어부;를 포함하는 기판 처리 시스템이 제공된다.
본 발명의 또 다른 형태에 의하면,
처리실 내의 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 순서;
상기 박막을 형성하는 순서에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분 및 염소를 포함하는 제1 불순물을 제거하는 순서; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물을 포함하는 제2 불순물을 제거하는 순서;
를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 의하면, 뛰어난 에칭 내성을 가지는 저유전율의 박막을 형성하는 것이 가능해진다.
도 1은 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형(縱型) 처리로의 개략 구성도이며, 처리로 부분을 종단면도(縱斷面圖)로 도시하는 도면.
도 2는 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면.
도 3은 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도이며, 컨트롤러의 제어계를 블록도로 도시하는 도면.
도 4a 및 도 4b는 본 발명의 제1 실시 형태 및 그 변형예의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, 도 4a는 제1 실시 형태의 시퀀스예를 도시하는 도면이며, 도 4b는 변형예의 시퀀스예를 도시하는 도면.
도 5a 및 도 5b는 본 발명의 제1 실시 형태의 박막 형성 공정의 촉매 반응의 설명도이며, 도 5a는 스텝1a에서의 촉매 반응을 도시하는 도면이며, 도 5b는 스텝2a에서의 촉매 반응을 도시하는 도면.
도 6a 내지 도 6c는 본 발명의 제2 실시 형태 및 그 변형예의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, 도 6a는 제2 실시 형태의 시퀀스예를 도시하는 도면이며, 도 6b는 변형예1의 시퀀스예를 도시하는 도면이며, 도 6c는 변형예2의 시퀀스예를 도시하는 도면.
도 7a 및 도 7b는 본 발명의 제3 실시 형태의 성막 시퀀스에서의 가스 공급의 타이밍을 도시하는 도면이며, 도 7a는 스택(stack)막을 형성하는 시퀀스예를 도시하는 도면이며, 도 7b는 라미네이트 막을 형성하는 시퀀스예를 도시하는 도면.
도 8a 및 도 8b는 본 발명의 제3 실시 형태의 변형예의 성막 시퀀스에서의 가스 공급 및 RF전력 공급의 타이밍을 도시하는 도면이며, 도 8a는 스택막을 형성하는 시퀀스예를 도시하는 도면이며, 도 8b는 라미네이트 막을 형성하는 시퀀스예를 도시하는 도면.
도 9a 내지 도 9f는 원료 가스로서 이용되는 각종 실란의 화학 구조식을 도시하는 도면이며, 각각 BTCSM, BTCSE, TCDMDS, DCTMDS, HCDS, BDEAS의 화학 구조식을 도시하는 도면.
도 10a 내지 도 10f는 촉매 가스로서 이용되는 각종 아민의 명칭, 화학 조성식, 화학 구조식 및 산해리 상수를 도시하는 도면이며, 각각 환 형상 아민, TEA, DEA, MEA, TMA, MMA의 명칭, 화학 조성식, 화학 구조식 및 산해리 상수를 도시하는 도면.
도 11a 내지 도 11c는 본 발명의 실시예의 그래프이며, 도 11a는 열처리 전후에서의 SiOC막의 비유전율을 도시하는 도면이며, 도 11b는 열처리 전후에서의 SiOC막의 웨트 에칭 레이트를 도시하는 도면이며, 도 11c는 SiOC막의 웨트 에칭 레이트의 열처리의 온도 의존성을 도시하는 도면.
도 12a 내지 도 12c는 본 발명의 제1 실시 형태의 성막 시퀀스에 의해 형성한 열처리 전의 SiOC막의 TDS에 의한 탈리(脫離) 스펙트럼을 예시하는 도면이며, 도 12a는 H2O의 탈리 스펙트럼을, 도 12b는 Cl의 탈리 스펙트럼을, 도 12c는 C2H2의 탈리 스펙트럼을 예시하는 그래프.
도 13은 본 발명의 실시예의 평가 결과를 도시하는 도면이며, 샘플1의 SiOC막과 샘플2의 SiOC막의 각종 특성을 비교해서 표로 정리한 도면.
도 14a 내지 도 14d는 제2 온도를 제1 온도보다 높은 온도로 한 경우의 열처리 공정의 온도 제어 시퀀스를 도시하는 도면이며, 도 14b 내지 도 14d는 그 변형예를 도시하는 도면.
도 15는 제2 온도를 제1 온도와 동등한 온도로 한 경우의 열처리 공정의 온도 제어 시퀀스를 도시하는 도면.
도 16a 및 도 16b는 본 발명의 실시예의 평가 결과를 도시하는 도면이며, 도 16a는 샘플1 내지 샘플6의 SiOC막의 웨트 에칭 레이트를 도시하는 그래프이며, 도 16b는 각 샘플의 열처리 조건을 비교해서 표로 정리한 도면.
도 17은 본 발명의 실시예의 평가 결과를 도시하는 도면이며, 샘플1 내지 샘플8의 SiOC막 및 샘플9, 샘플10의 SiO막의 비유전율을 도시하는 그래프.
<제1 실시 형태>
이하, 본 발명의 제1 실시 형태에 대하여 도면을 참조하면서 설명한다.
(1) 기판 처리 장치의 전체 구성
도 1에 도시하는 바와 같이 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해 수직으로 설치된다. 히터(207)는 후술하는 바와 같이 가스를 열로 활성화[여기(勵起)]시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응관(203)이 배설(配設)된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색(閉塞)되고 하단이 개구(開口)된 원통 형상으로 형성된다. 반응관(203)의 하방(下方)에는 반응관(203)과 동심원 형상으로 매니폴드(209)(인렛 플랜지)가 배설된다. 매니폴드(209)는 예컨대 스텐레스 등의 금속으로 구성되고, 상단 및 하단이 개구된 원통 형상으로 형성된다. 매니폴드(209)의 상단부는 반응관(203)의 하단부에 계합(係合)되고 반응관(203)을 지지하도록 구성된다. 매니폴드(209)와 반응관(203) 사이에는 씰 부재로서의 O링(220a)가 설치된다. 매니폴드(209)가 히터 베이스로 지지되는 것에 의해 반응관(203)은 수직으로 설치된 상태가 된다. 주로 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통중공부(筒中空部)에는 처리실(201)이 형성된다. 처리실(201)은 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 수용 가능하도록 구성된다.
처리실(201) 내에는 노즐(249a 내지 249c)이 매니폴드(209)의 측벽을 관통하도록 설치된다. 노즐(249a 내지 249c)에는 가스 공급관(232a 내지 232c)이 각각 접속된다. 가스 공급관(232a)에는 가스 공급관(232d 내지 232f)이 접속된다. 가스 공급관(232b)에는 가스 공급관(232g, 232h)이 접속된다. 가스 공급관(232c)에는 가스 공급관(232i)이 접속된다. 이와 같이 처리 용기에는 3개의 노즐(249a 내지 249c)과, 복수 개의 가스 공급관(232a 내지 232i)이 설치되고, 처리실(201) 내에 복수 종류의 가스를 공급할 수 있도록 구성된다.
가스 공급관(249a 내지 249i)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241a 내지 241i)(MFC) 및 개폐 밸브인 밸브(243a 내지 243i)가 각각 설치된다. 가스 공급관(232a 내지 232c)의 밸브(243a 내지 243c)보다 하류측에는 불활성 가스를 공급하는 가스 공급관(232j 내지 232l)이 각각 접속된다. 가스 공급관(232j 내지 232l)에는 상류 방향부터 순서대로 MFC(241j 내지 241l) 및 밸브(243j 내지 243l)가 각각 설치된다.
가스 공급관(232a, 232c)의 선단부(先端部)에는 노즐(249a, 249c)이 각각 접속된다. 노즐(249a, 249c)은 도 2에 도시하는 바와 같이 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원환 형상[圓環狀]의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방(上方)을 향하여 상승[立上]하도록 각각 설치된다. 즉 노즐(249a, 249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 각각 설치된다. 노즐(249a, 249c)은 L자형의 롱 노즐로서 각각 구성되고, 이들의 각 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되고, 이들의 각 수직부는 적어도 웨이퍼 배열 영역의 일단측(一端側)으로부터 타단측(他端側)을 향하여 상승하도록 설치된다. 노즐(249a, 249c)의 측면에는 가스를 공급하는 가스 공급공(250a, 250c)이 각각 설치된다. 가스 공급공(250a, 250c)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 가스 공급공(250a, 250c)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
가스 공급관(232b)의 선단부에는 노즐(249b)이 접속된다. 노즐(249b)은 가스 분산 공간인 버퍼실(237) 내에 설치된다. 버퍼실(237)은 도 2에 도시하는 바와 같이 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원환 형상의 공간에, 또한 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에 웨이퍼(200)의 적재 방향을 따라 설치된다. 즉 버퍼실(237)은 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부(端部)에는 가스를 공급하는 가스 공급공(250d)이 설치된다. 가스 공급공(250d)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 가스 공급공(250d)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.
노즐(249b)은 버퍼실(237)의 가스 공급공(250d)이 설치된 단부와 반대측의 단부에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향하여 상승하도록 설치된다. 즉 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 노즐(249b)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 매니폴드(209)의 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향하여 상승하도록 설치된다. 노즐(249b)의 측면에는 가스를 공급하는 가스 공급공(250b)이 설치된다. 가스 공급공(250b)은 버퍼실(237)의 중심을 향하도록 개구된다. 가스 공급공(250b)은 가스 공급공(250d)과 마찬가지로 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치된다. 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우, 복수의 가스 공급공(250b)의 개구 면적 및 개구 피치를 상류측(하부)으로부터 하류측(상부)에 걸쳐 각각 동일하게 하면 좋다. 또한 버퍼실(237) 내와 처리실(201) 내의 차압이 큰 경우, 가스 공급공(250b)의 개구 면적을 상류측으로부터 하류측을 향하여 서서히 크게 하거나, 가스 공급공(250b)의 개구 피치를 상류측으로부터 하류측을 향하여 서서히 작게 하면 좋다.
가스 공급공(250b)의 각각의 개구 면적이나 개구 피치를 상류측으로부터 하류측에 걸쳐서 전술과 같이 조절하는 것에 의해, 가스 공급공(250b)의 각각으로부터 유속의 차이는 있지만 유량이 거의 같은 양의 가스를 분출시키는 것이 가능해진다. 그리고 이들 복수의 가스 공급공(250b)의 각각으로부터 분출하는 가스를 일단 버퍼실(237) 내에 도입하는 것에 의해, 버퍼실(237) 내에서 가스의 유속 차이의 균일화를 수행하는 것이 가능해진다. 복수의 가스 공급공(250b)의 각각으로부터 버퍼실(237) 내에 분출한 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 복수의 가스 공급공(250d)으로부터 처리실(201) 내에 분출한다. 복수의 가스 공급공(250b)의 각각으로부터 버퍼실(237) 내에 분출한 가스는 가스 공급공(250d)의 각각으로부터 처리실(201) 내에 분출할 때에는 균일한 유량과 유속을 가지는 가스가 된다.
이와 같이 본 실시 형태에서는 반응관(203)의 내벽과, 적재된 복수의 웨이퍼(200)의 단부로 정의되는 원환 형상의 세로로 긴 공간 내, 즉 원통 형상의 공간 내에 배치한 노즐(249a 내지 249c) 및 버퍼실(237)을 경유하여 가스를 반송한다. 그리고 노즐(249a 내지 249c) 및 버퍼실(237)에 각각 개구된 가스 공급공(250a 내지 250d)으로부터 웨이퍼(200)의 근방에서 처음 반응관(203) 내에 가스를 분출시킨다. 그리고 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 한다. 이와 같은 구성으로 하는 것에 의해, 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있고, 각 웨이퍼(200)에 형성되는 막의 막 두께의 균일성을 향상시키는 것이 가능해진다. 웨이퍼(200)의 표면 상을 흐른 가스, 즉 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향하여 흐른다. 단, 이 잔류 가스가 흐르는 방향은 배기구의 위치에 의해 적절히 특정되고, 수직 방향으로 한정되지 않는다.
가스 공급관(232a)으로부터는 소정 원소, C 및 할로겐 원소를 포함하고, 소정 원소와 C의 화학 결합을 포함하는 원료 가스로서, 예컨대 소정 원소로서의 Si, 알킬렌기(基) 및 할로겐기를 포함하고, Si와 C의 화학 결합(Si-C 결합)을 가지는 알킬렌할로실란 원료 가스가 MFC(241a), 밸브(243a), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 알킬렌기란 일반식 CnH2n+2로 나타내어지는 쇄 형상[鎖狀] 포화 탄화수소(알칸)로부터 수소(H)를 2개 제거한 관능기이며, 일반식 CnH2n으로 나타내어지는 원자의 집합체다. 알킬렌기에는 메틸렌기, 에틸렌기, 프로필렌기, 부틸렌기 등이 포함된다. 할로겐기에는 클로로기, 플루오로기, 브로모기 등이 포함된다. 즉 할로겐기에는 염소(Cl), 불소(F), 취소(Br, 臭素) 등의 할로겐 원소가 포함된다.
알킬렌할로실란 원료 가스로서는 예컨대 Si, 알킬렌기로서의 메틸렌기(-CH2-) 및 할로겐기로서의 클로로기(Cl)를 포함하는 원료 가스, 즉 메틸렌기를 포함하는 클로로실란 원료 가스나, Si, 알킬렌기로서의 에틸렌기(-C2H4-) 및 할로겐기로서의 클로로기(Cl)를 포함하는 원료 가스, 즉 에틸렌기를 포함하는 클로로실란 원료 가스를 이용할 수 있다. 메틸렌기를 포함하는 클로로실란 원료 가스로서는 예컨대 메틸렌비스(트리클로로실란) 가스, 즉 비스(트리클로로실릴)메탄 [(SiCl3)2CH2, 약칭: BTCSM] 가스 등을 이용할 수 있다. 에틸렌기를 포함하는 클로로실란 원료 가스로서는 예컨대 에틸렌비스(트리클로로실란) 가스, 즉 1,2-비스(트리클로로실릴)에탄[(SiCl3)2C2H4, 약칭: BTCSE] 가스 등을 이용할 수 있다.
도 9a에 도시하는 바와 같이 BTCSM은 그 화학 구조식 중(1분자 중)에 알킬렌기로서의 메틸렌기를 1개 포함한다. 메틸렌기가 포함하는 2개의 결합수(結合手)는 각각 Si와 결합하여 Si-C-Si 결합을 구성한다.
도 9b에 도시하는 바와 같이 BTCSE는 1분자 중에 알킬렌기로서의 에틸렌기를 1개 포함한다. 에틸렌기가 포함하는 2개의 결합수는 각각 Si와 결합하여 Si-C-C-Si 결합을 구성한다.
가스 공급관(232d)으로부터는 소정 원소, C 및 할로겐 원소를 포함하고, 소정 원소와 C의 화학 결합을 포함하는 원료 가스로서 예컨대 소정 원소로서의 Si, 알킬기 및 할로겐기를 포함하고, Si-C 결합을 포함하는 알킬할로실란 원료 가스가 MFC(241d), 밸브(243d), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 알킬기란 일반식 CnH2n+2로 나타내어지는 쇄 형상 포화 탄화수소로부터 H를 1개 제거한 관능기이며, 일반식 CnH2n+1로 나타내어지는 원자의 집합체다. 알킬기에는 메틸기, 에틸기, 프로필기, 부틸기 등이 포함된다. 할로겐기에는 클로로기, 플루오로기, 브로모기, 즉 Cl, F, Br 등의 할로겐 원소가 포함된다.
알킬할로실란 원료 가스로서는 예컨대 Si, 알킬기로서의 메틸기(-CH3) 및 할로겐기로서의 클로로기(Cl)를 포함하는 원료 가스, 즉 메틸기를 포함하는 클로로실란 원료 가스를 이용할 수 있다. 메틸기를 포함하는 클로로실란 원료 가스로서는 예컨대 1,1,2,2-테트라클로로-1,2-디메틸디실란[(CH3)2Si2Cl4, 약칭: TCDMDS] 가스, 1,2-디클로로-1,1,2,2-테트라메틸디실란[(CH3)4Si2Cl2, 약칭: DCTMDS] 가스, 1-모노클로로-1,1,2,2,2-펜타메틸디실란[(CH3)5Si2Cl, 약칭: MCPMDS] 가스 등을 이용할 수 있다. TCDMDS가스, DCTMDS가스 등의 알킬할로실란 원료 가스는 BTCSE가스, BTCSM가스 등의 알킬렌할로실란 원료 가스와는 다르고, Si-Si 결합을 포함하는 가스, 즉 소정 원소 및 할로겐 원소를 포함하고, 소정 원소끼리의 화학 결합을 포함하는 원료 가스이기도 하다.
도 9c에 도시하는 바와 같이 TCDMDS는 1분자 중에 알킬기로서의 메틸기를 2개 포함한다. 2개의 메틸기가 포함하는 각 결합수는 각각 Si와 결합하여 Si-C 결합을 구성한다. TCDMDS는 디실란의 유도체이며, Si-Si 결합을 포함한다. 즉 TCDMDS는 Si끼리가 결합하고, 또한 Si와 C가 결합한 Si-Si-C 결합을 포함한다.
도 9d에 도시하는 바와 같이 DCTMDS는 1분자 중에 알킬기로서의 메틸기를 4개 포함한다. 4개의 메틸기가 포함하는 각 결합수는 각각 Si와 결합하여 Si-C 결합을 구성한다. DCTMDS는 디실란의 유도체이며, Si-Si 결합을 포함한다. 즉 DCTMDS는 Si끼리가 결합하고, 또한 Si와 C가 결합한 Si-Si-C 결합을 포함한다.
가스 공급관(232e)으로부터는 소정 원소로서의 Si 및 할로겐 원소를 포함하는 원료 가스로서 예컨대 Si 및 할로겐 원소를 포함하고, Si끼리의 화학 결합(Si-Si 결합)을 포함하는 할로실란 원료 가스가 MFC(241e), 밸브(243e), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다.
할로실란 원료 가스로서는 예컨대 Si, 할로겐 원소로서의 클로로기(Cl)를 포함하고, Si-Si 결합을 포함하는 원료 가스, 즉 클로로실란 원료 가스를 이용할 수 있다. 클로로실란 원료 가스란 클로로기를 포함하는 실란 원료 가스이며, 적어도 Si 및 할로겐 원소로서의 Cl을 포함하는 원료 가스다. 즉 여기서 말하는 클로로실란 원료는 할로겐화물의 일종이라고도 할 수 있다. 가스 공급관(232e)으로부터 공급되는 클로로실란 원료 가스로서는 예컨대 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 이용할 수 있다.
도 9e에 도시하는 바와 같이 HCDS는 1분자 중에 2개의 Si 및 6개의 클로로기를 포함한다. Si 및 할로겐 원소를 포함하는 원료 가스로서는 HCDS가스 외에 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 이용할 수 있다.
가스 공급관(232f)으로부터는 소정 원소로서의 Si, C 및 질소(N)를 포함하고, Si와 N의 화학 결합(Si-N결합)을 포함하는 원료 가스로서 예컨대 Si 및 아미노기(아민기)를 포함하는 원료 가스인 아미노실란 원료 가스가 MFC(241f), 밸브(243f), 노즐(249a)을 개재하여 처리실(201) 내에 공급된다.
아미노실란 원료 가스란 아미노기를 포함하는 실란 원료 가스이며, 적어도 Si와, C 및 N을 포함한 아미노기를 포함하는 원료 가스다. 가스 공급관(232f)으로부터 공급되는 아미노실란 원료 가스로서는 예컨대 비스(디에틸아미노)실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스를 이용할 수 있다.
도 9f에 도시하는 바와 같이 BDEAS는 1분자 중에 1개의 Si 및 2개의 아미노기를 포함한다. Si, C 및 N을 포함하고 Si-N결합을 포함하는 원료 가스로서는 BDEAS가스 외에 트리스(디에틸아미노)실란(SiH[N(C2H5)2]3, 약칭: 3DEAS) 가스, 테트라키스(디에틸아미노)실란(Si[N(C2H5)2]4, 약칭: 4DEAS) 가스, 트리스(디메틸아미노)실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 테트라키스(디메틸아미노)실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스 등의 유기 원료 가스를 이용할 수 있다.
여기서 원료 가스란 기체 상태의 원료, 예컨대 상온 상압 하에서 액체 상태인 원료를 기화하는 것에 의해 얻어진 가스나, 상온 상압 하에서 기체 상태인 원료 등을 말한다. 본 명세서에서 「원료」라는 단어를 이용한 경우에는 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우, 또는 그 양방(兩方)을 의미하는 경우가 있다. BTCSM, BTCSE, TCDMDS, DCTMDS, HCDS, BDEAS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(BTCSM가스, BTCSE가스, TCDMDS가스, DCTMDS가스, HCDS가스, BDEAS가스)로서 공급한다.
가스 공급관(232b)으로부터는 산화 가스로서 예컨대 산소(O)를 포함하는 가스(산소 함유 가스)가 MFC(241b), 밸브(243b), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232b)으로부터 공급되는 산화 가스로서는 예컨대 수증기(H2O가스)를 이용할 수 있다. 또한 H2O가스의 공급에 대해서는 도시되지 않는 외부 연소 장치에 산소(O2) 가스와 수소(H2) 가스를 공급하여 연소시켜서 H2O가스를 생성하여 공급하는 구성으로 해도 좋다.
가스 공급관(232g)으로부터는 산화 가스로서 예컨대 O를 포함하는 가스(산소 함유 가스)가 MFC(241g), 밸브(243g), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232g)으로부터 공급되는 산화 가스로서는 예컨대 오존(O3) 가스를 이용할 수 있다.
가스 공급관(232h)으로부터는 산화 가스로서 예컨대 O를 포함하는 가스(산소 함유 가스)가 MFC(241h), 밸브(243h), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232h)으로부터 공급되는 산화 가스로서는 예컨대 산소(O2) 가스를 이용할 수 있다.
가스 공급관(232c)으로부터는 촉매 작용에 의해 웨이퍼(200)의 표면, 또는 H2O가스가 포함하는 O-H 결합의 결합력을 약화시켜 원료 가스의 분해를 촉진하고, 또한 H2O가스 등의 산화 가스에 의한 산화 반응을 촉진하는 촉매 가스로서 예컨대 C, N 및 H를 포함하는 아민계 가스가 MFC(241c), 밸브(243c), 노즐(249c)을 개재하여 처리실(201) 내에 공급된다.
아민계 가스란 암모니아(NH3)의 H 중 적어도 1개를 알킬기 등의 탄화수소기로 치환한 아민을 포함하는 가스다. 도 10a 내지 도 10f에 도시하는 바와 같이 촉매 가스로서 이용되는 각종 아민은 예컨대 고립 전자쌍[電子對]을 포함하는 N을 포함하고, 산해리 상수(이하, pKa라고도 부른다)가 5 내지 11 정도다. 산해리 상수(pKa)란 산(酸)의 강도를 정량적으로 나타내는 지표 중 하나이며, 산으로부터 H이온이 방출되는 해리 반응에서의 평형 상수Ka를 부(負)의 상용 대수(對數)로 나타낸 것을 말한다. 아민계 가스로서는 탄화수소기가 환 형상이 된 환 형상 아민계 가스나, 탄화수소기가 쇄 형상이 된 쇄 형상 아민계 가스를 이용할 수 있다. 가스 공급관(232c)으로부터 공급되는 아민계 가스로서는 예컨대 환 형상 아민계 가스인 피리딘(C5H5N) 가스를 이용할 수 있다.
환 형상 아민계 가스로서는 도 10a에 도시하는 바와 같이 예컨대 피리딘(C5H5N, pKa=5.67) 가스, 아미노피리딘(C5H6N2, pKa=6.89) 가스, 피코인(C6H7N, pKa=6.07) 가스, 루티딘(C7H9N, pKa=6.96) 가스, 피페라진(C4H10N2, pKa=9.80) 가스, 피페리딘(C5H11N, pKa=11.12) 가스 등을 이용할 수 있다. 환 형상 아민계 가스는 C와 N의 복수 종류의 원소로 그 환 형상 구조가 구성되는 복소환(複素環) 화합물, 즉 질소 함유 복소환 화합물이다라고도 할 수 있다.
가스 공급관(232i)으로부터는 환 형상 아민계 가스와 마찬가지의 촉매 작용을 가지는 촉매 가스로서 예컨대 C, N 및 H를 포함하는 아민계 가스가 MFC(241i), 밸브(243i), 노즐(249c)을 개재하여 처리실(201) 내에 공급된다. 가스 공급관(232i)으로부터 공급되는 아민계 가스로서는 예컨대 쇄 형상 아민계 가스인 트리에틸아민[(C2H5)3N, 약칭: TEA] 가스를 이용할 수 있다.
쇄 형상 아민계 가스로서는 도 10b 내지 도 10f에 각각 도시하는 바와 같이 예컨대 트리에틸아민[(C2H5)3N, 약칭: TEA, pKa=10.7] 가스, 디에틸아민[(C2H5)2NH, 약칭: DEA, pKa=10.9] 가스, 모노에틸아민[(C2H5)NH2, 약칭: MEA, pKa=10.6] 가스, 트리메틸아민[(CH3)3N, 약칭: TMA, pKa=9.8] 가스, 모노메틸아민[(CH3)NH2, 약칭: MMA, pKa=10.6] 가스 등을 이용할 수 있다.
촉매 가스로서 작용하는 아민계 가스를 아민계 촉매 가스라고도 칭할 수 있다. 촉매 가스로서는 전술한 아민계 가스 외에 비(非)아민계 가스, 예컨대 암모니아(NH3, pKa=9.2) 가스 등도 이용할 수 있다.
여기서 예시한 촉매 가스는 후술하는 박막 형성 처리에서 분자 구조의 일부가 분해하는 경우도 있다. 이와 같은 화학 반응의 전후에서 그 일부가 변화하는 가스는 엄밀히 말하면 「촉매」가 아니다. 하지만 본 명세서에서는 화학 반응의 과정에서 그 일부가 분해하는 경우에도 대부분은 분해하지 않고 또한 반응의 속도를 변화시켜서 실질적으로 촉매로서 작용하는 물질을 「촉매」라고 칭한다.
가스 공급관(232j 내지 232l)으로부터는 예컨대 불활성 가스로서 예컨대 질소(N2) 가스가 각각 MFC(241j 내지 241l), 밸브(243j 내지 243l), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다.
N2가스는 퍼지 가스로서도 작용하고, 또한 후술하는 산소 비함유의 분위기를 생성하는 O를 포함하지 않는 산소 비함유 가스로서도 작용한다. N2가스가 산소 비함유 가스로서 이용될 때에는 N2가스는 열처리 가스나 어닐링 가스로서도 작용하는 경우가 있다. 불활성 가스, 퍼지 가스 및 산소 비함유 가스로서는 N2가스 외에 예컨대 아르곤(Ar) 가스, 헬륨(He) 가스, 네온(Ne) 가스, 크세논(Xe) 가스 등의 희가스를 이용할 수 있다.
각 가스 공급관으로부터 전술과 같은 가스를 각각 흘릴 경우, 주로 가스 공급관(232a, 232d, 232e, 232f), MFC(241a, 241d, 241e, 241f), 밸브(243a, 243d, 243e, 243f)에 의해 원료 가스를 공급하는 원료 가스 공급계가 구성된다. 노즐(249a)을 원료 가스 공급계에 포함시켜서 생각해도 좋다. 원료 가스 공급계를 원료 공급계라고도 칭할 수 있다. 원료 가스 공급계는 각각 다른 원소의 원소원(源)이 되는 복수 종류의 원료 가스나, 분자 구조가 각각 다른 복수 종류의 원료 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체로도 볼 수 있다. 즉 원료 가스 공급계는 주로 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 구성되는 BTCSM가스 공급 라인과, 주로 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해 구성되는 TCDMDS가스 공급 라인과, 주로 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 구성되는 HCDS가스 공급 라인과, 주로 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해 구성되는 BDEAS가스 공급 라인의 집합체라고 할 수 있다. 각각의 공급 라인에 노즐(249a)을 포함시켜서 생각해도 좋다.
이와 같이 원료 가스 공급계를 구성하는 복수의 공급 라인은 각각 다른 원소의 원소원이 되는 복수 종류의 원료 가스나, 분자 구조가 각각 다른 복수 종류의 원료 가스를 각각 공급하도록 구성된다. 또한 각 원료 가스는 각각 다른 분자 구조, 즉 각각 다른 화학 구조식을 가진다. 각 원료 가스의 조성이나 성분은 달라도 좋다. 각각 다른 분자 구조를 가지는 원료 가스는 화학적 성질도 각각 다르다. 따라서 후술하는 바와 같이 원하는 성막 처리에 따라 적절히 원료 가스의 종류를 선택하는 것에 의해, 1대(臺)의 기판 처리 장치로 다양한 조성비, 막질의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다.
또한 주로 가스 공급관(232b, 232g, 232h), MFC(241b, 241g, 241h), 밸브(243b, 243g, 243h)에 의해 산화 가스 공급계가 구성된다. 노즐(249b), 버퍼실(237)을 산화 가스 공급계에 포함시켜서 생각해도 좋다. 산화 가스 공급계를 산화제공급계라고도 칭할 수 있다. 산화 가스 공급계는 분자 구조가 각각 다른 복수 종류의 산화 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체로도 볼 수 있다. 즉 산화 가스 공급계는 주로 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 구성되는 H2O가스 공급 라인과, 주로 가스 공급관(232g), MFC(241g), 밸브(243g)에 의해 구성되는 O3가스 공급 라인과, 주로 가스 공급관(232h), MFC(241h), 밸브(243h)에 의해 구성되는 O2가스 공급 라인의 집합체라고 할 수 있다. 각각의 공급 라인에 노즐(249b)나 버퍼실(237)을 포함시켜서 생각해도 좋다.
이와 같이 산화 가스 공급계를 구성하는 복수의 공급 라인은 분자 구조가 각각 다른 복수 종류의 산화 가스를 각각 공급하도록 구성된다. 또한 각 산화 가스는 각각 다른 분자 구조, 즉 각각 다른 화학 구조식을 포함한다. 각 산화 가스의 조성이나 성분은 달라도 좋다. 각각 다른 분자 구조를 가지는 산화 가스는 화학적 성질도 각각 다르다. 따라서 예컨대 원하는 성막 처리에 따라 적절히 산화 가스의 종류를 선택하는 것에 의해, 1대의 기판 처리 장치로 다양한 조성비, 막질의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다.
또한 주로 가스 공급관(232c, 232i), MFC(241c, 241i), 밸브(243c, 243i)에 의해 촉매 가스 공급계가 구성된다. 노즐(249c)을 촉매 가스 공급계에 포함시켜서 생각해도 좋다. 촉매 가스 공급계는 분자 구조가 각각 다른 복수 종류의 촉매 가스를 각각 공급하는 복수의 공급 라인(공급계)의 집합체로도 볼 수 있다. 즉 촉매 가스 공급계는 주로 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해 구성되는 피리딘 가스 공급 라인과, 주로 가스 공급관(232i), MFC(241i), 밸브(243i)에 의해 구성되는 TEA가스 공급 라인의 집합체라고 할 수 있다. 각각의 공급 라인에 노즐(249c)을 포함시켜서 생각해도 좋다. 또한 피리딘 가스나 TEA가스는 후술하는 바와 같이 촉매로서의 아민계 가스, 즉 아민계 촉매 가스라고도 할 수 있다. 이하, 각종 아민계 촉매 가스를 공급하는 촉매 가스 공급계를 아민계 촉매 가스 공급계라고도 부른다.
이와 같이 촉매 가스 공급계를 구성하는 복수의 공급 라인은 분자 구조가 각각 다른 복수 종류의 촉매 가스를 각각 공급하도록 구성된다. 또한 각 촉매 가스는 각각 다른 분자 구조, 즉 각각 다른 화학 구조식을 포함한다. 각 촉매 가스의 조성이나 성분은 달라도 좋다. 각각 다른 분자 구조를 가지는 촉매 가스는 화학적 성질도 각각 다르다. 따라서 후술하는 바와 같이 원하는 성막 처리에 따라 적절히 촉매 가스의 종류를 선택하는 것에 의해, 1대의 기판 처리 장치에서 다양한 조성비, 막질의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다.
또한 주로 가스 공급관(232j 내지 232l), MFC(241j 내지 241l), 밸브(243j 내지 243l)에 의해 불활성 가스 공급계가 구성된다. 가스 공급관(232a 내지 232c)에서의 가스 공급관(232j 내지 232l)과의 접속부보다 하류측, 노즐(249a 내지 249c), 버퍼실(237)을 불활성 가스 공급계에 포함시켜서 생각해도 좋다. 불활성 가스 공급계는 복수의 공급 라인의 집합체로도 볼 수 있다. 즉 불활성 가스 공급계는 주로 가스 공급관(232j), MFC(241j), 밸브(243j)에 의해 구성되는 불활성 가스 공급 라인과, 주로 가스 공급관(232k), MFC(241k), 밸브(243k)에 의해 구성되는 불활성 가스 공급 라인과, 주로 가스 공급관(232l), MFC(241l), 밸브(243l)에 의해 구성되는 불활성 가스 공급 라인의 집합체라고 할 수 있다. 불활성 가스 공급계는 퍼지 가스 공급계 및 산소 비함유 가스 공급계로서도 기능한다. 또한 산소 비함유 가스 공급계는 후술하는 산소 비함유의 분위기를 생성하는 분위기 생성부의 일부를 구성한다.
전술한 원료 가스 공급계, 산화 가스 공급계, 촉매 가스 공급계, 불활성 가스 공급계 중 어느 하나, 또는 모든 가스 공급계를 처리 가스 공급계라고도 칭할 수 있다.
버퍼실(237) 내에는 도 2에 도시하는 바와 같이 도전체로 이루어지고, 가늘고 긴 구조를 가지는 2개의 봉 형상 전극(269, 270)이 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배설된다. 봉 형상 전극(269, 270)의 각각은 노즐(249d)과 평행으로 설치된다. 봉 형상 전극(269, 270)의 각각은 상부로부터 하부에 걸쳐 전극 보호관(275)에 의해 피복되는 것에 의해 보호된다. 봉 형상 전극(269, 270) 중 어느 일방(一方)은 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 타방(他方)은 기준 전위인 어스에 접속된다. 정합기(272)를 개재하여 고주파 전원(273)으로부터 봉 형상 전극(269, 270) 사이에 고주파(RF) 전력을 인가하는 것에 의해 봉 형상 전극(269, 270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로 봉 형상 전극(269, 270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원이 구성된다. 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜서 생각해도 좋다. 플라즈마원은 가스를 플라즈마 상태로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.
전극 보호관(275)은 봉 형상 전극(269, 270)의 각각을 버퍼실(237) 내의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 이루어진다. 전극 보호관(275)의 내부의 산소 농도가 외기(外氣)[대기(大氣)]의 산소 농도와 같은 정도이면, 전극 보호관(275) 내에 각각 삽입된 봉 형상 전극(269, 270)은 히터(207)에 의한 열로 산화된다. 전극 보호관(275)의 내부에 N2가스 등의 불활성 가스를 충전해두거나, 불활성 가스 퍼지 기구를 이용하여 전극 보호관(275)의 내부를 N2가스 등의 불활성 가스로 퍼지하는 것에 의해, 전극 보호관(275)의 내부의 산소 농도를 저감시켜 봉 형상 전극(269, 270)의 산화를 억제할 수 있도록 구성된다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속된다. APC밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐하는 것에 의해 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브의 개도(開度)를 조절하는 것에 의해 처리실(201) 내의 압력을 조정할 수 있도록 구성된 밸브다. 주로 배기관(231), APC밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다. 배기관(231)은 반응관(203)에 설치하는 경우에 한정되지 않고, 노즐(249a 내지 249c)과 마찬가지로 매니폴드(209)에 설치해도 좋다.
주로 전술한 배기계 및 전술한 산소 비함유 가스 공급계에 의해 처리실(201) 내에 산소 비함유의 분위기를 생성하는 분위기 생성부가 구성된다. 배기계는 처리실(201) 내를 진공 배기하는 것에 의해 배기계 단독으로, 또는 처리실(201) 내의 웨이퍼(200)에 대하여 산소 비함유 가스를 공급하는 산소 비함유 가스 공급계와 협동하여, 처리실(201) 내의 분위기를 산소 비함유의 분위기로 하도록 구성된다.
매니폴드(209)의 하방에는 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구(爐口) 개체(蓋體)로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 매니폴드(209)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 SUS 등의 금속으로 이루어지고, 원반 형상으로 형성된다. 씰 캡(219)의 상면에는 매니폴드(209)의 하단과 당접하는 씰 부재로서의 O링(220b)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통하여 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성된다. 즉 보트 엘리베이터(115)는 보트(217) 및 보트(217)에 지지되는 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는 복수, 예컨대 25매 내지 200매의 웨이퍼(200)를 수평 자세로 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜서 다단으로 지지하도록, 즉 간격을 두고 배열하도록 구성된다. 보트(217)는 예컨대 석영이나 SiC 등의 내열성 재료로 이루어진다. 보트(217)의 하부에는 예컨대 석영이나 SiC 등의 내열성 재료로 이루어지는 단열판(218)이 수평 자세로 다단으로 지지된다. 이 구성에 의해 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 이루어진다. 단, 본 실시 형태는 전술한 형태에 한정되지 않는다. 예컨대 보트(217)의 하부에 단열판(218)을 설치하지 않고, 석영이나 SiC 등의 내열성 재료로 이루어지는 통 형상의 부재로서 구성된 단열통을 설치해도 좋다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치된다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 노즐(249a 내지 249c)과 마찬가지로 L자형으로 구성되고, 반응관(203)의 내벽을 따라 설치된다.
도 3에 도시하는 바와 같이 제어부(제어 수단)인 컨트롤러(121)는 CPU(121a)(Central Processing Unit), RAM(121b)(Random Access Memory), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(121)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(122)가 접속된다.
기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 박막 형성 등의 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하도록 격납된다. 프로세스 레시피는 후술하는 박막 형성 공정 등의 기판 처리 공정에서의 각 순서를 컨트롤러(121)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다. RAM(121b)는 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(121d)는 전술한 MFC(241a 내지 241l), 밸브(243a 내지 243l), 압력 센서(245), APC밸브(244), 진공 펌프(246), 온도 센서(263), 히터(207), 정합기(272), 고주파 전원(273), 회전 기구(267), 보트 엘리베이터(115) 등에 접속된다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성된다. CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록 MFC(241a 내지 241l)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243l)의 개폐 동작, APC밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 정합기(272)에 의한 임피던스 조정 동작, 고주파 전원(273)의 전력 공급 등을 제어하도록 구성된다.
컨트롤러(121)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(123)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태의 컨트롤러(121)를 구성할 수 있다. 단, 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(123)를 개재하지 않고 프로그램을 공급해도 좋다. 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.
(2) 박막 형성 공정
전술한 기판 처리 장치를 이용하여 반도체 장치(반도체 디바이스)의 제조 공정의 일 공정으로서 기판 상에 박막을 형성(성막)하는 시퀀스예에 대하여 도 4a를 이용하여 설명한다. 이하의 설명에서 기판 처리 장치를 구성하는 각(各) 부(部)의 동작은 컨트롤러(121)에 의해 제어된다.
도 4a에 도시하는 성막 시퀀스에서는 기판으로서의 웨이퍼(200)에 대하여 Si, C 및 Cl을 포함하고 Si-C 결합을 포함하는 원료 가스로서 BTCSM가스를 공급하는 공정과, 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정과, 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 Si, O 및 C를 포함하는 박막으로서 실리콘 산탄화막(이하, SiOC막이라고도 부른다)을 형성하는 공정을 수행한다. 이 SiOC막을 C를 포함하는 SiO막이나, C가 도프(첨가)된 SiO막이라고도 칭할 수 있다.
이 때 BTCSM가스를 공급하는 공정을 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고, H2O가스를 공급하는 공정을 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행한다.
또한 SiOC막을 형성한 후, SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물을 제거하는 공정과, 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물을 제거하는 공정을 더 수행한다. 이와 같은 열처리는 산소 비함유의 분위기 하, 즉 웨이퍼(200)에 대하여 산소 비함유 가스로서 N2가스를 공급하는 것에 의해 생성된 산소 비함유의 분위기 하에서 수행된다.
또한 본 실시 형태에서 각 공정은 논 플라즈마의 분위기 하에서 수행된다.
본 명세서에서 「웨이퍼」라는 단어를 이용한 경우는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)」를 의미하는 경우, 즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 칭하는 경우가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환하여 생각하면 좋다.
〔웨이퍼 차지 및 보트 로드〕
복수의 웨이퍼(200)가 보트(217)에 장전(裝塡, 웨이퍼 차지)되면, 도 1에 도시하는 바와 같이 복수의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어올려져 처리실(201) 내에 반입(보트 로드) 된다. 이 상태에서 씰 캡(219)은 O링(220b)을 개재하여 매니폴드(209)의 하단을 밀봉한 상태가 된다.
〔압력 조정 및 온도 조정〕
처리실(201) 내의 압력, 즉 웨이퍼(200)가 존재하는 공간의 압력이 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이 때 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC밸브(244)가 피드백 제어된다. 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 종료할 때까지의 사이는 상시 작동시킨 상태를 유지한다. 또한 처리실(201) 내의 웨이퍼(200)가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이 때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다. 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 종료할 때까지의 사이는 계속해서 수행된다. 단, 후술하는 바와 같이 실온으로 웨이퍼(200)에 대한 처리를 수행하는 경우에는 히터(207)에 의한 처리실(201) 내의 가열은 수행하지 않아도 좋다. 계속해서 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 시작한다. 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 종료할 때까지의 사이는 계속해서 수행된다.
〔SiOC막 형성 공정〕
그 후, 다음 2개의 스텝, 즉 스텝1a, 스텝2a를 순차 실행한다.
[스텝1a](BTCSM가스+피리딘 가스 공급)
밸브(243a)를 열고, 가스 공급관(232a) 내에 BTCSM가스를 흘린다. BTCSM가스는 MFC(241a)에 의해 유량 조정되어 가스 공급공(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 BTCSM가스가 공급된다. 이 때 동시에 밸브(243j)를 열고, 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 MFC(241j)에 의해 유량 조정되어 BTCSM가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 밸브(243c)를 열고, 가스 공급관(232c) 내에 피리딘 가스를 흘린다. 피리딘 가스는 MFC(241c)에 의해 유량 조정되어 가스 공급공(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 피리딘 가스가 공급된다. 이 때 동시에 밸브(243l)를 열고, 가스 공급관(232l) 내에 N2가스를 흘린다. N2가스는 MFC(241l)에 의해 유량 조정되어 피리딘 가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 버퍼실(237) 내나 노즐(249b) 내로의 BTCSM가스 및 피리딘 가스의 침입을 방지하기 위해서 밸브(243k)를 열고 가스 공급관(232k) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232b), 노즐(249b), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 BTCSM가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. BTCSM가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간[조사(照射) 시간]은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다.
이 때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. BTCSM가스 공급 시에 촉매 가스를 공급하지 않는 경우, 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착하기 어려워져 실용적인 성막 레이트를 얻지 못하는 경우가 있다. 본 실시 형태와 같이 촉매 가스로서의 피리딘 가스를 공급하는 것에 의해 웨이퍼(200)의 온도를 250℃ 미만으로 해도 이를 해소하는 것이 가능해진다. 피리딘 가스의 존재 하에서 웨이퍼(200)의 온도를 150℃ 이하, 또한 100℃ 이하로 하는 것에 의해 웨이퍼(200)에 가해지는 열량을 저감할 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 수행할 수 있다. 피리딘 가스의 존재 하에서는 웨이퍼(200)의 온도가 실온 이상의 온도라면 웨이퍼(200) 상에 BTCSM을 충분히 흡착시킬 수 있어, 충분한 성막 레이트를 얻을 수 있다. 따라서 웨이퍼(200)의 온도는 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 웨이퍼(200)에 대하여 BTCSM가스를 공급하는 것에 의해 웨이퍼(200)(표면의 하지막(下地膜) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 C 및 Cl을 포함하는 Si함유층이 형성된다. C 및 Cl을 포함하는 Si함유층은 C 및 Cl을 포함하는 Si층이어도 좋고, BTCSM가스의 흡착층이어도 좋고, 그 양방을 포함해도 좋다.
C 및 Cl을 포함하는 Si층이란 Si에 의해 구성되고 C 및 Cl을 포함하는 연속적인 층 외에, 불연속적인 층이나, 이들이 중첩되어 이루어지는 C 및 Cl을 포함하는 Si박막도 포함하는 총칭이다. Si에 의해 구성되고 C 및 Cl을 포함하는 연속적인 층을 C 및 Cl을 포함하는 Si박막이라고 부르는 경우도 있다. C 및 Cl을 포함하는 Si층을 구성하는 Si는 C나 Cl과의 결합이 완전히 분리되지 않은 것 외에, C나 Cl과의 결합이 완전히 분리된 것도 포함한다.
BTCSM가스의 흡착층은 BTCSM가스의 가스 분자가 연속적인 흡착층 외에 불연속적인 흡착층도 포함한다. 즉 BTCSM가스의 흡착층은 BTCSM분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 흡착층을 포함한다. BTCSM가스의 흡착층을 구성하는 BTCSM분자는 도 9a에 화학 구조식을 도시하는 것뿐만 아니라, Si와 C의 결합이 일부 분리된 것이나, Si와 Cl의 결합이 일부 분리된 것도 포함한다. 즉 BTCSM가스의 흡착층은 BTCSM분자의 물리 흡착층이어도 좋고, BTCSM분자의 화학 흡착층이어도 좋고, 그 양방을 포함해도 좋다.
여기서 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다. C 및 Cl을 포함하는 Si함유층은 C 및 Cl을 포함하는 Si층과 BTCSM가스의 흡착층의 양방을 포함할 수 있다. 단, 전술한 바와 같이 C 및 Cl을 포함하는 Si함유층에 대해서는 「1원자층」, 「수원자층」등의 표현을 이용하도록 한다.
웨이퍼(200) 상에 형성되는 제1층으로서의 C 및 Cl을 포함하는 Si함유층의 두께가 수원자층을 넘으면, 후술하는 스텝2a에서의 산화의 작용이 제1층 전체에 전달되지 않는다. 또한 웨이퍼(200) 상에 형성 가능한 제1층의 두께의 최소값은 1원자층 미만이다. 따라서 제1층의 두께는 1원자층 미만 내지 수원자층 정도로 하는 것이 바람직하다. 제1층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하는 것에 의해, 후술하는 스텝2a에서의 산화 반응의 작용을 상대적으로 높일 수 있고, 스텝2a에서의 산화 반응에 요하는 시간도 단축할 수 있다. 스텝1a에서의 제1층의 형성에 요하는 시간도 단축할 수 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있고, 토탈에서의 처리 시간을 단축하는 것도 가능해진다. 즉 성막 레이트를 높이는 것도 가능해진다. 또한 제1층의 두께를 1원자층 이하로 하는 것에 의해 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
BTCSM가스가 자기분해(自己分解, 열분해)하는 조건 하, 즉 BTCSM의 열분해 반응이 발생하는 조건 하에서는 웨이퍼(200) 상에 Si가 퇴적하는 것에 의해 C 및 Cl을 포함하는 Si층이 형성된다. BTCSM가스가 자기분해(열분해)하지 않는 조건 하, 즉 BTCSM의 열분해 반응이 발생하지 않는 조건 하에서는 웨이퍼(200) 상에 BTCSM가스가 흡착하는 것에 의해 BTCSM가스의 흡착층이 형성된다. 웨이퍼(200) 상에 BTCSM가스의 흡착층을 형성하는 것보다 웨이퍼(200) 상에 C 및 Cl을 포함하는 Si층을 형성하는 것이 성막 레이트를 높게 할 수 있어 바람직하다. 단, 본 실시 형태에서는 웨이퍼(200)의 온도를 예컨대 150℃ 이하의 저온으로 하기 때문에 웨이퍼(200) 상에 C 및 Cl을 포함하는 Si층이 형성되는 것보다 웨이퍼(200) 상에 BTCSM가스의 흡착층이 형성되는 것이 우위가 될 가능성이 있다. 또한 촉매 가스를 공급하지 않는 경우에는 BTCSM가스의 흡착층에서는 웨이퍼(200) 표면 등의 하지에 대한 결합이나 BTCSM분자끼리의 결합이 화학 흡착보다 약한 물리 흡착의 상태가 우위가 될 가능성이 있다. 즉 촉매 가스를 공급하지 않는 경우에는 BTCSM가스의 흡착층은 그 대부분이 BTCSM가스의 물리 흡착층으로 구성될 가능성이 있다.
피리딘 가스는 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜 BTCSM가스의 분해를 촉진시키고, BTCSM분자의 화학 흡착에 의한 제1층의 형성을 촉진시키는 촉매 가스로서 작용한다. 예컨대 도 5a에 도시하는 바와 같이 피리딘 가스는 웨이퍼(200)의 표면에 존재하는 O-H 결합에 작용하여 O-H 사이의 결합력을 약화시킨다. 결합력이 약화된 H와 BTCSM가스의 Cl이 반응하는 것에 의해 HCl 등의 Cl, H를 포함하는 가스상 물질이 생성되고, 웨이퍼(200)의 표면으로부터 H가 탈리하는 것과 함께 BTCSM분자로부터 Cl이 탈리한다. Cl이 탈리한 BTCSM분자(할로겐화물는 웨이퍼(200) 등의 표면에 화학 흡착한다. 이에 의해 웨이퍼(200) 등의 표면에 BTCSM가스의 화학 흡착층이 형성된다.
피리딘 가스가 O-H 사이의 결합력을 약화시키는 것은 피리딘 분자 중의 고립 전자쌍을 포함하는 N이 H를 끌어당기는 작용을 갖기 때문이다. N 등을 포함하는 소정의 화합물이 H를 끌어당기는 작용의 크기는 예컨대 전술한 산해리 상수(pKa)를 지표 중 하나로 할 수 있다. 전술한 바와 같이 pKa는 산으로부터 H이온이 방출되는 해리 반응에서의 평형 상수Ka를 부의 상용 대수로 나타낸 상수이며, pKa가 큰 화합물은 H를 끌어당기는 힘이 강하다. 예컨대 pKa가 5 이상의 화합물을 촉매 가스로서 이용하는 것에 의해, BTCSM가스의 분해를 촉진하여 제1층의 형성을 촉진할 수 있다. 한편, 촉매 가스의 pKa가 과도하게 크면, BTCSM분자로부터 인발(引拔)된 Cl과 촉매 가스가 결합하고, 이에 의해 염화암모니아(NH4Cl) 등의 염(鹽)(Salt: 이온 화합물)이 발생하여 파티클의 원인이 되는 경우가 있다. 이를 억제하기 위해서는 촉매 가스의 pKa를 11 정도 이하, 바람직하게는 7 이하로 하는 것이 바람직하다. 피리딘 가스는 pKa가 약 5.67로 비교적 크고, H를 끌어당기는 힘이 강하다. 또한 pKa가 7 이하이기 때문에 파티클도 발생하기 어렵다.
〔잔류 가스 제거〕
제1층이 형성된 후, 밸브(243a)를 닫고, BTCSM가스의 공급을 정지한다. 또한 밸브(243c)를 닫고, 피리딘 가스의 공급을 정지한다. 이 때 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 BTCSM가스 및 피리딘 가스를 처리실(201) 내로부터 배제한다. 또한 밸브(243j 내지 243l)는 연 상태로 하여 처리실(201) 내로의 N2가스의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제1층의 형성에 기여한 후의 BTCSM가스 및 피리딘 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝2a에서 악영향이 발생하지 않는다. 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝2a에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전 퍼지하지 않는 것에 의해, 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. N2가스의 소비를 필요 최소한으로 억제하는 것도 가능해진다.
원료 가스로서는 BTCSM가스 외에 예컨대 BTCSE가스, TCDMDS가스, DCTMDS가스 등을 이용할 수 있다. 촉매 가스로서는 피리딘 가스 외에 예컨대 아미노피리딘 가스, 피콜린 가스, 루티딘 가스, 피페라진 가스, 피페리딘 가스 등의 환 형상 아민계 가스나, TEA가스, DEA가스, MEA가스, TMA가스, MMA가스 등의 쇄 형상 아민계 가스나, NH3가스 등의 비아민계 가스를 이용할 수 있다. 불활성 가스로서는 N2가스 외에 예컨대 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용할 수 있다.
[스텝2a](H2O가스+피리딘 가스 공급)
스텝1a가 종료된 후, 밸브(243b)를 열고, 가스 공급관(232b) 내에 H2O가스를 흘린다. H2O가스는 MFC(241b)에 의해 유량 조정되어 가스 공급공(250b)으로부터 버퍼실(237) 내에 공급되어 가스 공급공(250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 논 플라즈마의 분위기 하에서 웨이퍼(200)에 대하여 H2O가스가 공급된다. 이 때 동시에 밸브(243k)를 열고, 가스 공급관(232k) 내에 N2가스를 흘린다. N2가스는 MFC(241k)에 의해 유량 조정되어 H2O가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
또한 스텝1a에서의 피리딘 가스의 공급과 마찬가지로 웨이퍼(200)에 대하여 피리딘 가스를 공급한다.
또한 노즐(249a) 내로의 H2O가스 및 피리딘 가스의 침입을 방지하기 위해서 밸브(243j)를 열고, 가스 공급관(232j) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232a), 노즐(249a)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241b)로 제어하는 H2O가스의 공급 유량은 예컨대 1,000sccm 내지 10,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241c)로 제어하는 피리딘 가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm, 바람직하게는 10sccm 내지 1,000sccm의 범위 내의 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. H2O가스 및 피리딘 가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1a에서의 웨이퍼(200)의 온도와 마찬가지의 온도대, 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 되도록 설정한다.
처리실(201) 내에 공급된 H2O가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 열로 활성화된 H2O가스가 공급된다. 처리실(201) 내에 흘리는 가스는 열적으로 활성화된 H2O가스이며, 처리실(201) 내에는 BTCSM가스는 흘리지 않는다. 따라서 H2O가스는 기상(氣相) 반응을 일으키지 않고, 활성화된 상태에서 웨이퍼(200)에 대하여 공급되고, 스텝1a에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl을 포함하는 Si함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어, Si, O 및 C를 포함하는 제2층, 즉 SiOC층으로 변화한다.
피리딘 가스는 H2O가스가 포함하는 O-H 결합의 결합력을 약화시켜 H2O가스의 분해를 촉진시키고, H2O가스와 제1층의 반응을 촉진시키는 촉매 가스로서 작용한다. 예컨대 도 5b에 도시하는 바와 같이 피리딘 가스는 H2O가스가 포함하는 O-H 결합에 작용하여 O-H 사이의 결합력을 약화시킨다. 결합력이 약화된 H와, 웨이퍼(200) 상에 형성된 제1층이 포함하는 Cl이 반응하는 것에 의해, HCl 등의 Cl, H를 포함하는 가스상 물질이 생성되고, H2O분자로부터 H가 탈리하는 것과 함께 제1층으로부터 Cl이 탈리한다. H가 탈리한 H2O가스의 O가 Cl이 탈리하여 적어도 C의 일부가 잔류한 제1층의 Si와 결합한다.
피리딘 가스의 공급을 실시한 상태에서 H2O가스를 공급하는 공정(H2O가스와 피리딘 가스를 공급하는 공정)에서는 원하는 막 조성 등에 따라 공급하는 피리딘 가스의 공급량을 적절히 조정할 수 있다. 피리딘 가스의 공급량을 증가시키면, 피리딘 가스의 작용이 높아져 H2O가스의 산화력이 향상하고, Si-C 결합이 절단되어 C가 탈리하기 쉬워져, 결과적으로 SiOC층 중의 C농도가 저하한다. 피리딘 가스의 공급량을 저하시키면, 피리딘 가스의 작용이 약해져 H2O가스의 산화력이 저하하고, Si-C 결합이 유지되기 쉬워져, 결과로서 SiOC층 중의 C농도가 증가한다. 따라서 피리딘 가스의 공급량을 적절히 조정하는 것에 의해 SiOC층 중의, 즉 SiOC층이 적층되어 이루어지는 SiOC막 중의 C농도나 Si농도나 O농도 등을 상대적으로 변화시킬 수 있다.
피리딘 가스의 공급을 실시한 상태에서 H2O가스를 공급하는 공정(H2O가스와 피리딘 가스를 공급하는 공정)에서 공급하는 피리딘 가스의 공급량과, 전술한 피리딘 가스의 공급을 실시한 상태에서 BTCSM가스를 공급하는 공정(BTCSM가스와 피리딘 가스를 공급하는 공정)에서 공급하는 피리딘 가스의 공급량은 각각 별개로 조정할 수 있다. 예컨대 양(兩) 공정에서의 피리딘 가스의 공급량이 동일하게 되도록 조정해도 좋고, 다르게 조정해도 좋다.
피리딘 가스의 공급량이나 유량 등을 다른 수치로 설정한 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)를 미리 복수 준비하는 것에 의해 피리딘 가스의 공급량의 조정이 용이해진다. 오퍼레이터(조작원)는 원하는 막 조성 등에 따라 적절한 프로세스 레시피를 적절히 선택하여 성막 처리를 실행하면 좋다.
본 실시 형태와 같이 예컨대 150℃ 이하의 저온 조건 하에서 SiOC층을 형성하면, SiOC층 중에 수분(H2O)이나 Cl 등의 불순물(제1 불순물)이나, 탄화수소 화합물 등의 탄화수소(CxHy)계의 불순물(제2 불순물)이 혼입하기 쉬워진다. 즉 이 SiOC층이 적층되어 이루어지는 SiOC막 중에도 수분이나 Cl 등의 불순물이나, CxHy계의 불순물이 많이 포함되는 경우가 있다. 수분 등의 불순물은 예컨대 산화 가스로서 이용한 H2O가스나, 처리실(201) 내에 웨이퍼(200)를 반입할 때에 외부로부터 침입한 수분 등에 유래한다. Cl 등의 불순물은 예컨대 BTCSM분자 중의 Cl 등에 유래한다. CxHy계의 불순물은 예컨대 BTCSM분자 중의 C, H나, 피리딘 분자 중의 C, H에 유래한다.
〔잔류 가스 제거〕
그 후, 밸브(243b)를 닫고, H2O가스의 공급을 정지한다. 또한 밸브(243c)를 닫고, 피리딘 가스의 공급을 정지한다. 이 때 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 반응에 기여한 후의 H2O가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243j 내지 243l)는 연 상태로 하여 처리실(201) 내로의 N2가스의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제2층의 형성에 기여한 후의 H2O가스나 피리딘 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1a에서 악영향이 발생하지 않는다. 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝1a에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. N2가스의 소비를 필요 최소한으로 억제하는 것도 가능해진다.
산화 가스로서는 H2O가스 외에 예컨대 과산화 수소(H2O2) 가스, 수소(H2) 가스+산소(O2) 가스, H2가스+오존(O3) 가스 등을 이용할 수 있다. 또한 H를 함유하지 않는 가스, 예컨대 O2가스 등도 단독으로 이용할 수 있다. 촉매 가스로서는 피리딘 가스 외에 예컨대 전술한 각종 아민계 가스나, 비아민계 가스를 이용할 수 있다. 불활성 가스로서는 N2가스 외에 예컨대 전술한 각종 희가스를 이용할 수 있다.
본 발명자 등에 의하면, 본 실시 형태의 가스계 및 조건 범위 내에서 종합적으로 판단하면, 각 공정을 통해서 촉매 가스로서 보다 바람직한 것은 피리딘 가스인 것으로 생각된다. 이어서 TEA가스가 바람직하고, 그 다음으로 피페리딘 가스가 바람직한 것으로 생각된다.
〔소정 횟수 실시〕
전술한 스텝1a, 스텝2a를 1사이클로 하여, 이 사이클을 1회 이상, 즉 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다. 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiOC층의 두께를 원하는 막 두께보다 작게 하여 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다.
이 때 각 스텝에서의 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해, SiOC층에서의 각 원소 성분, 즉 Si성분, O성분 및 C성분의 비율, 즉 Si농도, O농도 및 C농도를 미조정(微調整)할 수 있고, SiOC막의 조성비를 보다 치밀하게 제어할 수 있다.
사이클을 복수 회 수행하는 경우, 적어도 2사이클째 이후의 각 스텝에서 「웨이퍼(200)에 대하여 소정의 가스를 공급한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층에 대하여, 즉 적층체로서의 웨이퍼(200)의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 상에 소정의 층을 형성한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층 상, 즉 적층체로서의 웨이퍼(200)의 최표면 상에 소정의 층을 형성한다」는 것을 의미한다. 이 점은 전술한 바와 같다. 이 점은 후술하는 다른 실시 형태에서도 마찬가지이다.
〔SiOC막 개질 공정〕
전술과 같이 예컨대 150℃ 이하의 저온 조건 하에서 형성된 SiOC막 중에는 수분이나 Cl 등의 불순물이나, CxHy계의 불순물이 혼입되는 경우가 있다. SiOC막 중에 이들의 불순물이 혼입되면, SiOC막의 에칭 내성이 저하하고, 또한 유전율이 증가하는 경우가 있다. 즉 막 중에 C를 첨가한 효과를 얻지 못하는 경우가 있다.
그렇기 때문에 본 실시 형태에서는 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물(수분이나 Cl 등의 불순물)을 제거하는 공정(제1 열처리 공정); 및 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물(CxHy계의 불순물)을 제거하는 공정(제2 열처리 공정);를 수행하고, SiOC막 중에서의 복수 종류의 불순물을 적어도 2단계로 제거하는 개질 처리를 수행한다. 즉 SiOC막을 개질하는 처리, 이른바 어닐링 처리를 2단계로 수행한다. 이하, 이 SiOC막 개질 공정의 시퀀스예에 대하여 설명한다.
〔압력 조정 및 온도 조정〕
처리실(201) 내의 압력, 즉 웨이퍼(200)가 존재하는 공간의 압력이 원하는 압력(진공도)이 되도록 APC밸브(244)를 피드백 제어하면서 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기한다. 또한 처리실(201) 내의 웨이퍼(200)가 원하는 온도, 즉 제1 온도가 되도록 히터(207)에 의해 가열된다. 이 때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다. 이 공정에서도 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 계속한다.
또한 이 때 처리실(201) 내에 산소 비함유 가스로서의 N2가스를 공급하여처리실(201) 내를 산소 비함유의 분위기로 한다. 이 때 가스 공급관(232j 내지 232l) 중 적어도 어느 하나, 또는 모두 사용하여 N2가스를 공급할 수 있다. 여기서는 예컨대 가스 공급관(232j 내지 232l)을 모두 사용하여 N2가스를 공급한다. 즉 밸브(243j 내지 243l)를 열고, 가스 공급관(232j 내지 232l) 내에 N2가스를 흘린다. N2가스는 MFC(241j 내지 241l)에 의해 유량 조정되어 가스 공급공(250a, 250c, 250d)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이에 의해 처리실(201) 내가 N2가스 분위기, 즉 산소 비함유의 분위기가 된다. N2가스는 이후, 열처리 가스로서도 작용한다.
〔제1 열처리〕
처리실(201) 내가 원하는 압력을 가지는 N2가스 분위기가 되고, 또한 웨이퍼(200)의 온도가 원하는 온도, 즉 제1 온도가 되면, 이 상태를 소정 시간 보지하고 웨이퍼(200) 상에 형성된 SiOC막에 대하여 제1 열처리를 수행한다.
이 때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 133Pa 내지 101,325Pa(1Torr 내지 760Torr), 바람직하게는 10,132Pa 내지 101,325Pa(76Torr 내지 760Torr)의 범위 내의 압력으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 웨이퍼(200) 상의 SiOC막에 대한 열처리 시간은 예컨대 1분 내지 60분, 바람직하게는 1분 내지 30분, 보다 바람직하게는 1분 내지 10분의 범위 내의 시간으로 한다.
이 때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 전술한 SiOC막을 형성하는 공정에서의 웨이퍼(200)의 온도보다 높은 제1 온도가 될 수 있는 온도로 설정한다. 구체적으로는 웨이퍼(200)의 온도가 실온 내지 150℃보다 높은 온도이며, 예컨대 300℃ 이상 450℃ 이하, 바람직하게는 300℃ 이상 400℃ 이하, 보다 바람직하게는 300℃ 이상 350℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. 이와 같은 온도 범위는 제1 불순물로서의 수분이나 Cl 등의 불순물을 원하지 않는 반응(SiOC막의 산화 등)을 발생시키지 않고 효율적으로 또한 충분히 SiOC막 중으로부터 탈리시켜서 제거하는 것을 고려한 후에 결정된다.
도 12a 내지 도 12c는 본 실시 형태의 성막 시퀀스에 의해 형성한 열처리앞의 SiOC막의 TDS(승온 탈리 가스 분광법)에 의한 탈리 스펙트럼을 예시하는 도면이며, 도 12a는 수분(H2O)의 탈리 스펙트럼을, 도 12b는 Cl의 탈리 스펙트럼을, 도 12c는 C2H2의 탈리 스펙트럼을 각각 예시한다. 도 12a 내지 도 12c의 횡축(橫軸)은 열처리 시의 웨이퍼(200)의 온도(℃)를 나타내고, 종축(縱軸)은 이온 전류값(A)을 나타낸다.
도 12a 및 도 12b에 예시하는 바와 같이 웨이퍼(200)의 온도가 300℃를 밑돌면, SiOC막 중으로부터 수분이나 Cl 등의 불순물, 특히 수분을 탈리시켜서 제거하는 것이 어려워지고, SiOC막의 개질 효과가 저하한다. 예컨대 웨이퍼(200)의 온도를 150℃ 이하로 하면, SiOC막 중으로부터 수분이나 Cl 등의 불순물은 거의 탈리하지 않는다. 웨이퍼(200)의 온도를 300℃ 이상으로 하는 것에 의해 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다.
단, 웨이퍼(200)의 온도가 450℃를 웃돌면, SiOC막 중으로부터 수분이나 Cl 등이 탈리할 때에 수분과 Cl이 반응하는 것에 의해 SiOC막이 산화되는 경우가 있다. SiOC막이 산화되는 것에 의해 SiOC막의 막 수축률이 커진다.
또한 이 온도대, 즉 450℃를 웃도는 온도대에서는 수분과 Cl이 반응할 때에 HCl이 생성되고, 이 HCl에 의해 SiOC막 중에 포함되는 Si-Cl 결합이나 Si-H 결합 등이 분리되는 경우가 있다. 이들의 결합이 분리되면, SiOC막 중에 불필요한 흡착 사이트가 생성되어, SiOC막 중으로부터 탈리한 물질(탈리 물질)의 이 흡착 사이트로의 재흡착을 초래한다. 즉 Cl이나 H와의 결합이 분리되어 댕글링 본드(미결합수)를 포함하게 된 Si에 SiOC막 중으로부터의 탈리 물질이 흡착한다. 이와 같이 하여 형성된 Si와 탈리 물질의 결합은 불안정하고 약하다. 그렇기 때문에 이 탈리 물질은 SiOC막을 구성하는 성분이 되지 않고, 불순물로서 SiOC막 중에 잔존한다. 탈리 물질로서는 수분이나 Cl이나 CxHy계의 불순물이나, 이들이 분해한 물질 등을 들 수 있다.
또한 이 온도대, 즉 450℃를 웃도는 온도대에서는 도 12c에 예시하는 바와 같이 SiOC막 중으로부터 CxHy계의 불순물도 탈리한다. 그리고 이 탈리한 CxHy계의 불순물이 SiOC막 중을 통과할 때에 Cl과 반응하는 것에 의해 SiOC막 중에서의 흡착 사이트로의 C의 재흡착을 초래하는 경우가 있다. 즉 CxHy계의 불순물과 Cl의 반응에 의해 CxHy계의 불순물에 유래하는 C가 SiOC막을 구성하는 어느 하나의 원소(원자), 예컨대 Si의 댕글링 본드에 흡착하는 경우가 있다. 이와 같이 하여 형성된 C와 Si의 결합은 불안정하고 약하다. 그렇기 때문에 CxHy계의 불순물에 유래하는 C는 SiOC막을 구성하는 성분이 되지 않고, 불순물로서 SiOC막 중에 잔존한다. SiOC막 중의 흡착 사이트에 C가 재흡착할 때에는 C가 C 단독으로 이 흡착 사이트에 재흡착하는 경우도 있고, C가 CxHy의 형태에서 이 흡착 사이트에 재흡착하는 경우도 있다.
즉 이 온도대, 즉 450℃를 웃도는 온도대에서는 전술한 원하지 않는 반응에 의해 SiOC막의 막 수축률이 커지고, 또한 SiOC막 중으로부터 불순물을 충분히 탈리시켜서 제거할 수 없게 된다. 결과적으로 SiOC막의 유전율(k값)을 충분히 저하시킬 수 없게 된다.
웨이퍼(200)의 온도를 300℃ 이상 450℃ 이하로 하는 것에 의해, 전술한 원하지 않는 반응을 억제하면서 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다. 즉 SiOC막 중으로부터 수분이나 Cl 등이 탈리할 때에 수분과 Cl의 반응에 의한 SiOC막의 산화를 억제하고, SiOC막의 막 수축률의 증대를 억제하는 것이 가능해진다. 또한 수분과 Cl의 반응에 의한 HCl의 생성을 억제하고, HCl에 의한 SiOC막 중의 Si-Cl 결합이나 Si-H 결합 등의 분리를 억제하는 것이 가능해진다. 결과적으로 SiOC막 중의 불필요한 흡착 사이트의 생성을 억제하고, 이 흡착 사이트로의 탈리 물질의 재흡착을 억제하는 것이 가능해진다. 또한 SiOC막 중으로부터 CxHy계의 불순물이 탈리할 때에, CxHy계의 불순물과 Cl의 반응을 억제하여, SiOC막 중의 흡착 사이트로의 C의 재흡착을 억제하는 것이 가능해진다. 또한 도 12c에 도시하는 바와 같이 특히 웨이퍼(200)의 온도가 400℃ 정도일 때에 CxHy계의 불순물의 탈리량이 피크가 된다. 따라서 웨이퍼(200)의 온도를 400℃ 이하, 바람직하게는 350℃ 이하로 하는 것에 의해 CxHy계의 불순물의 탈리를 억제할 수 있다. 즉 CxHy계의 불순물의 탈리량을 적게 할 수 있다. 이에 의해 SiOC막으로부터 탈리하는 CxHy계의 불순물의 절대량을 저감할 수 있고, CxHy계의 불순물과 Cl의 반응에 의한 C의 재흡착을 한층 더 억제할 수 있다.
웨이퍼(200)의 온도를 이와 같은 온도대, 즉 300℃ 이상 450℃ 이하의 온도대로 하는 것에 의해 SiOC막의 막 수축률을 작게 할 수 있고, SiOC막 중으로부터 탈리한 C나 CxHy를 포함하는 탈리 물질의 SiOC막 중의 흡착 사이트로의 재흡착을 억제할 수 있고, SiOC막 중으로부터 불순물, 특히 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다.
또한 웨이퍼(200)의 온도를 이와 같은 온도대, 즉 300℃ 이상 450℃ 이하의 온도대로 하는 것에 의해 전술과 같이 SiOC막 중으로부터 수분이나 Cl 등의 불순물이 탈리하여 제거될 뿐만 아니라, CxHy계의 불순물의 적어도 일부도 탈리하여 제거된다. 또한 이 때, 이와 같은 온도대라면, SiOC막 중으로부터 탈리한 CxHy계의 불순물과 Cl의 반응을 억제하여, SiOC막 중의 흡착 사이트로의 C의 재흡착을 억제할 수 있다. 즉 이와 같은 온도대로 하는 것에 의해 SiOC막 중으로부터 한 번 탈리시킨 CxHy계의 불순물에서의 C가 SiOC막 중의 흡착 사이트에 재흡착하는 것을 억제하는 것이 가능해진다.
이상으로부터 웨이퍼(200)의 온도는 300℃ 이상 450℃ 이하, 바람직하게는 300℃ 이상 400℃ 이하, 보다 바람직하게는 300℃ 이상 350℃ 이하의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 SiOC막에 대하여 제1 열처리를 수행하는 것에 의해 전술한 원하지 않는 반응을 억제하면서 SiOC막 중의 수분이나 Cl 등의 불순물을 SiOC막 중으로부터 충분히 탈리시켜서 제거할 수 있다. 또한 전술한 원하지 않는 반응을 억제하면서 SiOC막 중의 CxHy계의 불순물의 적어도 일부를 SiOC막 중으로부터 탈리시켜서 제거할 수 있다. SiOC막 중에서의 이들의 불순물이 SiOC막 중으로부터 제거되는 것에 의해, SiOC막이 개질되어 제1 열처리를 수행하기 전의 SiOC막보다 SiOC막의 에칭 내성이 높아지고, 또한 유전율이 저하하여 SiOC막의 막질(막특성)을 향상시킬 수 있다.
단, 제1 열처리 공정이 종료된 단계, 즉 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 제거시킨 단계에서는 SiOC막 중에 CxHy계의 불순물이 잔류하는 경우가 있다. 즉 이와 같은 온도대에서는 SiOC막 중으로부터 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 탈리하여 제거되지만, 수분이나 Cl 등의 불순물이 CxHy계의 불순물보다 먼저 제거되기 때문에, 수분이나 Cl 등의 불순물의 대부분이 제거된 단계에서는 SiOC막 중에 CxHy계의 불순물이 여전히 잔류하는 경우가 있다. 그리고 이 단계에서는 SiOC막 중에 잔류하는 CxHy계의 불순물이 원인이 되어 SiOC막의 k값을 충분히 저하시키지 못하는 경우가 있다. 그래서 후술하는 제2 열처리 공정에서 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해, 제1 온도로 열처리한 후의 SiOC막 중으로부터 SiOC막 중에 잔류하는 CxHy계의 불순물을 제거한다. 결과적으로 SiOC막의 k값을 충분히 저하시킬 수 있다.
〔제2 열처리〕
제1 열처리가 종료된 후, 즉 SiOC막 중으로부터 수분이나 Cl 등의 불순물을 충분히 탈리시켜서 제거한 후, 웨이퍼(200)의 온도를 제1 온도 내지 제2 온도로 변경한다. 제2 온도는 제1 온도 이상의 온도로 한다. 즉 제2 온도는 제1 온도보다 높은 온도로 하거나, 제1 온도와 동등한 온도로 한다. 처리실(201) 내의 분위기는 제1 열처리 공정과 마찬가지로 원하는 압력을 가지는 N2가스 분위기로 유지한다.
웨이퍼(200)의 온도가 원하는 온도, 즉 제2 온도가 되면, 이 상태를 소정 시간 보지하고 제1 열처리가 수행된 SiOC막에 대하여 제2 열처리를 수행한다. 즉 제1 온도로 제1 열처리를 수행한 후의 SiOC막에 대하여 제2 온도로 제2 열처리를 수행한다.
이 때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 133Pa 내지 101,325Pa(1Torr 내지 760Torr), 바람직하게는 10,132Pa 내지 101,325Pa(76Torr 내지 760Torr)의 범위 내의 압력으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 웨이퍼(200) 상의 SiOC막에 대한 열처리 시간은 예컨대 1분 내지 120분, 바람직하게는 1분 내지 60분, 보다 바람직하게는 1분 내지 30분의 범위 내의 시간으로 한다.
이 때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 전술한 제1 열처리 공정에서의 제1 온도 이상의 제2 온도가 될 수 있는 온도로 설정한다. 구체적으로는 웨이퍼(200)의 온도가 제1 온도 이상의 온도이며, 예컨대 300℃ 이상 900℃ 이하, 바람직하게는 350℃ 이상 700℃ 이하, 보다 바람직하게는 400℃ 이상 700℃ 이하, 또한 바람직하게는 450℃ 이상 600℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. 이와 같은 온도 범위는 제2 불순물로서의 CxHy계의 불순물을 효율적으로 또한 충분히 SiOC막 중으로부터 탈리시켜서 제거하는 것이나, 웨이퍼(200)가 받는 열 부하나 열 이력 등을 고려한 후에 결정된다.
도 12c에 예시하는 바와 같이 웨이퍼(200)의 온도가 300℃를 밑돌면, SiOC막 중으로부터 C2H2등의 CxHy계의 불순물을 탈리시켜서 제거하는 것이 어려워져, SiOC막의 개질 효과가 저하한다. 예컨대 웨이퍼(200)의 온도를 200℃ 이하로 하면, SiOC막 중으로부터 CxHy계의 불순물은 거의 탈리하지 않는다. 웨이퍼(200)의 온도를 300℃ 이상으로 하는 것에 의해 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시켜서 제거하는 것이 가능해진다. 단, 웨이퍼(200)의 온도를 300℃로 한 경우에는 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시키는 데 시간이 걸리는 경우가 있다. 웨이퍼(200)의 온도를 350℃ 이상으로 하는 것에 의해 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시킬 때까지의 시간을 단축하는 것이 가능해진다. 또한 특히 웨이퍼(200)의 온도가 400℃ 정도일 때에 CxHy계의 불순물의 탈리량이 피크가 된다. 따라서 웨이퍼(200)의 온도를 400℃ 이상으로 하는 것에 의해 CxHy계의 불순물의 탈리를 촉진시키는 것이 가능해진다. 즉 SiOC막 중으로부터 CxHy계의 불순물을 효율적으로 탈리시킬 수 있다. 또한 SiOC막 중으로부터 CxHy계의 불순물을 충분히 탈리시키기까지의 시간을 한층 더 단축하는 것도 가능해진다.
제2 열처리 공정을 수행하는 단계에서는 SiOC막 중에서의 수분이나 Cl 등의 불순물은 이미 제거되었기 때문에, 전술과 같은 원하지 않는 반응은 발생하지 않는다. 즉 수분과 Cl의 반응에 의한 SiOC막의 산화, 이에 의한 SiOC막의 막 수축률의 증대, 수분과 Cl의 반응에 의한 HCl의 생성, HCl에 의한 SiOC막 중의 Si-Cl 결합이나 Si-H 결합 등의 분리, 이에 의한 불필요한 흡착 사이트의 생성, 이 흡착 사이트로의 탈리 물질의 재흡착, CxHy계의 불순물과 Cl의 반응에 의한 흡착 사이트로의 C의 재흡착 등의 원하지 않는 반응은 발생하지 않는다. 이는 제2 온도는 원하지 않는 반응이 발생할 수 있는 온도대(450℃를 웃도는 온도대)를 포함하지만, 제2 열처리 공정을 수행하는 단계에서는 원하지 않는 반응을 발생시키는 물질(수분이나 Cl 등)이 발생하지 않기 때문이다. 또한 웨이퍼(200)의 온도를 450℃ 이상으로 하는 것에 의해서도 SiOC막 중으로부터의 CxHy계의 불순물의 탈리를 촉진시킬 수 있어 바람직하다. 웨이퍼(200)의 온도를 450℃ 이상으로 하는 것에 의해 웨이퍼(200)의 온도를 300℃ 내지 350℃로 하는 경우보다 SiOC막 중으로부터의 CxHy계의 불순물의 탈리를 촉진시킬 수 있다.
웨이퍼(200)의 온도가 900℃를 초과하면, 열 부하가 지나치게 커져 웨이퍼(200) 상에 형성되는 반도체 디바이스의 전기 특성 등에 영향을 미치는 경우가 있다. 웨이퍼(200)의 온도를 적어도 900℃ 이하로 하는 것에 의해 이 열 부하에 의한 전기 특성 등에 대한 영향을 억제하는 것이 가능해진다. 열처리 대상인 SiOC막이 형성된 웨이퍼(200)가 메모리 디바이스용으로 적합한 경우에는 900℃ 정도의 열을 견딜 수 있다. 또한 이 웨이퍼(200)가 로직 디바이스용으로 적합한 경우에도 700℃ 정도의 열을 견딜 수 있다. 웨이퍼(200)의 온도를 600℃ 이하로 하면, 디바이스 구조 등의 열 손상을 보다 확실하게 회피하는 것이 용이해진다.
이상으로부터 웨이퍼(200)의 온도는 300℃ 이상 900℃ 이하, 바람직하게는 350℃ 이상 700℃ 이하, 보다 바람직하게는 400℃ 이상 700℃ 이하, 또한 바람직하게는 450℃ 이상 600℃ 이하의 범위 내의 온도로 하는 것이 좋다. 제2 온도는 제1 온도 이상의 온도로 하면 좋다. 즉 제2 온도는 제1 온도보다 높은 온도로 해도 좋고, 제1 온도와 동등한 온도로 해도 좋다.
예컨대 제1 온도를 300℃ 내지 400℃로 하고, 제2 온도를 450℃ 내지 600℃로 한 경우, 제1 열처리 공정 및 제2 열처리 공정의 각각에서 전술한 원하지 않는 반응을 확실하게 방지하는 것이 가능해진다. 특히 제1 온도를 300℃ 내지 400℃의 온도로 하는 것에 의해 제1 열처리 공정에서 원하지 않는 반응을 보다 확실하게 방지하는 것이 가능해진다. 또한 제2 온도를 450℃ 내지 600℃, 즉 원하지 않는 반응이 발생할 수 있는 온도대에서도, 제2 열처리 공정을 수행하는 단계에서는 원하지 않는 반응을 발생시키는 물질(수분이나 Cl 등)이 발생하지 않기 때문에, 원하지 않는 반응을 확실하게 억제하는 것이 가능해진다. 또한 제2 온도를 450℃ 내지 600℃, 즉 제1 온도를 초과하는 온도로 하는 것에 의해 제2 열처리 공정에서의 SiOC막 중으로부터의 CxHy계의 불순물의 탈리를 보다 신속하게 수행하는 것이 가능해진다.
또한 예컨대 제1 온도 및 제2 온도를 동일한 온도, 예컨대 400℃ 내지 450℃의 범위 내의 동일한 온도로 해도 좋다. 제1 온도 및 제2 온도를 각각 400℃ 내지 450℃의 범위 내의 동일한 온도로 하면, 제1 열처리 공정 및 제2 열처리 공정에서 전술한 원하지 않는 반응을 확실하게 억제하는 것이 가능해진다. 또한 제1 온도 및 제2 온도를 동일한 온도로 하면, 제1 열처리 공정과 제2 열처리 공정 사이에 웨이퍼(200)의 온도, 즉 처리실(201) 내의 온도[히터(207)의 온도]를 변경(조정)할 필요가 없다. 즉 제1 열처리 공정과 제2 열처리 공정 사이에 처리실(201) 내의 온도가 안정될 때까지 대기할 필요가 없다. 따라서 이들의 공정을 연속적으로 수행하는 것이 가능해지고, 또한 열처리의 온도 제어를 간소화하는 것이 가능해진다.
전술한 조건 하에서 SiOC막에 대하여 제2 열처리를 수행하는 것에 의해 전술한 원하지 않는 반응을 억제하면서 SiOC막 중의 CxHy계의 불순물을 SiOC막 중으로부터 충분히 탈리시켜서 제거할 수 있다. SiOC막 중에서의 이 불순물이 SiOC막 중으로부터 제거되는 것에 의해 SiOC막이 한층 더 개질되어, 제1 열처리를 수행한 후이며 제2 열처리를 수행하기 전의 SiOC막보다 SiOC막의 에칭 내성을 한층 더 높일 수 있고, 또한 유전율을 한층 더 저하시킬 수 있다. 즉 SiOC막의 막질(막특성)을 한층 더 향상시킬 수 있다. 본 실시 형태의 기법에 의하면, SiOC막의 유전율(k값)을 예컨대 2.7 정도까지 저하시킬 수 있다.
이와 같이 본 실시 형태에서는 우선 원하지 않는 반응이 발생하지 않는 온도대(제1 온도)에서 SiOC막을 열처리한다. 이에 의해 원하지 않는 반응을 발생시키지 않고, 원하지 않는 반응을 발생시키는 물질인 수분이나 Cl 등의 불순물(제1 불순물)을 SiOC막 중으로부터 제거한다. 그리고 SiOC막 중으로부터 원하지 않는 반응을 발생시키는 물질인 수분이나 Cl 등의 불순물(제1 불순물)을 제거한 후에 원하지 않는 반응이 발생할 수 있는 온도대를 포함하는 온도대(제2 온도)에서 원하지 않는 반응을 발생시키는 물질인 수분이나 Cl 등의 불순물(제1 불순물)이 존재(발생)하지 않는 분위기 하에서 SiOC막을 열처리한다. 이에 의해 원하지 않는 반응을 발생시키지 않고, 원하지 않는 반응이 발생하지 않는 온도대(제1 온도)에서 열처리한 후의 SiOC막 중으로부터 CxHy계의 불순물(제2 불순물)을 제거한다.
본 실시 형태에서의 이와 같은 열처리를 2단계 열처리(다단계 열처리)라고도 칭할 수 있다. 또한 2단계 어닐링(다단계 어닐링), 2단계 개질 처리(다단계 개질 처리), 2단계 불순물 제거 처리(다단계 불순물 제거 처리) 등이라고도 칭할 수 있다.
제1 열처리 공정 및 제2 열처리 공정에서는 처리실(201) 내를 산소 비함유 가스로서의 N2가스에 의해 산소 비함유의 분위기로 한다. 여기서 말하는 산소 비함유의 분위기란 처리실(201) 내의 분위기 중에 산화 가스(O성분)가 존재하지 않는 상태뿐만 아니라, 처리실(201) 내의 분위기 중에서의 산화 가스의 농도(O농도)가 처리 대상인 SiOC막에 영향을 미치지 않을 정도로 저하한 상태를 포함한다. 이에 의해 전술과 같은 성막 온도보다 높은 온도로 열처리를 수행해도, SiOC막 중의 O농도가 원하는 농도를 넘어 높아지는 것, 즉 SiOC막의 산화가 과도하게 진행되는 것을 억제할 수 있다. 또한 처리실(201) 내를 산소 비함유의 분위로 하기 때문에, 산화의 진행 등에 따라 SiOC막 중의 C농도가 원하는 농도 미만으로 저하하는 것, 즉 SiOC막 중으로부터 C가 탈리하는 것을 억제할 수 있다. 이 때 N2가스 등의 산소 비함유 가스는 열처리 가스로서 작용한다고도 할 수 있다. 또한 N2가스 등은 SiOC막 중으로부터 탈리한 불순물을 이송하는 캐리어 가스로서 작용한다고도 할 수 있다. 즉 산소 비함유 가스는 이들 불순물의 SiOC막 중이나 처리실(201) 내로부터의 배출을 촉진시키고, 이에 의해 SiOC막의 개질을 촉진시키는 어닐링 가스로서 작용한다고도 할 수 있다.
처리실(201) 내를 산소 비함유의 분위기로 하기 위해서는 예컨대 N2가스 등의 산소 비함유 가스를 웨이퍼(200)에 대하여 공급하지 않고, 산소 비함유의 분위기를 생성하는 분위기 생성부로서의 배기계에 의해 처리실(201) 내를 진공 배기해도 좋다. 이에 의해 O성분을 포함한 대부분의 성분을 처리실(201) 내의 분위기로부터 배기 및 제거할 수 있다. 단, 전술과 같이 처리실(201) 내를 배기하면서, 또한 N2가스 등의 산소 비함유 가스를 웨이퍼(200)에 대하여 공급하는 것이 처리실(201) 내에 잔류하는 O성분의 배기를 촉진시켜, 처리실(201) 내를 산소 비함유의 분위기로 하는 것이 용이해진다. 또한 이와 같이 하는 것에 의해, 처리실(201)을 구성하는 처리 용기의 내벽이나, 외부로부터 반입된 웨이퍼(200) 등으로부터 O성분을 포함하는 아웃 가스가 발생해도 N2가스에 의한 희석 효과에 의해 처리실(201) 내의 산소 비함유의 분위기를 유지하는 것이 용이해진다.
SiOC막의 개질 처리(어닐링 처리)는 주로 웨이퍼(200)의 온도가 원하는 온도로 안정적으로 유지되는 열처리 기간 중에 수행된다. 단, 전술한 웨이퍼(200)의 온도를 조정하는 공정(성막 온도로부터 제1 온도로 변경하는 공정, 제1 온도로부터 제2 온도로 변경하는 공정 등)에서 웨이퍼(200)를 승온시킬 때, 또는 후술하는 처리실(201) 내를 퍼지하는 공정에서 웨이퍼(200)를 강온시킬 때에도 SiOC막 중의 불순물의 제거가 진행될 수 있는 온도로 웨이퍼(200)의 온도가 유지되는 동안에는 SiOC막의 개질 처리를 진행할 수 있다. 따라서 SiOC막을 개질하는 공정이란 주로 SiOC막을 열처리하는 공정을 말하지만, 웨이퍼(200)의 온도를 조정하는 공정 및 처리실(201) 내를 퍼지하는 공정 중 적어도 일부의 기간을 SiOC막을 개질하는 공정에 포함시켜서 생각해도 좋다. 바꿔 말하면, SiOC막을 개질하는 공정이란 웨이퍼(200)의 온도가 개질 처리에 필요한 온도에 도달하고 개질 처리에 필요한 온도 미만에 도달하는 직전까지의 기간을 가리킨다고도 할 수 있다. 또한 SiOC막을 개질하는 공정이란 웨이퍼(200)의 온도가 개질 처리에 필요한 온도에 도달하고, 즉 SiOC막의 개질이 시작되고 SiOC막의 개질이 완료할 때까지의 기간을 가리킨다고도 할 수 있다.
산소 비함유 가스로서는 N2가스 외에 예컨대 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용할 수 있다.
〔퍼지 및 대기압 복귀〕
SiOC막을 개질하는 처리가 종료되면, 밸브(243j 내지 243l)를 연 상태로 하여 가스 공급관(232j 내지 232l)의 각각으로부터 N2가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 퍼지되어 처리실(201) 내에 잔류하는 가스나 SiOC막으로부터 탈리한 불순물 등의 물질을 포함하는 가스 등이 처리실(201) 내로부터 제거된다. 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되어, 처리실(201) 내의 압력이 상압으로 복귀된다.
또한 히터(207)로의 통전 상태를 조정하고, 또는 히터(207)로의 통전(通電)을 정지하고, 웨이퍼(200)의 온도가 예컨대 200℃ 미만, 바람직하게는 실온 정도의 온도가 되도록 웨이퍼(200)의 온도를 강온시킨다. 웨이퍼(200)의 강온을 전술한 퍼지 및 대기압 복귀와 병행하여 수행하는 것에 의해 퍼지 가스의 냉각 효과로 웨이퍼(200)의 온도를 소정 온도로까지 단시간에 저하시킬 수 있다.
〔보트 언로드 및 웨이퍼 디스차지〕
그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 매니폴드(209)의 하단이 개구되고, 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부에 반출(보트 언로드)된다. 처리 완료된 웨이퍼(200)는 보트(217)보다 취출(取出)된다(웨이퍼 디스차지).
(3) 본 실시 형태에 의한 효과
본 실시 형태에 의하면, 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
(a) BTCSM가스와 같은 Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 포함하는 원료와 함께 피리딘 가스와 같은 촉매를 공급하는 것에 의해, BTCSM가스와 같은 원료의 분해를 촉진하는 것이 가능해진다. 이에 의해 예컨대 150℃ 이하의 저온 조건 하에서도 제1층을 형성하는 것이 가능해진다. 또한 제1층을 형성할 때, BTCSM가스와 같은 원료의 물리 흡착층이 아닌 화학 흡착층의 형성을 우세로 하는 것이 가능해지고, 제1층의 형성 레이트를 높이는 것이 가능해진다.
또한 H2O가스와 같은 산화제와 함께 피리딘 가스와 같은 촉매를 공급하는 것에 의해 H2O가스와 같은 산화제의 분해를 촉진시켜, H2O가스와 같은 산화제의 산화력을 향상시키는 것이 가능해진다. 이에 의해 예컨대 150℃ 이하의 저온 조건 하에서도 제1층과 H2O가스와 같은 산화제를 효율적으로 반응시켜 제1층을 제2층으로 개질하는 것이 가능해진다. 또한 제1층의 개질 레이트를 높이는 것이 가능해진다.
즉 피리딘 가스와 같은 촉매의 촉매 작용에 의해 SiOC막의 성막 온도를 저온화시키고, 또한 SiOC막의 성막 레이트를 높이는 것이 가능해진다.
(b) BTCSM가스와 같은 Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 포함하는 원료 가스, 즉 Si소스로서 작용하고, 또한 C소스로서 작용하는 가스를 이용하는 것에 의해, 제1층 중에 C를 첨가하는 것이 가능해진다. 결과적으로 고농도로 C가 첨가된 막, 즉 높은 C농도를 포함하는 SiOC막을 형성하는 것이 가능해진다.
특히 BTCSM가스와 같은 Si-Si 결합을 포함하지 않고 이들 사이에 C가 개재한 Si-C-Si 결합을 포함하는 원료 가스를 이용하는 것에 의해, SiOC막 중의 C농도를 높이는 것이 가능해진다. 즉 원료 가스에 포함되는 C는 2개의 결합수로 Si와 각각 결합한다. 이로 인해 제1층을 형성할 때, BTCSM가스에 포함되는 C와 Si의 결합이 모두 분리되어 C가 제1층 중에 취입(取入)되지 않는 것을 억제할 수 있다. 또한 제1층을 제2층으로 개질할 때, 제1층 중에 포함되는 C와 Si의 결합이 모두 분리되어 제1층으로부터 C가 탈리하는 것을 억제할 수 있다. 즉 BTCSM가스와 같은 Si-C-Si 결합을 포함하는 원료 가스를 이용하는 것에 의해, TCDMDS가스와 같은 Si-Si 사이에 C가 개재한 결합을 포함하지 않는 원료 가스 등을 이용하는 경우보다 막 중의 C농도를 더 높이는 것이 가능해진다.
또한 막 중에 C를 첨가하는 것에 의해 SiOC막의 불산(HF)에 대한 내성(에칭 내성)을 향상시키는 것도 가능해진다.
참고로 1% 농도의 불산(1% HF 수용액)에 대한 웨트 에칭 레이트(이후, WER이라고도 부른다)는 저온 조건 하에서 촉매 가스를 이용하여 얻어지는 SiO막에서 약 600Å/min, 저온 조건 하에서 플라즈마를 이용하여 얻어지는 SiO막에서 약 200Å/min, 산화 노(爐) 내에서 실리콘 웨이퍼를 열 산화하여 얻어지는 열 산화막에서 약 60Å/min이다. 즉 저온 조건 하에서 촉매 가스나 플라즈마를 이용하여 형성되는 SiO막은 열 산화막보다 에칭 내성이 낮아지는 경향이 있다. 에칭 내성을 향상시키기 위해서는 막 중에 C를 첨가하는 것, 즉 SiOC막을 형성하는 것이 유효하다. 성막 온도가 600℃ 내지 800℃라면, 예컨대 HCDS가스 등의 원료 가스(Si소스), O2가스 등의 산화 가스(O소스), 프로필렌(C3H6) 가스 등의 탄소 함유 가스(C소스)를 웨이퍼에 대하여 교호(交互)적으로 또는 동시에 공급하는 것에 의해 SiOC막을 형성하는 것이 가능하다. 하지만 성막 온도를 예컨대 150℃ 이하로 한 경우, 전술한 가스나 전술한 성막 기법을 이용하여 SiOC막을 형성하는 것은 곤란하다.
이에 대하여 본 실시 형태에서는 예컨대 150℃ 이하의 저온 조건 하에서도 고농도로 C가 첨가된 SiOC막, 즉 에칭 내성이 높은 막을 형성하는 것이 가능해진다. 예컨대 본 실시 형태에서는 열 산화막보다 에칭 내성이 높은 막을 형성하는 것이 가능해진다. 또한 피리딘 가스의 공급량을 적절히 조정하는 것 등에 의해, SiOC막 중의 C농도, 즉 에칭 내성을 정밀도[精度] 좋게 제어하는 것이 가능해진다.
(c) BTCSM가스와 같은 1분자 중에 포함되는 알킬렌기의 분자량(분자 사이즈)이 작은 알킬렌할로실란 원료 가스를 이용하는 것에 의해, 성막 레이트를 향상시키고 강고한 막을 형성하는 것이 가능해진다. 즉 예컨대 헥실렌기나 헵틸렌기 등의 분자량이 큰 알킬렌기를 1분자 중에 포함하는 알킬렌할로실란 원료 가스를 이용한 경우, 이 분자량이 큰 알킬렌기가 원료 가스에 포함되는 Si의 반응을 저해하는 입체 장해(障害)를 야기하여 제1층의 형성을 저해하는 경우가 있다. 또한 제1층 중에 전술한 알킬렌기가 미분해, 또는 일부밖에 분해하지 않는 상태에서 잔류한 경우, 이 분자량이 큰 알킬렌기가 제1층에 포함되는 Si와 H2O가스의 반응을 저해하는 입체 장해를 야기하여 제2층의 형성을 저해하는 경우가 있다. 이에 대하여 BTCSM가스와 같은 1분자 중에 포함되는 알킬렌기의 분자량이 작은 알킬렌할로실란 원료 가스를 이용하는 것에 의해 전술한 입체 장해의 발생을 억제할 수 있어 제1층 및 제2층의 형성을 각각 촉진시킬 수 있다. 결과적으로 성막 레이트를 높이고 강고한 막을 형성하는 것이 가능해진다. 또한 TCDMDS가스와 같은 1분자 중에 포함되는 알킬기의 분자량이 작은 알킬할로실란 원료 가스를 이용한 경우에도 마찬가지의 효과를 얻을 수 있다.
(d) BTCSM가스와 같은 1분자 중에 2개의 Si를 포함하는 원료 가스를 이용하는 것에 의해 SiOC막을 막 중에 포함되는 Si끼리가 서로 근접한 막으로 하는 것이 가능해진다. 즉 BTCSM가스가 자기분해하지 않는 조건 하에서 제1층을 형성할 때, BTCSM가스 분자에 포함되는 2개의 Si는 서로 근접한 상태를 유지한 상태에서 웨이퍼(200)(표면의 하지막) 상에 흡착된다. 또한 BTCSM가스가 자기분해하는 조건 하에서 제1층을 형성할 때, BTCSM가스 분자에 포함되는 2개의 Si는 서로 근접한 상태를 유지한 상태에서 웨이퍼(200) 상에 퇴적하는 경향이 강해진다. 즉 BTCSM가스와 같은 1분자 중에 2개의 Si를 포함하는 가스를 이용하는 것에 의해, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스와 같은 1분자 중에 1개의 Si밖에 포함하지 않는 가스를 이용하는 경우에 비해 제1층 중에 포함되는 Si끼리를 서로 근접한 상태로 하는 것이 가능해진다. 결과적으로 SiOC막을 막 중의 Si끼리가 서로 근접한 막으로 하는 것이 가능해진다. 이에 의해 막의 에칭 내성을 향상시키는 것도 가능해진다.
(e) BTCSM가스와 같은 원료 및 피리딘 가스와 같은 촉매의 공급과, H2O가스와 같은 산화제 및 피리딘 가스와 같은 촉매의 공급을 교호적으로 수행하는 것에 의해, 이들의 가스를 표면 반응이 지배적인 조건 하에서 적절하게 반응시킬 수 있다. 결과적으로 SiOC막의 단차(段差) 피복성, 막 두께 제어의 제어성을 각각 향상시키는 것이 가능해진다. 또한 처리실(201) 내에서의 과잉 기상 반응을 회피할 수 있어, 파티클의 발생을 억제하는 것도 가능해진다.
(f) SiOC막의 성막 온도보다 높은 제1 온도로 SiOC막을 열처리하는 것에 의해 SiOC막 중으로부터 제1 불순물(수분이나 Cl 등의 불순물)을 제거할 수 있다. 그 후, 제1 온도 이상의 제2 온도로 SiOC막을 열처리하는 것에 의해 제1 온도로 열처리한 후의 SiOC막 중으로부터 제1 불순물과는 다른 제2 불순물(CxHy계의 불순물)을 제거할 수 있다. 결과적으로 SiOC막을 SiOC막 개질 공정을 수행하기 전의 증착(as depo) 상태의 SiOC막보다 불순물이 적은 막으로 할 수 있다. 이에 의해 SiOC막의 에칭 내성을 향상시켜, 막의 유전율을 저하시킬 수 있다. 즉 SiOC막의 막질을 향상시킬 수 있다.
(g) SiOC막 형성 공정 및 SiOC막 개질 공정의 일련의 처리를 수행하는 것에 의해 포러스 형상의 막을 형성하는 것이 가능해진다. 즉 SiOC막을 포러스화할 수 있다.
즉 SiOC막 형성 공정으로 형성된 막 중에는 적어도 Si-C 결합과 Si-O결합이 존재한다. Si와 C의 결합 거리는 Si와 O의 결합 거리보다 크다. 따라서 SiO2막에 비해 SiOC막은 막 중으로의 Si-C 결합의 도입에 의해 원자 사이 거리가 커지고, 막 밀도가 감소한다. 또한 SiOC막 중에는 Si-C-Si 결합이 존재하는 경우도 있어, 이 경우, 막 밀도가 한층 더 소가 된다. 특히 원료 가스로서 BTCSM가스와 같은 Si-C-Si 결합을 포함하는 가스를 이용한 경우, SiOC막 중에 Si-C-Si 결합이 포함되기 쉬워져, 막 밀도가 소가 되는 경향이 강해진다. 이 막 밀도가 소가 되는 부분에는 미소(微小)한 공(孔, 포어), 즉 미소한 공간이 발생한다고도 할 수 있다. 즉 SiOC막 형성 공정에서 형성된 SiOC막은 증착 상태에서 포러스 형상의 막, 즉 막 중의 원자 밀도가 낮은 막이 된다.
또한 SiOC막 개질 공정에서 SiOC막 중으로부터 수분이나 Cl 등의 불순물이나 CxHy계의 불순물이 탈리할 때, 이들의 불순물이 제거된 부분에는 미소한 공(pore), 즉 미소한 공간이 발생한다. 즉 SiOC막 개질 공정에 의해 개질된 SiOC막은 증착 상태의 SiOC막보다 포러스화가 한층 더 진행된 포러스 형상의 막, 즉 막 중의 원자 밀도가 한층 더 낮은 막이 된다. 단, SiOC막 개질 공정에서 전술한 원하지 않는 반응이 발생하면, SiOC막의 막 수축률이 커져, SiOC막의 포러스 상태를 유지하는 것이 어려워진다. SiOC막 개질 공정을 전술한 처리 조건으로 수행하는 것에 의해 증착 상태에서의 포러스 상태를 유지하면서, 또한 포러스화가 진행된 상태로 개질(변화)시키는 것이 가능해진다. 즉 SiOC막의 막질을 향상시킬 수 있다.
(h) SiOC막 형성 공정 및 SiOC막 개질 공정의 일련의 처리를 수행하는 것에 의해 SiOC막의 유전율(k값)을 SiO2막의 유전율보다 저하시키는 것이 가능해진다. 즉 SiOC막 형성 공정 및 SiOC막 개질 공정의 일련의 처리를 수행하는 것에 의해 전술한 바와 같이 SiOC막을 포러스화시킬 수 있다. 또한 SiOC막 개질 공정을 수행하는 것에 의해 SiOC막 중으로부터 수분이나 Cl 등의 불순물이나 CxHy계의 불순물을 제거할 수 있다. 수분 등의 불순물은 영구 쌍극자 모멘트를 가지기 때문에 전장(電場)을 따라 방향을 바꿔서 유전율을 높이는 물질이다. SiOC막의 포러스화와, 유전율을 높이는 물질의 제거와에 의해, SiOC막의 유전율을 SiO2막의 유전율보다 저하시킬 수 있다. 본 실시 형태의 성막 시퀀스에 의하면, SiOC막의 유전율을 예컨대 3.0 이하, 구체적으로는 2.68까지 저하시킬 수 있다는 것을 확인하였다.
(i) 또한 트랜지스터나, 차세대 메모리로서 개발되고 있는 ReRAM이나 MRAM에는 저온 성막, 저(低)WER(높은 에칭 내성), 저유전율 등을 만족시키는 박막으로서 예컨대 실리콘 질화막(SiN막)에 C를 첨가한 실리콘 탄질화막(SiCN막)이나, SiCN막 중에 또한 O를 첨가한 실리콘 산탄질화막(SiOCN막) 등의 박막이 이용될 것으로 생각된다. 한편, 이들의 박막의 에칭 내성을 한층 더 향상시켜 유전율을 한층 더 저하시키기 위해서는 막 중의 C농도나 O농도를 증가시켜 N농도를 저하시킬 필요가 있다. 하지만 예컨대 각종 가스를 교호적으로 공급하여 성막하는 전술과 같은 방법으로 또한 저온 영역에서 N농도를 예컨대 불순물 수준으로 억제하면서 C농도 등을 높이는 것은 곤란하다.
이에 대하여 본 실시 형태에서는 예컨대 150℃ 이하의 저온의 조건 하에서도 Si, C 및 Cl을 포함하고 Si-C 결합을 포함하는 원료 가스를 이용하는 것에 의해, 박막 중의 C농도를 높이거나, 정밀도 좋게 제어하는 것이 가능해진다.
(4) 본 실시 형태의 변형예
본 실시 형태의 성막 시퀀스는 도 4a에 도시하는 형태에 한정되지 않고, 이하에 도시하는 변형예와 같이 변경할 수 있다.
〔변형예1〕
원료 가스를 공급하는 스텝1a에서는 원료 가스로서 예컨대 BTCSE가스와 같은 BTCSM가스와는 종류의 다른 알킬렌할로실란 원료 가스를 공급해도 좋다. 또한 예컨대 TCDMDS가스와 같은 알킬할로실란 원료 가스를 공급해도 좋다. 도 4b는 원료 가스로서 BTCSM가스 대신에 TCDMDS가스를 이용하는 예를 도시한다. 이 경우, 스텝1a에서는 밸브(243d)의 개폐 제어를 도 4a에 도시하는 성막 시퀀스의 스텝1a에서의 밸브(243a)의 개폐 제어와 마찬가지의 순서로 수행한다. 그 외의 처리 조건이나 처리 순서는 예컨대 도 4a에 도시하는 성막 시퀀스와 마찬가지로 한다.
본 변형예에 의하면, 도 4a에 도시하는 성막 시퀀스와 마찬가지의 효과를 갖는다.
또한 본 변형예와 같이 원료 가스의 종류를 적절히 선택하는 것에 의해 SiOC막 중의 C농도 등을 제어하는 것이 가능해진다. 또한 SiOC막 중의 C농도를 제어하는 것에 의해 C농도에 대한 상대적인 Si농도 및 O농도를 변화시키는 것도 가능해진다.
이 하나의 요인으로서는 예컨대 각 원료 가스의 분자 구조 중에서의 C의 배치 차이를 들 수 있다. 즉 BTCSM가스나 BTCSE가스 등은 Si-C-Si 결합이나 Si-C-C-Si 결합을 포함하는 원료 가스이며, C가 Si에 개재된 분자 구조를 가진다. BTCSM가스나 BTCSE가스 등에 포함되는 Si의 4개의 결합수 중 C와 결합하지않고 남은 결합수에는 많은 Cl이 결합한다. 예컨대 BTCSM가스나 BTCSE가스는 모두 Si의 4개의 결합수 중 3개의 결합수에 Cl이 결합한다. 이와 같이 BTCSM가스나 BTCSE가스 등은 1분자 중에 많은(예컨대 6개) Cl을 포함하기 때문에 TCDMDS가스 등과 같은 1분자 중에 포함되는 Cl의 수가 적은(예컨대 4개 이하) 원료 가스보다 높은 반응성을 가지는 것으로 생각된다. 반응성이 높은 BTCSM가스나 BTCSE가스 등을 원료 가스로서 이용하는 것에 의해, 제1층을 형성할 때의 반응을 효율적으로 수행할 수 있어, SiOC막의 성막 레이트를 높이는 것이 가능해진다. 또한 반응성이 높은 원료 가스를 이용하는 것에 의해 성막 처리를 진행시키는 것이 가능한 처리 조건의 범위, 즉 프로세스 윈도우를 확장하는 것이 가능해진다. 광범위한 프로세스 윈도우 내로부터 원하는 C농도를 얻을 수 있는 성막 조건을 선택할 수 있기 때문에, 결과적으로 SiOC막 중의 C농도를 높이는 것이 용이해진다. 또한 SiOC막 중의 C농도의 제어성을 향상시키는 것도 가능해진다. 여기서 BTCSM가스 중에 포함되는 C의 수는 예컨대 TCDMDS가스 등에 비해 적다. 단, 이 점은 SiOC막 중의 C농도의 향상에 불리하게 작용하지 않을 것으로 생각된다. 본 발명자 등에 의하면, BTCSM가스를 이용하는 것이 TCDMDS가스를 이용하는 경우보다 C농도를 향상시키는 것이 더 용이하다는 것을 확인하였다.
또한 TCDMDS가스나 DCTMDS가스 등은 Si-C-Si 결합이나 Si-C-C-Si 결합을 포함하지 않는 원료 가스이며, 메틸기 등의 알킬기가 Si에 결합한 분자 구조, 즉 클로로실란 원료 가스의 일부의 클로로기가 메틸기로 치환된 분자 구조를 가진다. TCDMDS가스나 DCTMDS가스 등은 1분자 중에 포함하는 Cl의 수가 적기 때문에(예컨대 4개 이하), BTCSM가스나 BTCSE가스 등의 원료 가스보다 반응성이 낮아질 것으로 생각된다. 그렇기 때문에 TCDMDS가스나 DCTMDS가스 등을 원료 가스로서 이용하는 것에 의해 제1층을 형성할 때의 반응을 비교적 천천히 진행시킬 수 있어, SiOC막을 보다 치밀한 막으로 하는 것이 가능해진다. 결과적으로 SiOC막 중의 C농도를 적절히 억제해도 높은 에칭 내성을 유지하는 것이 가능해진다. TCDMDS가스를 원료 가스로서 이용하는 경우와, DCTMDS가스를 원료 가스로서 이용하는 경우의 비교에서는 1분자 중에 메틸기, 즉 C를 다수 포함하는 DCTMDS가스가 더 막 중으로의 C의 취입량에 유리하게 작용한다는 것을 확인하였다.
이와 같이 원료 가스로서 예컨대 BTCSM가스나 BTCSE가스 등을 선택하여 공급하는 것에 의해 SiOC막 중의 C농도를 높이는 것이 용이해진다. 또한 원료 가스로서 예컨대 TCDMDS가스나 DCTMDS가스 등을 선택하여 공급하는 것에 의해, 에칭 내성을 유지하면서 SiOC막 중의 C농도를 적절하게 억제하는 것이 가능해진다. 이와 같이 복수 종류의 원료 가스 중으로부터 특정한 원료 가스를 선택하여 공급하는 것에 의해 SiOC막 중의 C농도 등을 정밀도 좋게 제어하는 것이 가능해진다.
〔변형예2〕
O2가스를 공급하는 스텝2a에서는 촉매 가스로서 피리딘 가스와는 다른 분자 구조를 가지는 촉매 가스, 즉 피리딘 가스와는 다른 종류의 아민계 촉매 가스를 공급해도 좋다. 즉 원료 가스와 함께 공급하는 촉매 가스의 종류와, 산화 가스와 함께 공급하는 촉매 가스의 종류를 다르게 해도 좋다. 이 경우, 스텝2a에서는 가스 공급관(232c)으로부터 피리딘 가스와는 다른 종류의 아민계 촉매 가스를 공급하면 좋다. 그 외의 처리 조건이나 처리 순서는 예컨대 도 4a에 도시하는 성막 시퀀스와 마찬가지로 한다.
본 변형예에 의하면, 도 4a에 도시하는 성막 시퀀스와 마찬가지의 효과를 갖는다.
또한 본 변형예와 같이 촉매 가스의 종류를 적절히 선택하는 것에 의해 SiOC막 중의 C농도 등을 제어하는 것이 가능해진다. 또한 SiOC막 중의 C농도를 제어하는 것에 의해 막 중의 Si농도 및 O농도를 상대적으로 변화시키는 것도 가능해진다.
이 하나의 요인으로서는 예컨대 촉매 가스의 분자 구조에 따른 촉매 작용의 강도의 차이를 들 수 있다. 예컨대 pKa값이 큰 촉매 가스를 선택하는 것에 의해, 산화 가스의 분해가 촉진되어 그 산화력이 증가하는 경우가 있다. 그 결과, 스텝2a에서 제1층에 포함되는 Si-C 결합이 절단되어, 최종적으로 형성되는 SiOC막 중의 C농도가 저하하는 경우가 있다. 또한 예컨대 pKa가 작은 촉매 가스를 선택하는 것에 의해, 산화 가스의 분해가 적절히 억제되어 그 산화력이 저하하는 경우가 있다. 그 결과, 스텝2a에서 제1층에 포함되는 Si-C 결합이 유지되기 쉬워져, 최종적으로 형성되는 SiOC막 중의 C농도가 높아지는 경우가 있다. 또한 다른 요인으로서는 촉매 가스나 생성되는 염 등의 촉매 반응에 관여되는 각종 물질의 증기압의 차이를 들 수 있다. 또한 이들의 요인이 합쳐진 복합 요인 등을 들 수 있다.
〔변형예3〕
전술한 스텝1a, 스텝2a의 사이클을 복수 회 수행할 때, 도중에 원료 가스의 종류나 촉매 가스의 종류를 변경해도 좋다. 또한 스텝1a, 스텝2a의 사이클을 복수 회 수행할 때, 도중에 촉매 가스의 공급량을 변경해도 좋다.
이 경우, 원료 가스의 종류의 변경을 1회만 수행해도 좋고, 복수 회 수행해도 좋다. 또한 이용하는 원료 가스는 2종류이어도 3종류 이상이어도 좋다. 원료 가스의 조합은 Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 포함하는 원료 가스 중으로부터 임의로 선택할 수 있다. 원료 가스의 사용 순서는 임의로 선택할 수 있다. 또한 촉매 가스의 종류의 변경을 1회만 수행해도 좋고, 복수 회 수행해도 좋다. 또한 이용하는 촉매 가스는 2종류이어도 3종류 이상이어도 좋다. 촉매 가스의 조합이나 순서는 임의로 선택할 수 있다. 또한 촉매 가스의 공급량을 변경하는 경우에는 그 공급량을 소유량으로부터 대유량으로 변경해도 좋고, 대유량으로부터 소유량으로 변경해도 좋다. 또한 촉매 가스의 공급량의 변경을 1회만 수행해도 좋고, 복수 회 수행해도 좋다. 이 때 촉매 가스의 공급량을 소유량으로부터 대유량으로, 또는 대유량으로부터 소유량으로 단계적으로 증가 또는 저하시켜도 좋고, 또는 적절히 임의의 조합으로 상하로 변화시켜도 좋다.
본 변형예에 의하면, 도 4a에 도시하는 성막 시퀀스와 마찬가지의 효과를 갖는다. 또한 본 변형예에 의하면, SiOC막 중의 C농도를 막 두께 방향에서 변화시킬 수 있다. SiOC막 중의 C농도를 막 두께 방향에서 변화시키는 것에 의해 막 중의 상대적인 Si농도 및 O농도를 막 두께 방향에서도 변화시킬 수 있다. 결과적으로 예컨대 SiOC막의 에칭 내성이나 유전율 등을 막 두께 방향에서 변화시킬 수 있다.
〔변형예4〕
도 1에 도시하는 바와 같은 원료 가스 공급 라인, 촉매 가스 공급 라인을 각각 복수 구비한 기판 처리 장치를 이용하는 경우에 한정되지 않고, 도 1에 도시하는 복수의 가스 공급 라인 중 특정의 가스 공급 라인만을 구비한 기판 처리 장치를 이용하는 것도 가능하다. 단, 복수의 가스 공급 라인을 구비한 기판 처리 장치를 이용하는 경우, 사용하는 가스 공급 라인을 적절히 선택하는 것에 의해, 원하는 막 조성 등에 따라 복수종의 가스 중으로부터 특정의 가스를 선택하여 공급하는 것이 용이해진다. 또한 1대의 기판 처리 장치에서 각종 조성비, 막질을 가지는 막을 범용적으로 또한 재현성 좋게 형성할 수 있다. 또한 가스종의 추가나 교체 시에는 장치 운용의 자유도를 확보하는 것이 가능해진다.
〔변형예5〕
SiOC막 형성 공정과 SiOC막 개질 공정을 다른 처리실 내에서 수행해도 좋다.
예컨대 SiOC막 형성 공정을 도 1에 도시하는 기판 처리 장치(이하, 제1 기판 처리부라고도 부른다)가 구비하는 처리실(201)(이하, 제1 처리실이라고도 부른다) 내에서 수행한다. 제1 기판 처리부를 구성하는 각 부의 동작은 제1 제어부에 의해 제어된다. 제1 기판 처리부를 이용하여 전술한 스텝1a, 스텝2a와 마찬가지의 스텝1b, 스텝2b를 포함하는 사이클을 소정 횟수 실시한다. 그 후, 처리실(201) 내의 퍼지 및 대기압 복귀, 보트 언로드, 웨이퍼 디스차지를 순차 실행한다. 계속해서 보트(217)로부터 취출된 웨이퍼(200) 상에 형성된 SiOC막을 열처리하는 공정, 즉 SiOC막 개질 공정을 처리실(201)과는 다른 처리실 내에서 수행한다. 이 때 예컨대 도 1에 도시하는 기판 처리 장치와 마찬가지로 구성된 기판 처리 장치이며, SiOC막 형성 공정을 수행한 장치와는 다른 기판 처리 장치(이하, 제2 기판 처리부라고도 부른다)가 구비하는 처리실(이하, 제2 처리실이라고도 부른다)을 이용할 수 있다. 제2 기판 처리부를 구성하는 각 부의 동작은 제2 제어부에 의해 제어된다. 제2 기판 처리부를 이용하여 제1 기판 처리부에서 SiOC막 형성 공정을 수행할 때와 마찬가지로 웨이퍼 차지, 보트 로드를 순차 실행한다. 또한 전술한 실시 형태의 SiOC막 개질 공정을 수행할 때와 마찬가지로 압력 조정, 온도 조정을 수행한다. 그 후, 전술한 실시 형태와 마찬가지로 제1 열처리, 제2 열처리, 퍼지, 대기압 복귀, 보트 언로드 및 웨이퍼 디스차지를 순차 실행한다. 본 변형예에서의 처리 조건이나 처리 순서는 예컨대 도 4a에 도시하는 성막 시퀀스와 마찬가지로 한다.
이상과 같이 SiOC막 형성 공정과 SiOC막 개질 공정을 동일한 처리실(201) 내에서(In-Situ로) 수행할 뿐만 아니라, 다른 처리실(제1 처리실 및 제2 처리실) 내에서도(Ex-Situ로도) 수행할 수 있다. In-Situ로 양 공정을 수행하면, 도중에 웨이퍼(200)가 대기 폭로되지 않고, 웨이퍼(200)를 진공 하에 둔 상태에서 일관하여 처리를 수행할 수 있어, 안정된 성막 처리를 수행할 수 있다. Ex-Situ로 양 공정을 수행하면, 각각의 처리실 내의 온도를 예컨대 각 공정에서의 처리 온도 또는 그와 가까운 온도로 미리 설정할 수 있고, 온도 조정에 요하는 시간을 단축시켜, 생산 효율을 높일 수 있다.
본 변형예에서는 주로 SiOC막을 형성하는 제1 기판 처리부와, SiOC막을 열처리하는 제2 기판 처리부와에 의해 기판 처리 시스템이 구성된다. 단, 기판 처리 시스템은 제1 기판 처리부와 제2 기판 처리부가 전술과 같이 각각 독립한 장치(스탠드 얼론형 장치)군(群)으로서 구성되는 경우에 한정되지 않고, 제1 기판 처리부와 제2 기판 처리부가 동일한 플랫폼에 탑재된 1개의 장치(클러스터형 장치)로서 구성되어도 좋다. 또한 SiOC막 개질 공정을 수행하는 장치는 도 1에 도시하는 기판 처리 장치와는 다른 구성의 장치, 예컨대 어닐링 처리 전용기(열처리로) 등으로서 구성되어도 좋다.
<제2 실시 형태>
다음으로 본 발명의 제2 실시 형태에 대하여 도 6a를 이용하여 설명한다. 본 실시 형태에서도 전술한 실시 형태와 마찬가지로 도 1, 도 2에 도시하는 기판 처리 장치를 이용한다. 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태의 성막 시퀀스에서는 웨이퍼(200)에 대하여 Si, C 및 Cl을 포함하고 Si-C 결합을 포함하는 원료 가스로서 BTCSM가스를 공급하는 공정; 웨이퍼(200)에 대하여 산화 가스로서 O3가스를 공급하는 공정; 웨이퍼(200)에 대하여 촉매 가스로서 TEA가스를 공급하는 공정;을 포함하는 사이클을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 Si, O 및 C를 포함하는 박막으로서 SiOC막을 형성하는 공정을 수행한다.
이 때 BTCSM가스를 공급하는 공정을 TEA가스를 공급하는 공정을 실시하지 않은 상태에서 수행하고, O3가스를 공급하는 공정을 TEA가스를 공급하는 공정을 실시한 상태에서 수행한다.
또한 SiOC막 형성 공정을 실시한 후, 전술한 실시 형태와 마찬가지로 SiOC막 개질 공정을 수행한다.
이하, 본 실시 형태의 SiOC막 형성 공정 중 전술한 실시 형태와는 다른 점에 대하여 구체적으로 설명한다.
〔SiOC막 형성 공정〕
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정 후, 다음 2개의 스텝1c, 스텝2c를 순차 실행한다.
[스텝1c](BTCSM가스 공급)
도 4a에 도시하는 성막 시퀀스의 스텝1a와 마찬가지의 순서로 웨이퍼(200)에 대하여 BTCSM가스를 공급한다. 이 때 밸브(243c, 243i)를 닫은 상태로 하고, 웨이퍼(200)에 대한 BTCSM가스의 공급을 피리딘 가스나 TEA가스 등의 아민계 촉매 가스의 공급을 정지한 상태, 즉 아민계 촉매 가스를 공급하지 않은 상태에서 수행한다. 즉 웨이퍼(200)에 대하여 BTCSM가스의 공급을 수행할 때에는 촉매 가스의 공급을 수행하지 않는다.
또한 버퍼실(237) 내, 노즐(249b, 249c) 내로의 BTCSM가스의 침입을 방지하기 위해서 밸브(243k, 243l)를 열고 가스 공급관(232k, 232l) 내에 N2가스를 흘린다. N2가스는 가스 공급관(232b, 232c), 노즐(249b, 249c) 및 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.
이 때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,330Pa, 바람직하게는 133Pa 내지 2,666Pa의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 BTCSM가스의 공급 유량은 예컨대 1sccm 내지 2,000sccm의 범위 내의 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. BTCSM가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다.
이 때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 될 수 있는 온도로 설정한다. BTCSM가스 공급 시에 촉매 가스를 공급하지 않는 경우, 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 BTCSM이 화학 흡착하기 어려워져, 실용적인 성막 레이트를 얻지 못하는 경우가 있다. 본 실시 형태에서는 그 다음에 수행하는 스텝2a에서 O3가스와 TEA가스를 조합하여 이용하는 것에 의해 웨이퍼(200)의 온도를 250℃ 미만으로 해도 이를 해소하는 것이 가능해진다. 스텝2a를 그 다음에 수행하는 것을 전제로 웨이퍼(200)의 온도를 150℃ 이하, 또한 100℃ 이하로 하면, 웨이퍼(200)에 가해지는 열량을 저감할 수 있어, 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 수행할 수 있다. 이 때 실온 이상의 온도라면 충분한 성막 레이트를 얻을 수 있다. 따라서 웨이퍼(200)의 온도는 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도로 하는 것이 좋다.
전술한 조건 하에서 웨이퍼(200)에 대하여 BTCSM가스를 공급하는 것에 의해 웨이퍼(200)(표면의 하지막) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 C 및 Cl을 포함하는 Si함유층이 형성된다. 전술과 같이 예컨대 150℃ 이하의 저온 조건 하에서는 제1층으로서 열분해가 불충분한 물리 흡착에 의한 BTCSM가스의 흡착층, 즉 BTCSM가스의 물리 흡착층이 주로 형성되는 것으로 생각된다.
이와 같이 제1층이 주로 BTCSM가스의 물리 흡착층에 의해 구성되면, 제1층이 웨이퍼(200) 상 등에 정착하기 어려워진다. 또한 그 후, 산화 처리를 수행해도 제1층은 강고한 결합을 포함하는 SiOC층으로 변화하기 어려워진다. 즉 BTCSM가스의 공급 시에 촉매 가스를 공급하지 않는 경우, 그 후의 산화 처리에서 촉매 가스를 공급하였다고 해도 제1층의 산화 반응이 진행되기 어려워지는 경우가 있다. 그 결과, SiOC막의 성막 레이트가 저하하거나, SiOC막을 형성하는 것이 불가능해지는 경우가 있다.
이와 같은 과제에 대하여 전술한 실시 형태에서는 원료 가스를 공급하는 공정과 산화 가스를 공급하는 공정의 양방에서 촉매 가스를 공급하는 것에 의해 제1층의 웨이퍼(200) 상으로의 정착을 촉진시킨다. 전술한 바와 같이 촉매 가스는 웨이퍼(200)의 표면에 존재하는 O-H 결합의 결합력을 약화시켜 BTCSM가스의 열분해 반응을 촉진시키기 때문에, BTCSM가스 분자의 화학 흡착에 의한 제1층의 형성을 촉진시킬 수 있어, 제1층을 웨이퍼(200) 상에 강고하게 정착시키는 것이 가능해진다.
이에 대하여 본 실시 형태에서는 그 다음에 수행하는 스텝2c에서만 촉매 가스를 사용하도록 한다. 단, 본 실시 형태에서는 스텝2c에서 산화력이 강한 산화 가스(예컨대 O3가스)와, 촉매 작용이 강한 촉매 가스(예컨대 TEA가스 등의 아민계 촉매 가스)를 조합하는 것에 의해, 전술한 과제를 해결한다. 이들의 가스를 조합하여 이용하는 것에 의해 스텝2c에서의 산화 가스의 산화력을 현저하게 높일 수 있다. 결과적으로 제1층이 주로 BTCSM가스의 물리 흡착층에 의해 구성되었다고 해도 제1층의 산화 반응을 확실하게 진행시켜, 강고한 결합을 포함하는 SiOC층으로 변화시키는 것이 가능해진다. 즉 하지와의 결합이나, 층 중의 인접하는 분자나 원자 사이의 결합이 강고한 SiOC층을 형성하는 것이 가능해진다.
또한 본 실시 형태에서는 적어도 BTCSM가스 공급 시에서 촉매 가스를 이용한 복잡한 반응계를 경유할 필요가 없기 때문에 성막 프로세스의 구축이 용이해진다. 또한 BTCSM가스 공급 시에 촉매 가스를 공급하지 않기 때문에 촉매 반응에 의해 발생하는 염이 파티클원이 되는 것을 회피할 수 있어, 성막 처리의 품질을 향상시키는 것이 가능해진다. 또한 BTCSM가스 공급 시에 촉매 가스를 공급하지 않기 때문에 성막 처리 전체에서 보았을 때의 촉매 가스의 사용량을 저감시킬 수 있어, 성막 처리의 비용을 삭감하는 것이 가능해진다.
〔잔류 가스 제거〕
그 후, 전술한 실시 형태와 마찬가지의 순서로 BTCSM가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
[스텝2c](O3가스+TEA가스 공급)
스텝1c가 종료된 후, 처리실(201) 내에 O3가스 및 TEA가스를 흘린다. 스텝2c에서는 밸브(243g, 243i)의 개폐 제어를 도 4a에 도시하는 성막 시퀀스의 스텝2a에서의 밸브(243a, 243c)의 개폐 제어와 마찬가지의 순서로 수행한다.
이 때 MFC(241g)로 제어하는 O3가스의 공급 유량은 예컨대 1,000sccm 내지 10,000sccm의 범위 내의 유량으로 한다. MFC(241i)로 제어하는 TEA가스의 공급 유량은 예컨대 O3가스의 공급 유량(sccm)/TEA가스의 공급 유량(sccm)의 비율로 하여 0.01 내지 100, 보다 바람직하게는 0.05 내지 10의 범위 내가 되는 유량으로 한다. MFC(241j 내지 241l)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. O3가스 및 TEA가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가 스텝1c에서의 BTCSM가스의 공급 시와 마찬가지의 온도대, 예컨대 실온 이상 150℃ 이하, 바람직하게는 실온 이상 100℃ 이하, 보다 바람직하게는 50℃ 이상 100℃ 이하의 범위 내의 온도가 되도록 설정한다. 그 외의 처리 조건은 예컨대 도 4a에 도시하는 성막 시퀀스의 스텝2a와 마찬가지의 처리 조건으로 한다.
처리실(201) 내에 공급된 O3가스는 열로 활성화되어, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 열로 활성화된 O3가스가 공급된다. 처리실(201) 내에 흘리는 가스는 열적으로 활성화된 O3가스이며, 처리실(201) 내에는 BTCSM가스는 흘리지 않는다. 따라서 O3가스는 기상 반응을 일으키지 않고 활성화된 상태에서 웨이퍼(200)에 대하여 공급되어, 스텝1c에서 웨이퍼(200) 상에 형성된 제1층(C 및 Cl을 포함하는 Si함유층)의 적어도 일부와 반응한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어, Si, O 및 C를 포함하는 제2층, 즉 SiOC층으로 변화한다.
TEA가스는 O3가스의 분해를 촉진시켜 O3가스의 산화력을 향상시키고, O3가스와 제1층의 반응을 촉진시키는 촉매 가스로서 작용한다. 특히 O3가스와 TEA가스를 조합하는 것에 의해 O3가스의 산화력을 통상의 촉매 반응으로부터 예측되는 범위를 넘어 대폭 향상시킬 수 있다. 전술과 같이 BTCSM가스의 공급 시에 촉매 가스를 공급하지 않아 BTCSM가스의 열분해가 불충분하면, 그 후의 산화 가스의 공급 공정에서 촉매 가스를 공급해도 충분한 반응성을 얻지 못하는 경우가 있다. 하지만 O3가스와 TEA가스를 함께 공급하는 것에 의해 예컨대 스텝1c에서 제1층으로서 열분해가 불충분한 물리 흡착에 의한 BTCSM가스의 흡착층, 즉 BTCSM가스의 물리 흡착층이 주로 형성되었다고 해도 O3가스와 제1층의 산화 반응을 적절하게 진행시키는 것이 가능해진다. 즉 TEA가스의 작용에 의해 O3가스의 산화력을 현저하게 높일 수 있고, 이에 의해 BTCSM가스의 물리 흡착층에 대해서도 산화 처리를 확실하게 수행할 수 있다. 결과적으로 하지와의 결합이나, 인접하는 분자나 원자 사이의 결합이 강고한 SiOC층을 형성하는 것이 가능해진다.
〔잔류 가스 제거〕
그 후, 밸브(243g)를 닫고, O3가스의 공급을 정지한다. 또한 밸브(243i)를 닫고, TEA가스의 공급을 정지한다. 그리고 전술한 실시 형태와 마찬가지의 순서로 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
〔소정 횟수 실시〕
전술한 스텝1c, 스텝2c를 1사이클로 하여, 이 사이클을 1회 이상, 즉 소정 횟수(n회) 수행하는 것에 의해, 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다. 이 사이클을 복수 회 반복하는 것이 바람직하다는 점은 전술한 실시 형태와 마찬가지이다.
〔SiOC막 개질 공정〕
본 실시 형태에서도 저온 조건 하에서 형성된 SiOC막 중에는 수분이나 Cl 등의 불순물이나, CxHy계의 불순물이 많이 포함되는 경우가 있다. 따라서 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 압력 조정, 온도 조정, 제1 열처리, 제2 열처리, 퍼지 및 대기압 복귀를 수행하여 SiOC막 중의 불순물을 제거하여 SiOC막을 개질한다. 이에 의해 SiOC막 개질 공정을 수행하기 전의 SiOC막보다 높은 에칭 내성의, 저유전율의 SiOC막을 얻을 수 있다.
그 후, 전술한 실시 형태와 마찬가지의 순서로 보트 언로드 및 웨이퍼 디스차지를 수행하여 본 실시 형태의 성막 처리를 종료한다.
(2) 본 실시 형태에 의한 효과
본 실시 형태에 의하면, 전술한 실시 형태와 마찬가지의 효과를 갖는 것 외에 이하에 도시하는 1개 또는 복수의 효과를 갖는다.
(a) 웨이퍼(200)에 대하여 BTCSM가스의 공급을 웨이퍼(200)에 대한 촉매 가스의 공급을 정지한 상태에서 수행한다. 이에 의해 성막 프로세스를 간소화할 수 있다. 또한 BTCSM가스 공급 시에 촉매 가스를 공급한 경우에 발생하는 염이 발생하지 않아 파티클의 발생을 억제할 수 있다. 또한 성막 처리 전체에서 보았을 때의 촉매 가스의 사용량을 억제하여 제조 비용을 저감할 수 있다.
(b) 웨이퍼(200)에 대한 O3가스의 공급을 웨이퍼(200)에 대하여 TEA가스의 공급을 실시한 상태에서 수행한다. 이에 의해 O3가스의 산화력을 현저하게 높일 수 있다. O3가스와 아민계 촉매 가스를 조합하는 것에 의해 O3가스의 산화력을 통상의 촉매 반응으로부터 예측 가능한 범위를 넘어 대폭 높일 수 있다. 따라서 BTCSM가스의 공급 시에 촉매 가스를 공급하지 않아도 제1층에 대하여 충분한 반응성을 얻을 수 있고, O3가스와 제1층의 산화 반응을 적절하게 진행시키는 것이 가능해진다. 또한 산화 반응의 레이트를 향상시켜 SiOC막의 성막 레이트를 유지하는 것이 가능해진다.
O3가스와 조합하는 아민계 촉매 가스로서는 TEA가스가 바람직하고, 이어서 피리딘 가스, 다음으로 피페리딘 가스가 적합한 것으로 생각된다. 이는 SiOC막을 형성 가능한 온도 범위가 촉매 가스로서 TEA가스를 이용한 경우가 가장 넓고, 이어서 피리딘 가스를 이용한 경우가 넓고, 다음으로 피페리딘 가스를 이용한 경우가 넓은 것 등에 기초한다.
(c) 본 실시 형태에 의하면, 도 4a 등을 이용하여 설명한 전술한 실시 형태와 마찬가지의 효과를 갖는다. 단, 전술한 실시 형태에서 제시한 각종 효과는 본 실시 형태보다 전술한 실시 형태가 더 현저해지는 경우가 있다. 예컨대 SiOC막의 유전율 저하의 효과는 O3가스와 TEA가스를 이용하는 본 실시 형태보다 H2O가스와 피리딘 가스를 이용하는 전술한 실시 형태가 더 현저해지는 경우가 있다. 이 요인으로서는 예컨대 산화 가스로서 H2O가스를 이용하는 것에 의해, 산화 가스로서 O3가스를 이용하는 경우보다 SiOC막의 포러스화의 정도가 증가하는 것 등을 들 수 있다. 산화 가스로서 H2O가스를 이용하는 것에 의해, 산화 가스로서 O3가스를 이용하는 경우보다 수분을 많이 포함하는 SiOC막이 형성된다. 그리고 수분을 많이 포함하는 SiOC막에 대하여 제1 열처리 및 제2 열처리를 수행하는 것에 의해, 막 중에 미소한 공(pore), 즉 미소한 공간이 보다 많이 발생하여 SiOC막의 포러스화가 한층 더 진행될 것으로 생각된다.
(3) 본 실시 형태의 변형예
본 실시 형태의 성막 시퀀스는 도 6a에 도시하는 형태에 한정되지 않고, 예컨대 도 6b나 도 6c에 도시하는 변형예와 같이 변경하여 웨이퍼(200) 상에 SiO막을 형성할 수 있다.
이 경우, 원료 가스로서는 BTCSM가스가 아닌 HCDS가스나 BDEAS가스 등을 이용한다. HCDS가스나 BDEAS가스 등을 공급하는 스텝1c에서는 밸브(243e)나 밸브(243f)의 개폐 제어를 도 6a에 도시하는 성막 시퀀스의 스텝1c에서의 밸브(243a)의 개폐 제어와 마찬가지의 순서로 수행한다. HCDS가스나 BDEAS가스의 공급 유량도 예컨대 도 6a에 도시하는 성막 시퀀스의 스텝1c에서의 BTCSM가스의 공급 유량과 마찬가지로 한다. 그 외의 처리 조건은 예컨대 도 6a에 도시하는 성막 시퀀스의 스텝1c와 마찬가지의 처리 조건으로 한다.
이와 같이 저온 조건 하에서 형성된 SiO막 중에는 수분 등의 불순물이 포함될 가능성이 있다. 원료 가스로서 HCDS가스를 이용한 경우에는 SiO막 중에 Cl 등의 불순물이 포함될 가능성도 있다. 원료 가스로서 BDEAS가스를 이용한 경우에는 SiO막 중에 C, H, N 등의 불순물이 포함될 가능성도 있다. 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 SiO막에 대한 제1 열처리 및 제2 열처리를 수행하여 SiO막 중의 불순물을 제거하여 SiO막을 개질하는 것에 의해 SiO막 개질 공정을 수행하기 전의 SiO막보다 높은 에칭 내성으로 저유전율의 SiO막을 얻을 수 있다.
<제3 실시 형태>
다음으로 본 발명의 제3 실시 형태에 대하여 도 7a 및 도 7b를 이용하여 설명한다. 본 실시 형태에서도 전술한 실시 형태와 마찬가지로 도 1, 도 2에 도시하는 기판 처리 장치를 이용한다. 이하의 설명에서 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태의 성막 시퀀스에서는 웨이퍼(200)에 대하여 Si 및 Cl을 포함하는 원료 가스로서 HCDS가스를 공급하는 공정을 실시한 상태에서, 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝1d), 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정을 실시한 상태에서, 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝2d), 이들의 공정을 포함하는 세트를 소정 횟수(m1회) 수행하는 것에 의해 Si 및 O를 포함하는 제1 박막으로서 SiO막을 형성하는 공정; 및 웨이퍼(200)에 대하여 Si, C 및 Cl을 포함하고 Si-C 결합을 포함하는 원료 가스로서 BTCSM가스를 공급하는 공정을 실시한 상태에서, 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝1e), 웨이퍼(200)에 대하여 산화 가스로서 H2O가스를 공급하는 공정을 실시한 상태에서, 웨이퍼(200)에 대하여 촉매 가스로서 피리딘 가스를 공급하는 공정을 실시한 상태에서 수행하고(스텝2e), 이들의 공정을 포함하는 세트를 소정 횟수(m2회) 수행하는 것에 의해 Si, O 및 C를 포함하는 제2 박막으로서 SiOC막을 형성하는 공정;을 포함하는 사이클을 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 SiO막과 SiOC막의 적층막을 형성하는 공정을 수행한다.
또한 SiO막과 SiOC막의 적층막을 형성한 후, 전술한 실시 형태와 마찬가지로 이 적층막의 개질 공정을 수행한다.
이하, 본 실시 형태의 SiO막 및 SiOC막 형성 공정 중 전술한 실시 형태와 다른 점에 대하여 구체적으로 설명한다.
〔SiO막 형성 공정〕
웨이퍼 차지, 보트 로드, 압력 조정 및 온도 조정 후, 다음 2개의 스텝1d, 스텝2d를 순차 실행한다.
[스텝1d](HCDS가스+피리딘 가스 공급)
도 6b에 도시하는 성막 시퀀스의 스텝1c와 마찬가지의 순서로 웨이퍼(200)에 대하여 HCDS가스를 공급한다. 또한 도 4a에 도시하는 성막 시퀀스의 스텝1a와 마찬가지의 순서로 웨이퍼(200)에 대하여 피리딘 가스를 공급한다. 이 때의 처리 조건은 예컨대 도 6b에 도시하는 성막 시퀀스의 스텝1c 및 도 4a에 도시하는 성막 시퀀스의 스텝1a와 마찬가지의 처리 조건으로 한다. 피리딘 가스는 HCDS가스에 대해서도 BTCSM가스에 대한 촉매 작용과 마찬가지의 촉매 작용을 보인다.
이에 의해 웨이퍼(200) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 Cl을 포함하는 Si함유층이 형성된다. HCDS가스를 피리딘 가스와 동시에 흘리는 것에 의해 예컨대 150℃ 이하의 비교적 저온의 조건 하에서도 웨이퍼(200) 상에 Cl을 포함하는 Si함유층을 형성할 수 있다.
〔잔류 가스 제거〕
그 후, 전술한 실시 형태와 마찬가지의 순서로 HCDS가스와 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
[스텝2d](H2O가스+피리딘 가스 공급)
스텝1d가 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 도 4a에 도시하는 성막 시퀀스의 스텝2a와 마찬가지의 공급 순서로 웨이퍼(200)에 대하여 H2O가스와 피리딘 가스를 공급한다. 이 때의 처리 조건은 예컨대 도 4a에 도시하는 성막 시퀀스의 스텝2a와 마찬가지의 처리 조건으로 한다. 이에 의해 제1층은 논 플라즈마로 열적으로 산화되어, Si 및 O를 포함하는 제2층, 즉 실리콘 산화층(SiO층)으로 변화한다.
〔잔류 가스 제거〕
그 후, 전술한 실시 형태와 마찬가지의 순서로 H2O가스와 피리딘 가스의 공급을 정지하고, 처리실(201) 내로부터의 잔류 가스의 제거를 수행한다.
〔소정 횟수 실시〕
전술한 스텝1d, 스텝2d를 1세트로 하여, 이 세트를 1회 이상, 즉 소정 횟수(m1회) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiO막을 성막할 수 있다. 이 세트를 복수 회 반복하는 것이 바람직하다는 점은 전술한 실시 형태와 마찬가지이다.
〔SiOC막 형성 공정〕
다음으로 도 4a에 도시하는 성막 시퀀스의 스텝1a, 스텝2a와 마찬가지의 순서 및 처리 조건으로 스텝1e, 스텝2e를 순차 실행한다. 스텝1e, 스텝2e를 1세트로 하여, 이 세트를 1회 이상, 즉 소정 횟수(m2회) 수행하는 것에 의해 SiO막 상에 소정 조성 및 소정 막 두께의 SiOC막을 성막할 수 있다. 이 세트를 복수 회 반복하는 것이 바람직하다는 점은 전술한 실시 형태와 마찬가지이다.
〔소정 횟수 실시〕
전술한 SiO막 형성 공정과 SiOC막 형성 공정을 1사이클로 하여, 이 사이클을 1회 이상, 즉 소정 횟수(n회) 수행하는 것에 의해 웨이퍼(200) 상에 SiO막과 SiOC막의 적층막이 형성된다. 또한 SiO막 형성 공정과 SiOC막 형성 공정은 어느 것을 먼저 시작해도 상관없다.
도 7a에 도시하는 바와 같이 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 1회 수행하는 것에 의해, SiO막과 SiOC막이 각각 1층씩 적층되어 이루어지는 적층막(스택막)을 형성할 수 있다.
또한 도 7b에 도시하는 바와 같이 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 복수 회 수행하는 것에 의해, SiO막과 SiOC막이 각각 복수 적층되어 이루어지는 적층막(라미네이트 막)을 형성할 수 있다. 도 7b는 SiO막 형성 공정과 SiOC막 형성 공정을 포함하는 사이클을 2회 반복하는 예를 도시한다.
〔적층막 개질 공정〕
본 실시 형태에서도 저온 조건 하에서 형성된 SiO막과 SiOC막의 적층막 중에는 수분이나 Cl 등의 불순물이나, CxHy계의 불순물이 많이 포함되는 경우가 있다. 따라서 전술한 실시 형태와 마찬가지의 순서 및 처리 조건으로 압력 조정, 온도 조정, 제1 열처리, 제2 열처리, 퍼지 및 대기압 복귀를 수행하여 적층막 중의 불순물을 제거하여 적층막을 개질한다. 이에 의해 적층막 개질 공정을 수행하기 전의 적층막보다 높은 에칭 내성으로 저유전율의 적층막을 얻을 수 있다.
그 후, 전술한 실시 형태와 마찬가지의 순서로 보트 언로드 및 웨이퍼 디스차지를 수행하여 본 실시 형태의 성막 처리를 종료한다.
본 실시 형태에서도 전술한 실시 형태와 마찬가지의 효과를 갖는다.
또한 SiO막의 막 두께와 SiOC막의 막 두께의 비율을 제어하는 것에 의해 예컨대 전술한 각 세트의 횟수(m1, m2)의 비율을 제어하는 것에 의해, 최종적으로 형성되는 적층막의 조성비를 치밀하게 제어하는 것이 가능해진다. 또한 도 7b에 도시하는 성막 시퀀스에서는 SiO막 및 SiOC막의 막 두께를 각각 5nm 이하, 바람직하게는 1nm 이하로 하는 것에 의해 최종적으로 형성하는 적층막을 적층 방향에서 일치된 특성을 가지는 막, 즉 막 전체에서 일체불가분의 특성을 가지는 나노라미네이트 막으로 할 수 있다. 또한 전술한 세트의 실시 횟수(m1회, m2회)를 각각 1회 내지 10회 정도로 하는 것에 의해 SiO막 및 SiOC막의 막 두께를 각각 5nm 이하, 바람직하게는 1nm 이하로 할 수 있다.
(2) 본 실시 형태의 변형예
본 실시 형태의 성막 시퀀스는 도 7a 및 도 7b에 도시하는 형태에 한정되지 않고, 도 8a나 도 8b에 도시하는 변형예와 같이 변경할 수 있다. 즉 SiO막 형성 공정에서는 촉매 가스의 공급을 수행하지 않아도 좋다. 또한 SiO막 형성 공정에서는 원료 가스로서 HCDS가스를 이용하지 않고, 예컨대 Si, C 및 N을 포함하고 Si-N결합을 포함하는 BDEAS가스를 이용해도 좋다. 또한 SiO막 형성 공정에서는 산화 가스로서 플라즈마로 활성화시킨 O2가스, 즉 플라즈마 상태로 여기한 O2가스를 이용해도 좋다.
BDEAS가스를 공급하는 스텝1f에서는 밸브(243f)의 개폐 제어를 도 7a 및 도 7b에 도시하는 성막 시퀀스의 스텝1d에서의 밸브(243e)의 개폐 제어와 마찬가지의 순서로 수행한다. 이 때 밸브(243c, 243i)를 닫은 상태로 하여 웨이퍼(200)에 대하여 BDEAS가스의 공급을 피리딘 가스나 TEA가스 등의 아민계 촉매 가스의 공급을 정지한 상태에서 수행한다. BDEAS가스의 공급 유량은 예컨대 도 7a 및 도 7b에 도시하는 성막 시퀀스의 스텝1d에서의 HCDS가스의 공급 유량과 마찬가지로 한다. 그 외의 처리 조건은 예컨대 도 7a 및 도 7b에 도시하는 성막 시퀀스의 스텝1d와 마찬가지의 처리 조건으로 한다.
웨이퍼(200)에 대하여 BDEAS가스를 공급하는 것에 의해 웨이퍼(200)(표면의 하지막) 상에 제1층으로서 예컨대 1원자층 미만 내지 수원자층 정도의 두께의 N 및 C를 포함하는 Si함유층이 형성된다. BDEAS가스는 웨이퍼(200) 등에 흡착하기 쉽고 분해성이나 반응성이 높은 가스다. 따라서 예컨대 150℃ 이하의 비교적 저온의 조건 하에서도 웨이퍼(200) 상에 제1층을 형성할 수 있다.
플라즈마로 활성화시킨 O2가스를 공급하는 스텝2f에서는 밸브(243h)의 개폐 제어를 도 7a 및 도 7b에 도시하는 성막 시퀀스의 스텝2d에서의 밸브(243b)의 개폐 제어와 마찬가지의 순서로 수행한다. 이 때 밸브(243c, 243i)를 닫은 상태로 하여 웨이퍼(200)에 대하여 O2가스의 공급을 피리딘 가스나 TEA가스 등의 아민계 촉매 가스의 공급을 정지한 상태에서 수행한다. MFC(241h)로 제어하는 O2가스의 공급 유량은 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 봉 형상 전극(269, 270) 사이에 인가하는 고주파 전력은 예컨대 50W 내지 1,000W의 범위 내의 전력으로 한다. 처리실(201) 내의 압력은 예컨대 1Pa 내지 100Pa의 범위 내의 압력으로 한다. 플라즈마를 이용하는 것에 의해 처리실(201) 내의 압력을 이와 같은 비교적 낮은 압력대로 해도도 O2가스를 활성화시키는 것이 가능해진다. O2가스를 플라즈마 여기하는 것에 의해 얻어진 활성종을 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 100초, 바람직하게는 5초 내지 60초의 범위 내의 시간으로 한다. 그 외의 처리 조건은 예컨대 도 7a 및 도 7b에 도시하는 성막 시퀀스의 스텝2e와 마찬가지의 처리 조건으로 한다.
웨이퍼(200)에 대하여 플라즈마로 활성화시킨 O2가스를 공급하는 것에 의해 웨이퍼(200) 상에 형성된 제1층(N 및 C를 포함하는 Si함유층)에 대하여 산화 처리가 수행된다. 제1층은 Si 및 O를 포함하는 제2층, 즉 SiO층으로 변화시킬 수 있다.
본 변형예에 의해서도 도 7a 및 도 7b에 도시하는 성막 시퀀스와 마찬가지의 효과를 갖는다.
<다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였다. 단, 본 발명은 전술한 실시 형태나 변형예에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
본 발명의 열처리 공정의 온도 제어 시퀀스, 즉 어닐링 시퀀스는 전술한 실시 형태에 한정되지 않고, 예컨대 도 14a 내지 도 14d, 도 15에 도시하는 바와 같이 갖가지 변경이 가능하다. 도 14a는 전술한 실시 형태와 마찬가지로 제2 온도를 제1 온도보다 높은 온도로 한 경우의 어닐링 시퀀스를 도시한다. 도 14b 내지 도 14d는 그 변형예를 각각 도시한다. 도 15는 제2 온도를 제1 온도와 동등한 온도로 한 경우의 어닐링 시퀀스를 도시한다. 이 도면들의 횡축은 경과 시간(분)을 나타내고, 종축은 웨이퍼 온도(℃)를 나타낸다.
도 14a에 도시하는 어닐링 시퀀스에서는 성막 후의 웨이퍼(200)의 온도를 제1 온도까지 상승시키고, 또한 그 온도를 제1 온도로 소정 시간 일정하게 유지하는 것에 의해 제1 열처리 공정을 수행한다. 그 후, 웨이퍼(200)의 온도를 제1 온도보다 높은 제2 온도까지 상승시키고, 또한 그 온도를 제2 온도로 소정 시간 일정하게 유지하는 것에 의해 제2 열처리 공정을 수행한다. 그 후, 웨이퍼(200)의 온도를 반출 가능한 온도까지 강하시킨다.
이 어닐링 시퀀스에 의하면, 제1 열처리 공정에서 웨이퍼(200)의 온도를 제2 온도보다 낮은 제1 온도로 소정 시간 일정하게 유지하는 것에 의해 전술한 바와 같이 원하지 않는 반응을 확실하게 방지하는 것이 가능해진다. 또한 웨이퍼(200)의 온도를 제1 온도로 유지하는 시간을 충분히 확보하는 것에 의해 SiOC막으로부터의 제1 불순물(수분이나 Cl 등)의 탈리를 확실하게 수행하는 것이 가능해진다.
그 후, 제2 열처리 공정에서 웨이퍼(200)의 온도를 제1 온도보다 높은 제2 온도로 소정 시간 일정하게 유지하는 것에 의해 전술한 바와 같이 제2 열처리 공정에서의 제2 불순물(CxHy계의 불순물)의 탈리를 신속하게 수행하는 것이 가능해진다. 또한 이 때 원하지 않는 반응을 발생시키는 물질(수분이나 Cl 등)이 발생하지 않기 때문에, 전술한 원하지 않는 반응을 확실하게 억제하는 것이 가능해진다. 또한 웨이퍼(200)의 온도를 제2 온도로 유지하는 시간을 충분히 확보하는 것에 의해 SiOC막으로부터의 제2 불순물의 탈리를 확실하게 수행하는 것이 가능해진다.
도 14b에 도시하는 어닐링 시퀀스에서는 성막 후의 웨이퍼(200)의 온도를 제1 온도까지 상승시킨 후, 그 온도를 일정하게 유지하지 않고 제2 온도까지 상승시킨다. 그리고 웨이퍼(200)의 온도가 제2 온도에 도달하면, 그 온도를 일정하게 유지하지 않고 강하시킨다. 이 어닐링 시퀀스에서는 웨이퍼(200)의 온도가 SiOC막 중으로부터의 제1 불순물의 탈리가 시작되는 온도(제1 온도 부근의 온도)에 도달하고 SiOC막 중으로부터의 제1 불순물의 탈리가 완료할 때까지의 사이에 제1 열처리 공정이 수행된다. 또한 웨이퍼(200)의 온도가 SiOC막 중으로부터의 제2 불순물의 탈리가 활발해지는 온도(제2 온도 부근의 온도)에 도달하고 막 중으로부터의 제2 불순물의 탈리가 완료할 때까지의 사이에 제2 열처리 공정이 수행된다. 또한 SiOC막 중으로부터의 제1 불순물의 탈리가 어느 정도 완료되고, 즉 막 중으로부터 탈리하는 불순물 중 제2 불순물이 차지하는 비율이 지배적이 되고, 막 중으로부터의 제2 불순물의 탈리가 활발하게 될 때까지의 기간을 제2 열처리 공정에 포함시켜서 생각해도 좋다.
이 어닐링 시퀀스에 의하면, 웨이퍼(200)의 승온 레이트나 강온 레이트의 크기를 적절히 조정하는 것에 의해 제1 열처리 공정과 제2 열처리 공정을 이 순서대로 각각 적절하게 수행하는 것이 가능해진다.
예컨대 웨이퍼(200)의 온도가 제1 온도에 도달할 때까지의 승온 레이트 및 웨이퍼(200)의 온도가 제1 온도를 초과하고 제2 온도에 도달할 때까지의 승온 레이트 중 적어도 어느 하나의 크기를 낮게 억제하는 것에 의해 제1 열처리 공정에서 전술한 원하지 않는 반응을 확실하게 방지하면서 그 실시 시간을 충분히 확보하는 것이 가능해진다. 이에 의해 SiOC막으로부터의 제1 불순물의 탈리를 확실하게 수행하는 것이 가능해진다. 예컨대 웨이퍼(200)의 온도가 제1 온도에 도달할 때까지의 승온 레이트 및 웨이퍼(200)의 온도가 제1 온도를 초과하고 제2 온도에 도달할 때까지의 승온 레이트 중 일방을 타방보다 작게 하는 것에 의해, 제1 열처리 공정의 실시 시간을 충분히 확보할 수 있어, SiOC막으로부터의 제1 불순물의 탈리를 확실하게 수행하는 것이 가능해진다. 또한 토탈에서의 소요 시간을 단축하는 것도 가능해진다.
또한 예컨대 웨이퍼(200)의 온도가 제1 온도를 초과하고 제2 온도에 도달할 때까지의 승온 레이트 및 웨이퍼(200)의 온도가 제2 온도에 도달한 후의 강온 레이트 중 적어도 어느 하나의 크기를 낮게 억제하는 것에 의해, 제2 열처리 공정의 실시 시간을 충분히 확보하는 것이 가능해진다. 이에 의해 SiOC막 중으로부터의 제2 불순물의 탈리를 확실하게 수행하는 것이 가능해진다. 또한 이 때 원하지 않는 반응을 발생시키는 물질이 발생하지 않기 때문에 전술한 원하지 않는 반응을 확실하게 억제하는 것이 가능해진다. 예컨대 웨이퍼(200)의 온도가 제1 온도를 초과하고 제2 온도에 도달할 때까지의 승온 레이트 및 웨이퍼(200)의 온도가 제2 온도에 도달한 후의 강온 레이트 중 일방을 타방보다 작게 하는 것에 의해, 제2 열처리 공정의 실시 시간을 충분히 확보할 수 있어, SiOC막으로부터의 제2 불순물의 탈리를 확실하게 수행하는 것이 가능해진다. 또한 토탈에서의 소요 시간을 단축하는 것도 가능해진다.
이 어닐링 시퀀스에 의하면, 웨이퍼(200)의 온도를 일정하게 유지하는 제어를 수행하지 않기 때문에 온도 제어를 간소화하는 것이 가능해진다. 예컨대 성막 직후의 웨이퍼(200)의 온도를 제2 온도까지 상승시킬 때에 그 승온 레이트를 충분히 낮게 억제하는 것에 유의하면, 제1 열처리 공정과 제2 열처리 공정을 이 순서대로 각각 적절하게 수행하는 것이 가능해진다.
도 14c 및 도 14d에 도시하는 어닐링 시퀀스는 도 14a 및 도 14b에 도시하는 어닐링 시퀀스를 조합한 것이다. 도 14c에 도시하는 어닐링 시퀀스에서는 웨이퍼(200)의 온도를 제2 온도에 도달할 때까지 연속해서 상승시키고, 웨이퍼(200)의 온도가 제2 온도에 도달하면 그 온도를 소정 시간 일정하게 유지하고, 그 후, 강하시킨다. 또한 도 14d에 도시하는 어닐링 시퀀스에서는 웨이퍼(200)의 온도가 제1 온도에 도달하면 그 온도를 소정 시간 일정하게 유지하고, 그 후, 웨이퍼(200)의 온도를 제2 온도까지 상승시키고 웨이퍼(200)의 온도가 제2 온도에 도달하면, 그 온도를 일정하게 유지하지 않고 강하시킨다. 이들의 어닐링 시퀀스에서도 도 14a 및 도 14b에 도시하는 어닐링 시퀀스와 마찬가지의 효과를 갖는다. 또한 도 14a 내지 도 14d에 도시하는 어닐링 시퀀스를 적절히 조합하는 것에 의해서도 이용할 수 있다.
도 15에 도시하는 어닐링 시퀀스는 제2 온도를 제1 온도와 동등한 온도로 하는 예를 도시한다. 이 어닐링 시퀀스에서는 성막 후의 웨이퍼(200)의 온도를 제1 온도까지 상승시킨 후, 그 온도를 소정 시간 일정하게 유지하고, 그 후, 강하시킨다.
전술한 바와 같이 웨이퍼(200)의 온도를 제1 온도까지 상승시키면, SiOC막 중으로부터의 제1 불순물 및 제2 불순물의 탈리가 시작된다. 그 때, 제1 불순물의 탈리가 제2 불순물의 탈리보다 먼저 완료된다. 이 어닐링 시퀀스에서는 웨이퍼(200)의 온도가 SiOC막 중으로부터의 제1 불순물의 탈리가 시작되는 온도(제1 온도 부근의 온도)에 도달하고 SiOC막 중으로부터의 제1 불순물의 탈리가 완료할 때까지의 사이에 제1 열처리 공정이 수행된다. 또한 SiOC막 중으로부터의 제1 불순물의 탈리가 어느 정도 완료되고, 즉 막 중으로부터 탈리하는 불순물 중 제2 불순물이 차지하는 비율이 지배적이 되고, 막 중으로부터의 제2 불순물의 탈리가 완료할 때까지의 사이에 제2 열처리 공정이 수행된다. 또한 SiOC막 중으로부터의 제2 불순물의 탈리가 시작되고 SiOC막 중으로부터의 제1 불순물의 탈리가 완료할 때까지의 기간을 제2 열처리 공정에 포함시켜서 생각해도 좋다. 즉 제1 열처리 공정과 제2 열처리 공정이 동시에 시작되고, 먼저 제1 열처리 공정이 완료하고, 그 후, 제2 열처리 공정이 완료된다고 생각해도 좋다. 또한 제1 열처리 공정과 제2 열처리 공정이 동시에 시작되어도 제1 온도는 전술한 원하지 않는 반응이 발생하는 온도대를 포함하지 않기 때문에, 제1 열처리 공정과 제2 열처리 공정이 동시에 수행될 때에 전술한 원하지 않는 반응이 발생하지 않는다.
이 어닐링 시퀀스에 의하면, 웨이퍼(200)의 온도를 제1 온도로 유지하는 시간을 충분히 확보하는 것에 의해 제1 열처리 공정과 제2 열처리 공정을 각각 적절하게 수행하는 것이 가능해진다. 즉 제1 열처리 공정이 완료된 후, 웨이퍼(200)의 온도를 제1 온도로 유지하는 시간을 충분히 확보하는 것에 의해 웨이퍼(200)의 온도를 한층 더 상승시키지 않고, 제2 열처리 공정을 확실하게 실시하는 것이 가능해진다.
또한 이 어닐링 시퀀스에 의하면, 제2 온도를 제1 온도와 동등한 온도로 하기 때문에, 즉 웨이퍼(200)의 온도를 제1 온도를 초과하는 온도까지 상승시키지 않기 때문에 웨이퍼(200)가 받는 열 이력의 제어를 양호하게 수행할 수 있다. 또한 이 어닐링 시퀀스에 의하면, 웨이퍼(200)의 온도를 제1 온도를 초과하는 온도까지 상승시킬 필요가 없기 때문에 비교적 출력이 작은 히터(207)를 이용할 수 있어 기판 처리 장치의 제조 비용을 저감시킬 수 있다.
또한 이 어닐링 시퀀스에 의하면, 웨이퍼(200)의 온도를 2단계로 상승시키는 제어를 수행하지 않기 때문에 온도 제어를 간소화하는 것이 가능해진다. 예컨대 성막 직후의 웨이퍼(200)의 온도를 제1 온도까지 상승시킨 후, 그 온도를 일정하게 유지하는 시간을 유지하는 시간을 충분히 확보하는 것에 유의하면, 제1 열처리 공정과 제2 열처리 공정을 각각 적절하게 수행하는 것이 가능해진다.
전술한 실시 형태 등에서는 불활성 가스, 퍼지 가스 및 산소 비함유 가스를 모두 동일한 가스 공급계로부터 공급하는 예에 대하여 설명하였다. 본 발명은 이와 같은 형태에 한정되지 않고, 불활성 가스 공급계, 퍼지 가스 공급계 및 산소 비함유 가스 공급계의 전체 또는 일부를 별개의 계통의 가스 공급계로서 설치해도 좋다. 단, 배기계에 의해서만 처리실(201) 내에 산소 비함유의 분위기를 생성하는 경우에는 산소 비함유 가스 공급계를 설치할 필요는 없다.
또한 전술한 실시 형태 등에서는 Si함유층을 SiOC층이나 SiO층으로 변화시킬 때, 촉매 가스와 함께 열로 활성화한 산화 가스를 이용하는 예, 즉 촉매 가스와 산화 가스를 논 플라즈마의 분위기 하(조건 하)에서 공급하는 예에 대하여 설명하였다. 본 발명은 이와 같은 형태에 한정되지 않고, 촉매 가스와 함께 플라즈마로 여기한 산화 가스를 이용해도 좋다. 즉 촉매 가스와 산화 가스를 플라즈마의 분위기 하(조건 하)에서 공급해도 좋다. 이 때의 처리 조건은 예컨대 전술한 실시 형태나 변형예와 마찬가지의 처리 조건으로 할 수 있다.
또한 전술한 실시 형태 등에서는 H2O가스 등의 산화 가스를 이용하여 SiOC막이나 SiO막 등의 Si계 박막을 형성하는 예에 대하여 설명하였다. 본 발명은 이와 같은 형태에 한정되지 않고, 예컨대 산화 가스 대신에 질화 가스를 이용하여 C 및 Cl을 포함하는 Si함유층을 질화시켜 SiCN막 등의 Si계 박막을 형성해도 좋다. 또는 산화 가스나 질화 가스 등을 적절히 조합하여 SiON막이나 SiOCN막 등의 Si계 박막을 형성해도 좋다. 질화 가스로서는 예컨대 암모니아(NH3) 가스, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8가스, 이들의 화합물을 포함하는 가스 등을 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 전술한 실시 형태 등에서는 SiOC막이나 SiO막의 성막에 이용하는 원료 가스로서 클로로실란 원료 가스를 이용하는 예에 대하여 설명하였다. 본 발명은 이와 같은 형태에 한정되지 않고, 클로로실란 원료 가스 이외의 할로실란 원료 가스, 예컨대 플루오르실란 원료 가스나 브로모 실란 원료 가스 등을 이용해도 좋다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
트랜지스터의 미세화와 함께, 게이트 전극의 사이드 월 스페이서(SWS) 등을 구성하는 박막에 대하여 성막 온도의 저온화, 불화수소(HF)에 대한 내성의 향상, 유전율의 저하가 요구되고 있다. 또한 차세대 메모리로서 개발되고 있는 ReRAM용의 보호막에는 350℃ 이하의 저온 성막이 요구되고, MRAM용의 보호막에는 250℃ 이하의 저온 성막이 요구되고 있다. 이와 같은 요구에 대하여 본 발명은 Si, C 및 할로겐 원소를 포함하고 Si-C 결합을 포함하는 원료 가스와 산화 가스 등을 이용하여 Si계 박막(SiOC막, SiOCN막, SiCN막) 등의 박막을 형성하는 경우에 바람직하게 적용할 수 있다.
전술한 각 실시 형태나 각 변형예의 기법에 의해 형성한 Si계 박막을 SWS로서 사용하는 것에 의해 리크 전류가 적고 가공성이 뛰어난 디바이스 형성 기술을 제공하는 것이 가능해진다. 또한 전술한 각 실시 형태나 각 변형예의 기법에 의해 형성한 Si계 박막을 에치 스톱퍼로서 사용하는 것에 의해 가공성이 뛰어난 디바이스 형성 기술을 제공하는 것이 가능해진다. 또한 전술한 각 실시 형태나 일부의 변형예에 의하면, 저온 영역에서도 플라즈마를 이용하지 않고, 이상적 양론비의 Si계 박막을 형성할 수 있다. 플라즈마를 이용하지 않고 Si계 박막을 형성할 수 있기 때문에 예컨대 DPT의 SADP막 등, 플라즈마 데미지를 염려하는 공정에 대한 적응도 가능해진다.
전술한 실시 형태 등에서는 반도체 원소인 Si를 포함하는 실리콘계 박막(SiO막, SiOC막, SiCN막, SiON막, SiOCN막)을 형성하는 예에 대하여 설명하였지만, 본 발명은 이에 한정되지 않는다. 예컨대 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성하는 경우에도 본 발명을 적용할 수 있다.
예컨대 본 발명은 티타늄 산화막(TiO막), 지르코늄 산화막(ZrO막), 하프늄 산화막(HfO막), 탄탈 산화막(TaO막), 알루미늄 산화막(AlO막), 몰리브덴 산화막(MoO막) 등의 금속 산화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄 산탄화막(TiOC막), 지르코늄 산탄화막(ZrOC막), 하프늄 산탄화막(HfOC막), 탄탈 산탄화막(TaOC막), 알루미늄 산탄화막(AlOC막), 몰리브덴 산탄화막(MoOC막) 등의 금속 산탄화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄 탄질화막(TiCN막), 지르코늄 탄질화막(ZrCN막), 하프늄 탄질화막(HfCN막), 탄탈 탄질화막(TaCN막), 알루미늄 탄질화막(AlCN막), 몰리브덴 탄질화막(MoCN막) 등의 금속 탄질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄 산질화막(TiON막), 지르코늄 산질화막(ZrON막), 하프늄 산질화막(HfON막), 탄탈 산질화막(TaON막), 알루미늄 산질화막(AlON막), 몰리브덴 산질화막(MoON막) 등의 금속 산질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
또한 예컨대 본 발명은 티타늄 산탄질화막(TiOCN막), 지르코늄 산탄질화막(ZrOCN막), 하프늄 산탄질화막(HfOCN막), 탄탈 산탄질화막(TaOCN막), 알루미늄 산탄질화막(AlOCN막), 몰리브덴 산탄질화막(MoOCN막) 등의 금속 산탄질화막을 형성하는 경우에도 바람직하게 적용할 수 있다.
이 경우, 원료 가스로서 전술한 실시 형태에서의 Si를 포함하는 원료 가스 대신에 금속 원소를 포함하는 원료 가스를 이용하여 전술한 실시 형태와 마찬가지의 시퀀스에 의해 성막을 수행할 수 있다.
예컨대 Ti를 포함하는 금속계 박막(TiO막, TiOC막, TiCN막, TiON막, TiOCN막)을 형성하는 경우에는 Ti를 포함하는 원료 가스로서 Ti, C 및 할로겐 원소를 포함하고 Ti-C결합을 포함하는 원료 가스나, Ti 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Ti 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 원료 가스나, 티타늄테트라플루오라이드(TiF4) 등의 Ti 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Zr을 포함하는 금속계 박막(ZrO막, ZrOC막, ZrCN막, ZrON막, ZrOCN막)을 형성하는 경우에는 Zr을 포함하는 원료 가스로서 Zr, C 및 할로겐 원소를 포함하고 Zr-C 결합을 포함하는 원료 가스나, Zr 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Zr 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 원료 가스나, 지르코늄테트라플루오라이드(ZrF4) 등의 Zr 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Hf을 포함하는 금속계 박막(HfO막, HfOC막, HfCN막, HfON막, HfOCN막)을 형성하는 경우에는 Hf을 포함하는 원료 가스로서 Hf, C 및 할로겐 원소를 포함하고 Hf-C결합을 포함하는 원료 가스나, Hf 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Hf 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 원료 가스나, 하프늄테트라플루오라이드(HfF4) 등의 Hf 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Ta을 포함하는 금속계 박막(TaO막, TaOC막, TaCN막, TaON막, TaOCN막)을 형성하는 경우에는 Ta을 포함하는 원료 가스로서 Ta, C 및 할로겐 원소를 포함하고 Ta-C 결합을 포함하는 원료 가스나, Ta 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Ta 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 탄탈펜타클로라이드(TaCl5) 등의 Ta 및 클로로기를 포함하는 원료 가스나, 탄탈펜타플루오라이드(TaF5) 등의 Ta 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Al을 포함하는 금속계 박막(AlO막, AlOC막, AlCN막, AlON막, AlOCN막)을 형성하는 경우에는 Al을 포함하는 원료 가스로서 Al, C 및 할로겐 원소를 포함하고 Al-C결합을 포함하는 원료 가스나, Al 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Al 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 알루미늄트리클로라이드(AlCl3) 등의 Al 및 클로로기를 포함하는 원료 가스나, 알루미늄트리플루오라이드(AlF3) 등의 Al 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예컨대 Mo을 포함하는 금속계 박막(MoO막, MoOC막, MoON막, MoOCN막)을 형성하는 경우에는 Mo을 포함하는 원료 가스로서 Mo, C 및 할로겐 원소를 포함하고 Mo-C결합을 포함하는 원료 가스나, Mo 및 할로겐 원소를 포함하는 원료 가스를 이용할 수 있다. Mo 및 할로겐 원소를 포함하는 원료 가스로서는 예컨대 몰리브덴펜타클로라이드(MoCl5) 등의 Mo 및 클로로기를 포함하는 원료 가스나, 몰리브덴펜타플루오라이드(MoF5) 등의 Mo 및 플루오로기를 포함하는 원료 가스를 이용할 수 있다. 산화 가스나 질화 가스나 아민계 촉매 가스나 산소 비함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 바람직하게 적용할 수 있다. 또한 본 발명의 개질 공정은 제1 불순물로서 수분(H2O) 및 염소(Cl)를 포함하고, 제2 불순물로서 탄화수소 화합물(CxHy계의 불순물)을 포함하는 박막이라면, 전술한 성막 기법이나 막종에 한정되지 않고 폭넓게 적용할 수 있다.
이들의 각종 박막의 성막에 이용되는 프로세스 레시피(처리 순서나 처리 조건이 기재된 프로그램)는 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께, 원료 가스, 산화 가스, 촉매 가스, 산소 비함유 가스의 종류 등)에 따라 각각 개별로 준비(복수 준비)하는 것이 바람직하다. 그리고 기판 처리를 시작할 때, 기판 처리의 내용에 따라 복수의 프로세스 레시피 중으로부터 적절한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는 기판 처리의 내용에 따라 개별로 준비된 복수의 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체[외부 기억 장치(123)]를 개재하여 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 격납(인스톨)하는 것이 바람직하다. 그리고 기판 처리를 시작할 때, 기판 처리 장치가 구비하는 CPU(121a)가 기억 장치(121c) 내에 격납된 복수의 프로세스 레시피 중으로부터 기판 처리의 내용에 따라 적절한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이와 같이 구성하는 것에 의해 1대의 기판 처리 장치로 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로 또한 재현성 좋게 형성할 수 있다. 또한 오퍼레이터의 조작 부담(처리 순서나 처리 조건의 입력 부담 등)을 저감할 수 있어 조작 미스를 회피하면서 기판 처리를 신속하게 시작할 수 있다.
전술한 프로세스 레시피는 신규 작성하는 경우에 한정되지 않고, 예컨대 기판 처리 장치에 이미 인스톨된 기존의 프로세스 레시피를 변경하는 것에 의해 준비해도 좋다. 프로세스 레시피를 변경하는 경우에는 변경 후의 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기판 처리 장치에 인스톨해도 좋다. 또한 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여 기판 처리 장치에 이미 인스톨된 기존의 프로세스 레시피를 직접 변경해도 좋다.
또한 전술한 실시 형태 등의 성막 시퀀스에서는 SiOC막, SiO막, 적층막 등의 형성을 실온으로 수행하는 예에 대해서도 설명하였다. 이 경우, 히터(207)에 의한 처리실(201) 내의 가열을 수행할 필요는 없고, 기판 처리 장치에 히터(207)를 설치하지 않아도 좋다. 이에 의해 기판 처리 장치의 가열계의 구성을 간소화할 수 있어, 기판 처리 장치를 보다 저렴하여 단순한 구조로 할 수 있다. 이 경우, SiOC막, SiO막, 적층막 등의 개질 공정은 SiOC막, SiO막, 적층막 등의 형성 공정을 수행하는 처리실과는 다른 처리실에서 Ex-Situ로 수행된다.
전술한 실시 형태 등에서는 SiOC막, SiO막, 적층막 등의 개질 처리(어닐링 처리)를 저항 가열식의 히터(207)에 의한 가열로 수행하는 예에 대하여 설명하였다. 본 발명은 이와 같은 형태에 한정되지 않는다. 예컨대 전술한 개질 처리를 플라즈마, 자외선, 마이크로파 등의 조사에 의해 수행해도 좋다. 즉 전술한 개질 처리는 히터(207)로부터의 전열을 이용하여 수행할 뿐만 아니라, 플라즈마나 전자파 등의 열 이외의 활성화 수단을 이용하여 수행해도 좋다. 이 경우에도 전술한 실시 형태 등과 마찬가지의 효과를 얻을 수 있다.
플라즈마를 조사하는 것에 의해 전술한 개질 처리를 수행하는 경우, 예컨대 용량 결합 플라즈마 발생기, 유도 결합 플라즈마 발생기, 전자 사이클로트론 공진기, 표면파 플라즈마 발생기, 헬리콘파 플라즈마 발생기 등을 히터(207)를 대신하는 활성화 수단으로서 이용할 수 있다. 또한 이들의 기기를 히터(207)와 조합하는 것에 의해서도 이용할 수 있다. 이들의 기기를 이용하여 처리실(201) 내 또는 처리실(201) 외부에 설치된 버퍼실 내에서 예컨대 He, Ar, N2 등의 가스를 플라즈마화하여 얻어진 플라즈마, 즉 하전(荷電) 입자와 중성 입자로 이루어지고, 집단 행동을 하는 준중성(準中性) 기체를 처리실(201) 내의 웨이퍼(200)에 대하여 조사하는 것에 의해 전술한 개질 처리를 수행할 수 있다.
자외선을 조사하는 것에 의해 전술한 개질 처리를 수행하는 경우, 예컨대 중수소 램프, 헬륨 램프, 카본 아크등(carbon-arc lamp), BRV 광원(光源), 엑시머 램프, 수은 램프 등을 히터(207)를 대신하는 활성화 수단으로서 이용할 수 있다. 또한 이들의 기기를 히터(207)와 조합하는 것에 의해서도 이용할 수 있다. 이들의 광원으로부터 예컨대 10nm 내지 200nm의 파장(波長)의 진공 자외선을 처리실(201) 내의 웨이퍼(200)에 대하여 조사하는 것에 의해 전술한 개질 처리를 수행할 수 있다.
마이크로파를 조사하는 것에 의해 전술한 개질 처리를 수행하는 경우, 예컨대 파장 100μm 내지 1m, 주파수 3THz 내지 300MHz의 전자파를 발생시키는 마이크로파 발생기를 히터(207)를 대신하는 활성화 수단으로서 이용할 수 있다. 또한 이들의 기기를 히터(207)와 조합하여 이용할 수도 있다. 전술한 파장의 마이크로파를 처리실(201) 내의 웨이퍼(200)에 대하여 조사하여, SiOC막, SiO막, 적층막 등의 막 중, 즉 유전체중의 전자 분극이나 이온 분극 등에 작용시켜서 유도 가열을 발생시키는 것에 의해 전술한 개질 처리를 수행할 수 있다.
이 경우에도 처리 조건은 예컨대 전술한 실시 형태나 변형예와 마찬가지의 처리 조건으로 할 수 있다.
전술한 실시 형태 등에서는 한 번에 복수 매의 기판을 처리하는 뱃치(batch)식의 기판 처리 장치를 이용하여 박막을 성막하는 예에 대하여 설명하였다. 본 발명은 이에 한정되지 않고, 한 번에 1매 또는 수 매의 기판을 처리하는 매엽식(枚葉式)의 기판 처리 장치를 이용하여 박막을 형성하는 경우에도 바람직하게 적용할 수 있다. 또한 전술한 실시 형태에서는 핫 월형의 처리로를 포함하는 기판 처리 장치를 이용하여 박막을 형성하는 예에 대하여 설명하였다. 본 발명은 이에 한정되지 않고, 콜드 월형의 처리로를 포함하는 기판 처리 장치를 이용하여 박막을 형성하는 경우에도 바람직하게 적용할 수 있다. 이 경우의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
전술한 각 실시 형태 및 각 변형예는 적절히 조합하여 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
〔실시예〕
〔제1 실시예〕
본 발명의 실시예로서 전술한 실시 형태에서의 기판 처리 장치를 이용하여 전술한 실시 형태에서의 도 4a의 성막 시퀀스에 의해 웨이퍼 상에 SiOC막을 형성하고, 그 후, SiOC막의 개질 처리를 수행하여 각각의 SiOC막의 각종 특성을 평가하였다. 성막 처리와 개질 처리는 각각 다른 처리실 내에서, 즉 Ex-Situ로 수행하였다. 개질 처리는 제1 열처리를 수행하지 않고 제2 열처리만 수행하였다. 원료 가스로서는 BTCSM가스를, 산화 가스로서는 H2O가스를, 촉매 가스로서는 피리딘 가스를, 개질 처리 시의 열처리 가스로서는 N2가스를 이용하였다. 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 하였다.
도 11a 내지 도 11c는 본 실시예의 평가 결과를 도시하는 그래프이며, 도 11a는 열처리 전후에서의 SiOC막의 비유전율을 도시하고, 도 11b는 열처리 전후에서의 SiOC막의 웨트 에칭 레이트(WER)를 도시하고, 도 11c는 SiOC막의 WER의 열처리의 온도 의존성을 도시한다.
도 11a의 그래프의 횡축은 SiOC막의 처리 상태를 나타내고, 왼쪽부터 순서대로 웨이퍼의 온도를 60℃로 하여 성막된 상태에서 열처리를 받지 않은 SiOC막의 예(60℃ as depo), 웨이퍼의 온도를 60℃로 하여 성막된 후, N2가스의 분위기 하에서 웨이퍼의 온도를 600℃로 하여 30분간 열처리된 SiOC막의 예(600℃ 30min N2 anneal)를 도시한다. 또한 그래프의 종축은 SiOC막의 비유전율(k value)을 나타낸다. SiOC막의 비유전율이란 진공의 유전율ε0에 대하여 SiOC막의 유전율ε의 비율 εr=ε/ε0이다.
도 11a에 의하면, 본 실시예에서의 열처리 전의 SiOC막의 비유전율은 7.76인 것을 알 수 있다. 또한 본 발명자 등이 수행한 다른 평가에 의하면, 비교적 고온으로 성막된 SiOC막의 비유전율은 4.5 정도이었다. 본 실시예에서의 SiOC막의 비유전율은 열처리 전에는 이보다 높다는 것을 알 수 있다. 이에 대하여 본 실시예에서의 열처리 후의 SiOC막의 비유전율은 3.58이며, 전술한 비교적 고온으로 성막된 SiOC막의 비유전율(4.5 정도)이나, 일반적인 열 산화막의 비유전율(3.9 정도)을 크게 밑돈다는 것을 알 수 있다. 이는 SiOC막의 열처리에 의해 저온 조건 하에서 형성된 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 제거된 것과, SiOC막이 포러스화된 것이 주된 요인인 것으로 생각된다.
도 11b의 그래프의 횡축은 도 11a와 마찬가지이며, 왼쪽부터 순서대로 각각 「60℃, as depo」 및 「600℃, 30min, N2, anneal」을 나타낸다. 또한 그래프의 종축은 SiOC막의 1% 농도의 불화수소 함유액(1% HF 수용액)에 의한 WER[a.u.]을 나타낸다. 여기서 WER은 단위 시간당의 에칭 깊이이며, 그 값이 작을수록 HF에 대한 내성(에칭 내성)이 높다는 것을 나타낸다.
도 11b에서의 열처리 전의 SiOC막은 그 WER으로부터 비교적 양호한 에칭 내성을 구비한다는 것을 알 수 있다. 이 SiOC막의 WER은 다른 평가에서 본 발명자 등이 저온 조건 하에서 성막한 SiO막의 WER보다 낮다는 것을 확인하였다. 또한 도 11b에 의하면, 열처리 후의 SiOC막의 WER은 열처리 전의 SiOC막의 WER의 1/8 이하의 값이라는 것을 알 수 있다. 이는 통상의 열 산화막의 WER보다 낮은 값에 상당한다. 즉 SiOC막을 열처리하는 것에 의해 SiOC막 중의 불순물을 저감시켜 에칭 내성을 향상시키는 것이 가능하다는 것을 알 수 있다.
도 11c의 그래프의 횡축은 웨이퍼의 온도를 60℃로 하여 성막된 후 N2가스의 분위기 하에서 30분간 열처리된 SiOC막의 열처리 시의 온도 조건을 나타내고, 왼쪽부터 순서대로 200℃, 300℃, 500℃, 600℃, 630℃의 예를 제시한다. 또한 그래프의 종축은 도 11b와 마찬가지로 SiOC막의 1% HF 수용액에 의한 WER[a.u.]을 나타낸다.
도 11c에 의하면, 열처리 시의 온도가 200℃인 경우, 그 WER으로부터 개질 처리에 의한 효과가 충분히 얻어진다는 것을 알 수 있다. 또한 도 11c에 의하면, 열처리 시의 온도가 300℃일 때의 WER은 열처리 시의 온도가 200℃일 때의 WER의 약 절반이 되고, 또한 양호한 결과가 얻어진다는 것을 알 수 있다. 또한 열처리 시의 온도가 500℃일 때, WER의 저하가 보다 현저해지고, 이보다 높은 온도, 즉 600℃, 630℃의 결과에 비해 손색이 없는 결과가 얻어진다는 것을 알 수 있다. 열처리 시의 온도가 500℃, 600℃, 630℃일 때의 WER은 모두 열처리 시의 온도가 200℃일 때의 WER의 약 10분의 1 이하가 된다는 것을 알 수 있다. 이로부터 열처리 시의 온도를 적어도 500℃ 이상으로 하는 것에 의해 에칭 내성을 향상시키는 현저한 효과가 얻어진다는 것을 알 수 있다. 또한 500℃ 이상의 온도에서는 WER의 저하 정도가 둔화하지만, 630℃에서는 WER이 한층 더 저하한다는 것을 알 수 있다. 630℃에서의 WER은 500℃에서의 WER의 70% 정도다. 따라서 열처리 시의 온도를 630℃로 하거나, 또는 그 이상의 온도로 하는 것에 의해 한층 더 뛰어난 에칭 내성이 얻어진다는 것을 예측할 수 있다. 이와 같이 열처리 시의 온도를 높이는 것에 의해 SiOC막의 WER을 저하시키는 효과가 한층 더 높아진다는 것을 알 수 있다.
〔제2 실시예〕
본 발명의 실시예로서 전술한 실시 형태에서의 기판 처리 장치를 이용하여 전술한 실시 형태에서의 도 4a의 성막 시퀀스에 의해 웨이퍼 상에 SiOC막을 형성하고, 그 후, SiOC막의 개질 처리를 수행하였다. 성막 처리와 개질 처리는 각각 다른 처리실 내에서, 즉 Ex-Situ로 수행하였다.
여기서는 개질 처리로서 제1 열처리 및 제2 열처리의 양방을 도 14a에 도시하는 어닐링 시퀀스에서 수행한 샘플(샘플1)과, 제1 열처리를 수행하지 않고 제2 열처리만 수행한 샘플(샘플2)을 준비하였다. 그리고 각 샘플의 SiOC막의 각종 특성을 평가하였다.
각 샘플을 작성할 때, 원료 가스로서는 BTCSM가스를, 산화 가스로서는 H2O가스를, 촉매 가스로서는 피리딘 가스를 이용하고, 개질 처리 시의 열처리 가스로서는 N2가스를 이용하였다. 샘플1의 제1 열처리에서의 웨이퍼의 온도(제1 온도)를 450℃로 하고, 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 600℃로 하였다. 그 외의 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 하였다.
도 13은 본 실시예의 평가 결과를 도시하는 도면이며, 샘플1의 SiOC막과 샘플2의 SiOC막의 각종 특성[WER, 슈링크율(shrinking rates, 수축률), k value(비유전율)]을 비교해서 표로 정리한 것이다.
도 13에 의하면, 샘플1의 SiOC막의 WER은 샘플2의 SiOC막의 WER의 1/17 이하이며, 샘플1의 SiOC막의 WER은 샘플2의 SiOC막의 WER보다 훨씬 작다는 것을 알 수 있다. 또한 샘플2의 SiOC막의 WER도 비교적 작아, 샘플2의 SiOC막도 비교적 양호한 에칭 내성을 가진다는 것을 알 수 있다. 즉 샘플1의 SiOC막의 WER은 그 작은 WER(샘플2의 SiOC막의 WER)보다 한층 더 작아, 샘플1의 SiOC막은 그 양호한 에칭 내성(샘플2의 SiOC막의 에칭 내성)을 한층 더 웃도는 에칭 내성을 가진다는 것을 알 수 있다. 이는 샘플2의 SiOC막의 경우, 제2 열처리에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물이 SiOC막 중으로부터 제거된 것에 대해, 샘플1의 SiOC막의 경우, 제1 열처리 및 제2 열처리가 단계적으로 수행된 것에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 외에 CxHy계의 불순물이 SiOC막 중으로부터 충분히 제거된 결과인 것으로 생각된다.
또한 도 13에 의하면, 샘플1의 SiOC막의 슈링크율은 샘플2의 SiOC막의 슈링크율의 9/10 정도이며, 샘플1의 SiOC막의 슈링크율은 샘플2의 SiOC막의 슈링크율보다 작다는 것을 알 수 있다. 여기서 슈링크율이란 개질 처리 전의 SiOC막에 대한 개질 처리 후의 SiOC막의 수축률, 즉 개질 처리에 의해 SiOC막이 수축하는 비율을 말한다. 즉 샘플1의 SiOC막은 샘플2의 SiOC막보다 개질 처리에 의해 수축하지 않는다는 것을 알 수 있다. 반대로 말하면, 샘플2의 SiOC막은 샘플1의 SiOC막보다 개질 처리에 의해 수축한다는 것을 알 수 있다.
샘플1의 SiOC막의 슈링크율이 작은 것은 샘플1의 SiOC막은 제1 열처리 및 제2 열처리가 단계적으로 수행되는 것에 의해, 즉 2단계로 온도가 다른 열처리가 수행되는 것에 의해, SiOC막 중으로부터 탈리한 수분이나 Cl 등에 의한 SiOC막의 산화를 억제하여 막 수축률을 억제할 수 있었기 때문이라고 생각된다. 또한 샘플2의 SiOC막의 슈링크율이 큰 것은 샘플2의 SiOC막은 제1 열처리가 수행되지 않고 제2 열처리만이 수행되는 것에 의해, 즉 1단계로 비교적 높은 온도로 열처리가 수행되는 것에 의해, SiOC막 중으로부터 탈리한 수분이나 Cl 등에 의해 SiOC막이 산화되어 SiOC막이 수축하기 쉬워졌기 때문이라고 생각된다.
또한 도 13에 의하면, 샘플1의 SiOC막의 비유전율(2.68)은 샘플2의 SiOC막의 비유전율(3.58)보다 작다는 것을 알 수 있다. 또한 샘플2의 SiOC막의 비유전율(3.58)은 일반적인 열 산화막의 비유전율(3.9 정도)을 크게 밑도는 비유전율이지만, 샘플1의 SiOC막의 비유전율(2.68)은 그것보다 한층 더 밑도는 비유전율이라는 것을 알 수 있다.
샘플2의 SiOC막의 비유전율이 일반적인 열 산화막의 비유전율을 크게 밑돈 것은 SiOC막에 대한 제2 열처리에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 제거된 것과, SiOC막이 포러스화된 것이 주된 요인인 것으로 생각된다. 샘플1의 SiOC막의 비유전율이 일반적인 열 산화막의 비유전율이나 샘플2의 SiOC막의 비유전율을 크게 밑돈 것은 SiOC막에 대한 제1 열처리 및 제2 열처리, 즉 온도를 변경하여 단계적으로 수행되는 열처리에 의해, SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물 등의 유전율을 높이는 물질 외에 CxHy계의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 충분히 제거된 것과, SiOC막의 포러스화가 한층 더 진행된 것이 주된 요인인 것으로 생각된다.
〔제3 실시예〕
본 발명의 실시예로서 전술한 실시 형태에서의 기판 처리 장치를 이용하여 전술한 실시 형태에서의 도 4a의 성막 시퀀스에 의해 웨이퍼 상에 SiOC막을 형성하고, 그 후, SiOC막의 개질 처리를 수행하였다. 성막 처리와 개질 처리는 각각 다른 처리실 내에서, 즉 Ex-Situ로 수행하였다.
여기서는 웨이퍼의 온도를 60℃로 하여 성막한 후, N2가스의 분위기 하에서 웨이퍼의 온도를 100℃로 하여 열처리를 수행한 샘플(샘플1)과, 웨이퍼의 온도를 60℃로 하여 성막한 후, 개질 처리로서 N2가스의 분위기 하에서 웨이퍼의 온도를 200℃로 하여 열처리를 수행한 샘플2와, 웨이퍼의 온도를 60℃로 하여 성막한 후, 개질 처리로서 제1 열처리 및 제2 열처리를 도 15의 어닐링 시퀀스에서 수행한 샘플3과, 웨이퍼의 온도를 60℃로 하여 성막한 후, 개질 처리로서 제1 열처리 및 제2 열처리를 도 14a의 어닐링 시퀀스에서 수행한 샘플(샘플4 내지 샘플6)을 준비하였다. 그리고 각 샘플의 SiOC막의 WER을 평가하였다.
각 샘플을 작성할 때, 원료 가스로서는 BTCSM가스를, 산화 가스로서는 H2O가스를, 촉매 가스로서는 피리딘 가스를, SiOC막 개질 처리 시의 열처리 가스로서는 N2가스를 이용하였다. 샘플3의 제1 열처리 및 제2 열처리에서의 웨이퍼의 온도(제1 온도, 제2 온도)를 300℃로 하였다. 샘플4 내지 샘플6의 제1 열처리에서의 웨이퍼의 온도(제1 온도)를 각각 450℃로 하였다. 샘플4 내지 샘플6의 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 각각 500℃, 600℃, 630℃로 하였다. 그 외의 조건, 즉 제1 온도나 제2 온도로 유지하는 시간, 승온이나 강온에 필요로 하는 시간 등에 대해서는 도 16b의 표에 도시하는 바와 같다. 그 외의 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 하였다.
도 16a는 샘플1 내지 샘플6의 WER을 도시하는 그래프이며, 도 16b는 샘플1 내지 샘플6의 어닐링 시퀀스의 열처리 조건을 비교해서 표로 정리한 도면이다. 도 16a의 횡축은 각 샘플을 나타내고, 종축은 1% HF 수용액에 의한 SiOC막의 WER[Å/min]을 나타낸다.
도 16a에 의하면, 샘플2 내지 6의 SiOC막은 샘플1의 SiOC막보다 WER이 훨씬 작다는 것, 즉 에칭 내성이 지극히 양호하다는 것을 알 수 있다. 특히 제1 온도, 제2 온도를 전술한 실시 형태에서 예시한 범위 내의 온도로 각각 설정한 샘플3 내지 샘플6에서는 WER이 한층 더 작고, 에칭 내성이 한층 더 양호하다는 것을 알 수 있다. 또한 제2 온도를 제1 온도보다 높은 온도로 설정한 샘플4 내지 샘플6이 제2 온도를 제1 온도와 마찬가지의 온도로 설정한 샘플3보다 WER이 작고 에칭 내성이 보다 양호해진다는 것을 알 수 있다. 이는 제1 열처리 및 제2 열처리를 전술한 실시 형태에 기재된 조건 범위 내에서 수행한 것에 의해 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 제1 불순물 외에 CxHy계의 제2 불순물이 SiOC막 중으로부터 충분히 제거된 것이 주된 요인인 것으로 생각된다.
〔제4 실시예〕
본 발명의 실시예로서 전술한 실시 형태에서의 기판 처리 장치를 이용하여 전술한 실시 형태에서의 도 4a의 성막 시퀀스에 의해 웨이퍼 상에 SiOC막을 형성하고, 그 후, SiOC막의 개질 처리를 수행하였다. 성막 처리와 개질 처리는 각각 다른 처리실 내에서, 즉 Ex-Situ로 수행하였다.
여기서는 웨이퍼의 온도를 60℃로 하여 SiOC막을 형성한 증착 상태의 샘플(샘플1)과, 웨이퍼의 온도를 60℃로 하여 SiOC막을 형성한 후, 제1 열처리 및 제2 열처리를 도 15의 어닐링 시퀀스에서 수행한 샘플(샘플2 내지 샘플4)과, 웨이퍼의 온도를 60℃로 하여 SiOC막을 형성한 후, 제1 열처리 및 제2 열처리를 도 14a의 어닐링 시퀀스에서 수행한 샘플(샘플5 내지 샘플8)을 준비하였다. 그리고 각 샘플의 SiOC막의 비유전율을 평가하였다.
샘플1 내지 샘플8을 작성할 때, 원료 가스로서는 BTCSM가스를, 산화 가스로서는 H2O가스를, 촉매 가스로서는 피리딘 가스를, SiOC막 개질 처리 시의 열처리 가스로서는 N2가스를 이용하였다. 샘플2 내지 샘플4의 제1 열처리 및 제2 열처리에서의 웨이퍼의 온도(=제1 온도=제2 온도)를 각각 300℃, 400℃, 600℃로 하였다. 샘플5의 제1 열처리에서의 웨이퍼의 온도(제1 온도)는 60℃로 하고, 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 200℃로 하였다. 샘플6 내지 샘플8의 제1 열처리에서의 웨이퍼의 온도(제1 온도)를 각각 450℃로 하고, 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 각각 500℃, 630℃, 700℃로 하였다. 그 외의 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 하였다.
또한 참고예로서 원료 가스 및 촉매 가스의 공급과, 산화 가스 및 촉매 가스의 공급을 교호적으로 소정 횟수 수행하는 성막 시퀀스에 의해, 웨이퍼 상에 SiO막을 형성하고, 그 후, SiO막의 개질 처리를 수행하였다. 성막 처리와 개질 처리는 다른 처리실 내에서, 즉 Ex-Situ로 수행하였다.
여기서는 웨이퍼의 온도를 60℃로 하여 SiO막을 형성한 증착 상태의 샘플(샘플9)과, 웨이퍼의 온도를 60℃로 하여 SiO막을 형성한 후, 개질 처리로서 제1 열처리를 수행하지 않고 제2 열처리만 수행한 샘플(샘플10)을 준비하였다. 그리고 각 샘플의 SiO막의 비유전율을 평가하였다.
샘플9, 샘플10을 작성할 때, 원료 가스로서는 HCDS가스를, 산화 가스로서는 H2O가스를, 촉매 가스로서는 피리딘 가스를, 개질 처리 시의 열처리 가스로서는 N2가스를 이용하였다. 샘플10의 제2 열처리에서의 웨이퍼의 온도(제2 온도)를 600℃로 하였다. 그 외의 처리 조건은 전술한 실시 형태와 마찬가지의 처리 조건으로 하였다.
도 17은 샘플1 내지 샘플10의 비유전율(k value)을 도시하는 그래프이며, 횡축은 제2 열처리에서의 웨이퍼의 온도(℃)를 나타내고, 종축은 비유전율을 나타낸다. 이 도면에서는 편의상 샘플1 내지 샘플10을 각각 S1 내지 S10으로 표기한다.
도 17에 의하면, 샘플2 내지 샘플8의 SiOC막은 샘플1의 SiOC막이나, 샘플9의 SiO막보다 비유전율이 작아진다는 것을 알 수 있다. 특히 제1 온도, 제2 온도를 전술한 실시 형태에서 예시한 범위 내의 온도로 각각 설정한 샘플3 및 샘플4, 샘플6 내지 샘플8에서는 비유전율이 한층 더 작아진다는 것을 알 수 있다. 또한 샘플3 및 샘플4, 샘플6 내지 샘플8의 SiOC막은 샘플10의 SiO막보다 비유전율이 작아진다는 것을 알 수 있다. 또한 샘플6 내지 샘플8의 SiOC막은 비유전율이 3보다 작아진다는 것을 알 수 있다. 이는 제1 열처리 및 제2 열처리를 전술한 실시 형태에 기재된 조건 범위 내에서 수행한 것에 의해 저온 조건 하에서 형성된 SiOC막 중에 포함되어 있었던 수분이나 Cl 등의 불순물이나 CxHy계의 불순물 등의 유전율을 높이는 물질이 SiOC막 중으로부터 제거된 것과, SiOC막이 포러스화된 것이 주된 요인인 것으로 생각된다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기(附記)한다.
〔부기1〕
본 발명의 일 형태에 의하면,
기판 상에 박막을 형성하는 공정;
상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분(H2O) 및 염소(Cl)를 포함하는 제1 불순물을 제거하는 공정; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물(CxHy계의 불순물)을 포함하는 제2 불순물을 제거하는 공정;
을 포함하는 반도체 장치의 제조 방법 및 기판 처리 방법이 제공된다.
〔부기2〕
부기1에 기재된 방법으로서, 바람직하게는,
상기 제1 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제1 온도로 상승시키는 기간 중 적어도 일부를 포함한다.
〔부기3〕
부기1 또는 부기2에 기재된 방법으로서, 바람직하게는,
상기 제1 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제1 온도로 일정하게 유지하는 기간을 포함한다.
〔부기4〕
부기1 내지 부기3 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제2 온도는 상기 제1 온도보다 높은 온도다. 또한 상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제2 온도로 상승시키는 기간 중 적어도 일부를 포함한다.
〔부기5〕
부기1 내지 부기4 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제2 온도로 일정하게 유지하는 기간을 포함한다.
〔부기6〕
부기1 내지 부기5 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제2 온도로부터 하강시키는 기간 중 적어도 일부를 포함한다.
〔부기7〕
부기1 내지 부기3 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제2 온도는 상기 제1 온도와 동등한 온도(동일한 온도)다. 또한 상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제1 온도로 유지하는 기간을 포함한다.
〔부기8〕
부기1 내지 부기7 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제1 온도를 상기 박막으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물에 의해 상기 박막이 산화되지 않는 온도로 한다. 또한 바람직하게는 상기 제1 온도를 상기 박막으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물과, 상기 박막 중에 포함되는 상기 제1 불순물과는 다른 불순물이 반응하지 않는 온도로 한다. 또한 바람직하게는 상기 제1 온도를 상기 박막으로부터 상기 제1 불순물을 제거할 때에 상기 제1 불순물과, 상기 박막 중에 포함되는 상기 제2 불순물이 반응하지 않는 온도로 한다.
〔부기9〕
부기1 내지 부기8 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제1 온도를 300℃ 이상 450℃ 이하의 범위 내의 온도로 한다. 보다 바람직하게는 상기 제1 온도를 300℃ 이상 400℃ 이하의 범위 내의 온도, 더욱 바람직하게는 300℃ 이상 350℃ 이하의 범위 내의 온도로 한다.
〔부기10〕
부기1 내지 부기9 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제2 온도를 300℃ 이상 900℃ 이하의 범위 내의 온도로 한다. 보다 바람직하게는 상기 제2 온도를 350℃ 이상 700℃ 이하의 범위 내의 온도, 더욱 바람직하게는 400℃ 이상 700℃ 이하의 범위 내의 온도, 더욱 바람직하게는 450℃ 이상 600℃ 이하의 범위 내의 온도로 한다.
〔부기11〕
부기1 내지 부기10 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 박막은 소정 원소, 산소 및 탄소를 포함한다.
〔부기12〕
부기11에 기재된 방법으로서, 바람직하게는,
상기 박막을 형성하는 공정에서는,
상기 기판에 대하여 상기 소정 원소, 탄소 및 할로겐 원소를 포함하고, 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정;
상기 기판에 대하여 산화 가스를 공급하는 공정; 및
상기 기판에 대하여 촉매 가스를 공급하는 공정;
을 포함하는 사이클을 소정 횟수 수행한다.
〔부기13〕
부기12에 기재된 방법으로서, 바람직하게는,
상기 박막을 형성하는 공정에서는 상기 기판의 온도를 실온 이상 150℃ 이하의 온도로 한다. 또한 바람직하게는 상기 기판의 온도를 실온 이상 100℃ 이하의 온도, 더욱 바람직하게는 50℃ 이상 100℃ 이하의 온도로 한다.
〔부기14〕
부기12 또는 부기13에 기재된 방법으로서, 바람직하게는,
상기 소정 원소는 실리콘(Si)을 포함하고, 상기 원료 가스는 Si-C 결합, Si-C-Si 결합 및 Si-C-C-Si 결합으로부터 이루어지는 군으로부터 선택되는 적어도 1개를 포함한다.
〔부기15〕
부기1 내지 부기14 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 제1 불순물을 제거하는 공정 및 상기 제2 불순물을 제거하는 공정에서는 상기 기판에 대하여 산소 비함유 가스를 공급하는 것에 의해 산소 비함유의 분위기 하에서 상기 열처리를 수행한다. 또한 상기 제1 불순물을 제거하는 공정 및 상기 제2 불순물을 제거하는 공정에서는 상기 기판에 대하여 불활성 가스를 공급하는 것에 의해 불활성 가스 분위기 하에서 상기 열처리를 수행한다.
〔부기16〕
부기1 내지 부기15 중 어느 하나에 기재된 방법으로서, 바람직하게는,
상기 박막을 형성하는 공정 및 상기 박막을 열처리하는 공정(제1 불순물을 제거하는 공정, 제2 불순물을 제거하는 공정)은 동일한 처리실 내에서, 또는 각각 다른 처리실 내에서 수행된다.
〔부기17〕
본 발명의 다른 형태에 의하면,
기판을 수용하는 처리실;
박막을 형성하기 위한 처리 가스를 상기 처리실 내에 공급하는 처리 가스 공급계;
상기 처리실 내의 기판을 가열하는 히터; 및
상기 처리실 내의 기판에 대하여 상기 처리 가스를 공급하여 상기 기판 상에 박막을 형성하는 처리와, 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분(H2O) 및 염소(Cl)를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물(CxHy계의 불순물)을 포함하는 제2 불순물을 제거하는 처리를 수행하도록, 상기 처리 가스 공급계 및 상기 히터를 제어하도록 구성되는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
〔부기18〕
본 발명의 또 다른 형태에 의하면,
기판 상에 박막을 형성하는 제1 기판 처리부와, 상기 박막을 열처리하는 제2 기판 처리부를 포함하는 기판 처리 시스템으로서,
상기 제1 기판 처리부는,
기판을 수용하는 제1 처리실;
박막을 형성하기 위한 처리 가스를 상기 제1 처리실 내에 공급하는 처리 가스 공급계; 및
상기 제1 처리실 내의 기판에 대하여 상기 처리 가스를 공급하여 상기 기판 상에 박막을 형성하는 처리를 수행하도록 상기 처리 가스 공급계를 제어하도록 구성되는 제1 제어부;를 포함하고,
상기 제2 기판 처리부는,
기판을 수용하는 제2 처리실;
상기 제2 처리실 내의 기판을 가열하는 히터; 및
상기 제2 처리실 내에 상기 박막이 형성된 상기 기판을 수용한 상태에서 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분(H2O) 및 염소(Cl)를 포함하는 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물(CxHy계의 불순물)을 포함하는 제2 불순물을 제거하는 처리를 수행하도록, 상기 히터를 제어하도록 구성되는 제2 제어부;를 포함하는 기판 처리 시스템이 제공된다.
〔부기19〕
본 발명의 또 다른 형태에 의하면,
처리실 내의 기판 상에 박막을 형성하는 순서;
상기 박막을 형성하는 순서에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 수분(H2O) 및 염소(Cl)를 포함하는 제1 불순물을 제거하는 순서; 및
상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 탄화수소 화합물(CxHy계의 불순물)을 포함하는 제2 불순물을 제거하는 순서;
를 컴퓨터에 실행시키는 프로그램 및 상기 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121: 컨트롤러(제어부) 200: 웨이퍼(기판)
201: 처리실 202: 처리로
203: 반응관 207: 히터(가열 수단)
209: 매니폴드 231: 배기관
232a 내지 232l: 가스 공급관 244: APC밸브(압력 조정부)

Claims (23)

  1. 삭제
  2. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제1 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제1 온도로 상승시키는 기간 중 적어도 일부를 포함하는 반도체 장치의 제조 방법.
  3. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제1 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제1 온도로 일정하게 유지하는 기간을 포함하는 반도체 장치의 제조 방법.
  4. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제2 온도는 상기 제1 온도보다 높은 온도인 반도체 장치의 제조 방법.
  5. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제2 온도로 상승시키는 기간 중 적어도 일부를 포함하는 반도체 장치의 제조 방법.
  6. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제2 온도로 일정하게 유지하는 기간을 포함하는 반도체 장치의 제조 방법.
  7. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제2 온도로부터 하강시키는 기간 중 적어도 일부를 포함하는 반도체 장치의 제조 방법.
  8. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제2 온도는 상기 제1 온도와 동등한 온도인 반도체 장치의 제조 방법.
  9. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제2 불순물을 제거하는 공정은 상기 기판의 온도를 상기 제1 온도로 유지하는 기간을 포함하는 반도체 장치의 제조 방법.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제2항 내지 제9항 중 어느 한 항에 있어서,
    상기 제1 온도를 300℃ 이상 450℃ 이하의 범위 내의 온도로 하는 반도체 장치의 제조 방법.
  14. 제2항 내지 제9항 중 어느 한 항에 있어서,
    상기 제2 온도를 300℃ 이상 900℃ 이하의 범위 내의 온도로 하는 반도체 장치의 제조 방법.
  15. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 박막은 소정 원소, 산소 및 탄소를 포함하는 반도체 장치의 제조 방법.
  16. 제15항에 있어서,
    상기 박막을 형성하는 공정에서는,
    상기 기판에 대하여 상기 소정 원소, 탄소 및 할로겐 원소를 포함하고 상기 소정 원소와 탄소의 화학 결합을 포함하는 원료 가스를 공급하는 공정;
    상기 기판에 대하여 산화 가스를 공급하는 공정; 및
    상기 기판에 대하여 촉매 가스를 공급하는 공정;
    을 포함하는 사이클을 소정 횟수 수행하는 반도체 장치의 제조 방법.
  17. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 제1 불순물을 제거하는 공정 및 상기 제2 불순물을 제거하는 공정에서는 상기 기판에 대하여 산소 비함유 가스를 공급하는 것에 의해 산소 비함유의 분위기 하에서 상기 열처리를 수행하는 반도체 장치의 제조 방법.
  18. 기판을 수용하는 처리실;
    수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하기 위한 처리 가스를 상기 처리실 내에 공급하는 처리 가스 공급계;
    상기 처리실 내의 기판을 가열하는 히터; 및
    상기 처리실 내의 기판에 대하여 상기 처리 가스를 공급하여 상기 기판 상에 박막을 형성하는 처리와, 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 처리를 수행하도록, 상기 처리 가스 공급계 및 상기 히터를 제어하도록 구성되는 제어부;
    를 포함하는 기판 처리 장치.
  19. 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 제1 기판 처리부와, 상기 박막을 열처리하는 제2 기판 처리부를 포함하는 기판 처리 시스템에 있어서,
    상기 제1 기판 처리부는,
    기판을 수용하는 제1 처리실;
    상기 박막을 형성하기 위한 처리 가스를 상기 제1 처리실 내에 공급하는 처리 가스 공급계; 및
    상기 제1 처리실 내의 기판에 대하여 상기 처리 가스를 공급하여 상기 기판 상에 상기 박막을 형성하는 처리를 수행하도록 상기 처리 가스 공급계를 제어하도록 구성되는 제1 제어부;
    를 포함하고,
    상기 제2 기판 처리부는,
    기판을 수용하는 제2 처리실;
    상기 제2 처리실 내의 기판을 가열하는 히터; 및
    상기 제2 처리실 내에 상기 박막이 형성된 상기 기판을 수용한 상태에서 상기 박막을 형성하는 처리에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 처리와, 상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 처리를 수행하도록, 상기 히터를 제어하도록 구성되는 제2 제어부;
    를 포함하는 기판 처리 시스템.
  20. 처리실 내의 기판 상에 수분 및 염소를 포함하는 제1 불순물과 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 순서;
    상기 박막을 형성하는 순서에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 순서; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 순서;
    를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체로서,
    상기 박막은 소정 원소, 산소 및 탄소를 포함하는 것인 컴퓨터 판독 가능한 기록 매체.
  21. 삭제
  22. 제15항에 있어서, 상기 소정 원소는 반도체 원소 또는 금속 원소를 포함하는 반도체 장치의 제조 방법.
  23. 기판에 원료 가스를 공급하여, 상기 기판 상에 소정 원소와, 수분 및 염소를 포함하는 제1 불순물과, 탄화수소 화합물을 포함하는 제2 불순물을 포함하는 박막을 형성하는 공정;
    상기 박막을 형성하는 공정에서의 상기 기판의 온도보다 높은 제1 온도로 상기 박막을 열처리하는 것에 의해 상기 박막으로부터 상기 수분 및 상기 염소를 포함하는 상기 제1 불순물을 제거하는 공정; 및
    상기 제1 온도 이상의 제2 온도로 상기 박막을 열처리하는 것에 의해 상기 제1 온도로 열처리한 후의 상기 박막으로부터 상기 탄화수소 화합물을 포함하는 상기 제2 불순물을 제거하는 공정;
    을 포함하고,
    상기 소정 원소는 Si를 포함하고, 상기 원료 가스는 Si-C결합, Si-C-Si결합 및 Si-C-C-Si결합으로부터 이루어지는 군으로부터 선택되는 적어도 1개를 포함하는 반도체 장치의 제조 방법.
KR1020140030754A 2013-03-19 2014-03-17 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체 KR101574232B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2013057173 2013-03-19
JPJP-P-2013-057173 2013-03-19
JPJP-P-2014-020046 2014-02-05
JP2014020046 2014-02-05
JPJP-P-2014-025790 2014-02-13
JP2014025790A JP5864637B2 (ja) 2013-03-19 2014-02-13 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020150021336A Division KR101555604B1 (ko) 2013-03-19 2015-02-12 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체

Publications (2)

Publication Number Publication Date
KR20140114776A KR20140114776A (ko) 2014-09-29
KR101574232B1 true KR101574232B1 (ko) 2015-12-03

Family

ID=51569450

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020140030754A KR101574232B1 (ko) 2013-03-19 2014-03-17 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR1020150021336A KR101555604B1 (ko) 2013-03-19 2015-02-12 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020150021336A KR101555604B1 (ko) 2013-03-19 2015-02-12 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체

Country Status (4)

Country Link
US (2) US9349586B2 (ko)
JP (1) JP5864637B2 (ko)
KR (2) KR101574232B1 (ko)
TW (1) TWI540643B (ko)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5864637B2 (ja) * 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6123020B2 (ja) * 2014-03-13 2017-04-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6318188B2 (ja) * 2016-03-30 2018-04-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6761031B2 (ja) * 2016-05-20 2020-09-23 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP2018067582A (ja) * 2016-10-18 2018-04-26 東芝メモリ株式会社 半導体製造装置及び半導体装置の製造方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6816260B2 (ja) * 2017-03-31 2021-01-20 株式会社Fuji プラズマ発生装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190005741A (ko) * 2017-07-07 2019-01-16 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 금속 산화물 막의 형성 방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US20200332415A1 (en) * 2017-08-08 2020-10-22 Applied Materials, Inc. Methods And Apparatus For Deposition Of Low-K Films
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) * 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10605486B2 (en) * 2017-12-04 2020-03-31 Rheem Manufacturing Company Tank-based and tankless water heater systems
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
JP6957442B2 (ja) * 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2020160529A1 (en) 2019-02-01 2020-08-06 Versum Materials Us, Llc Compositions and methods using same for silicon containing films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) * 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP6910387B2 (ja) 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11569102B2 (en) * 2020-02-14 2023-01-31 Applied Materials, Inc. Oxidation inhibiting gas in a manufacturing system
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7329021B2 (ja) 2021-09-14 2023-08-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理システム、およびプログラム
JP2023090251A (ja) * 2021-12-17 2023-06-29 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100541693B1 (ko) 2004-07-20 2006-01-11 주식회사 하이닉스반도체 실린더형 캐패시터의 하부전극 형성방법

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3533968B2 (ja) * 1998-12-22 2004-06-07 セイコーエプソン株式会社 半導体装置の製造方法
JP3486155B2 (ja) 1999-07-23 2004-01-13 松下電器産業株式会社 層間絶縁膜の形成方法
US6458720B1 (en) 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
AU2001287574A1 (en) 2000-06-30 2002-01-08 Ingenium Pharmaceuticals Ag Human g protein-coupled receptor igpcr20, and uses thereof
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP2003179026A (ja) * 2001-12-13 2003-06-27 Hitachi Ltd 半導体装置の製造方法
US20030170605A1 (en) 2002-03-11 2003-09-11 Egan Visual Inc. Vapor deposited writing surfaces
AU2003221212A1 (en) * 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP4107411B2 (ja) * 2002-03-26 2008-06-25 大日本印刷株式会社 積層体およびその製造方法
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TWI262960B (en) 2003-02-27 2006-10-01 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4854286B2 (ja) * 2005-12-06 2012-01-18 株式会社アルバック 銅配線構造
JP2008071894A (ja) 2006-09-13 2008-03-27 Tokyo Electron Ltd 成膜方法
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
JP5518499B2 (ja) 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
WO2011081009A1 (en) * 2009-12-28 2011-07-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
WO2012128044A1 (ja) 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8716708B2 (en) * 2011-09-29 2014-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
TWI621185B (zh) * 2011-12-01 2018-04-11 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5864637B2 (ja) * 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100541693B1 (ko) 2004-07-20 2006-01-11 주식회사 하이닉스반도체 실린더형 캐패시터의 하부전극 형성방법

Also Published As

Publication number Publication date
JP2015165523A (ja) 2015-09-17
TW201448038A (zh) 2014-12-16
TWI540643B (zh) 2016-07-01
US20140287595A1 (en) 2014-09-25
US9831082B2 (en) 2017-11-28
KR20140114776A (ko) 2014-09-29
US20160155634A1 (en) 2016-06-02
JP5864637B2 (ja) 2016-02-17
KR20150023615A (ko) 2015-03-05
US9349586B2 (en) 2016-05-24
KR101555604B1 (ko) 2015-09-24

Similar Documents

Publication Publication Date Title
KR101555604B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR101846850B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
KR101670182B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102319147B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102186965B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US9384961B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
KR101537189B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101553481B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6457101B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6111317B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20181121

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191120

Year of fee payment: 5