CN101528974A - 浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺ⅱ( harpⅱ)使用不同的硅氧烷前体—远端等离子辅助沉积工艺 - Google Patents

浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺ⅱ( harpⅱ)使用不同的硅氧烷前体—远端等离子辅助沉积工艺 Download PDF

Info

Publication number
CN101528974A
CN101528974A CNA2007800384654A CN200780038465A CN101528974A CN 101528974 A CN101528974 A CN 101528974A CN A2007800384654 A CNA2007800384654 A CN A2007800384654A CN 200780038465 A CN200780038465 A CN 200780038465A CN 101528974 A CN101528974 A CN 101528974A
Authority
CN
China
Prior art keywords
precursor
oxygen
silica
organosilicon precursor
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800384654A
Other languages
English (en)
Other versions
CN101528974B (zh
Inventor
A·B·玛利克
J·C·姆洛
S·D·耐马尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101528974A publication Critical patent/CN101528974A/zh
Application granted granted Critical
Publication of CN101528974B publication Critical patent/CN101528974B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Polymers (AREA)
  • Element Separation (AREA)

Abstract

本发明描述一种在形成于基材上之间隙中沉积一介电层的方法。该方法包括导入一有机硅前体及一氧前体至一沉积反应室。该有机硅前体的C∶Si原子比小于8,且该氧前体包括在该沉积反应室外产生的氧原子。使该些前体进行反应以在该间隙中形成介电层。亦描述以介电材料填充一间隙的方法。该方法包括提供一C∶Si原子比小于8的有机硅前体及一氧前体,并由该些前体产生一等离子以在该间隙中沉积该介电材料的第一部分。可蚀刻该介电材料,且可在该间隙中形成该介电材料的第二部分。可退火处理该介电材料的第一及第二部分。

Description

浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺Ⅱ(HARPⅡ)使用不同的硅氧烷前体-远端等离子辅助沉积工艺
相关申请的对照
本申请有关于共同转让的美国临时申请(Ingle等人于2006年5月30日提交的申请号:60/803493的美国临时专利申请,标题为“CHEMICAL VAPORDEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING ASILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN”)。本申请还有关于共同转让的美国临时申请(Chen等人于2006年5月30日提交的申请号:60/803481的美国临时专利申请,标题为“A NOVEL DEPOSITION-PLASMA CURECYCLE PROCESS TO ENHANCE FILM QUALITY OF SILICON DIOXIDE”)。本申请还有关于共同转让的美国临时申请(Munro等人于2006年5月30日提交的申请号:60/803489的美国临时专利申请,标题为“A METHOD FOR DEPOSITINGAND CURING LOW-K FILMS FOR GAPFILL AND CONFORMAL FILMAPPLICATIONS”)。本申请还有关于共同转让的美国临时申请(Lubomirsky于2006年6月22日提交的申请号:60/805573的美国临时专利申请,标题为“DIELECTRICDEPOSITION AND ETCH BACK PROCESSES FOR BOTTOM UP GAP FILL”)。所有这四篇相关申请的全文内容结合在此作参考之用。
技术领域
本发明描述一种在基材上形成的间隙中沉积一介电层的方法。该方法可包括导入一有机硅前体及一氧前体至一沉积反应室。
背景技术
半导体组件的几何形状自数十年前采用后在大小上有明显的降低。现代半导体制造设备例行地生产具有250nm、180nm及65nm特征尺寸(feature size)的组件,且开发及实施新的设备以制备具有更小几何形状的组件。然而,尺寸愈小意指装置组件间必须更紧密作用,这可能增加电性干扰的机会,此干扰包括串扰(cross-talk)及寄生电容(parasitic capacitance)。
为了减少电性干扰的程度,使用介电绝缘材料来充填间隙、沟渠及其它在装置组件、金属导线及其它组件特征之间的空间。选择的介电材料为易于在组件特征之间的空间形成,且具低介电常数(即,「k值」)。低k值的介电材料较佳于使串扰及RC时间延迟达到最小化,以及可降低组件的整体电力消耗。当以传统CVD技术沉积时,传统介电材料包括氧化硅,其平均k值介于4.0与4.2之间。
虽然传统CVD的氧化硅的k值对许多组件结构而言为可接受的,但装置组件大小的降低及密度的提高使得半导体制造商寻求较低k值的介电材料。一解决方法为以氟掺杂氧化硅以形成一介电常数低至约3.4至3.6的掺杂氟的氧化硅薄膜(即,「FSG 」薄膜)。另一方法为旋涂式玻璃技术(spin-on glass techniques)的发展,此技术可以高流动性的前体如含氢的硅倍半氧(hydrogen silsesquioxane,HSQ)形成一多孔性低k薄膜。
近来,已开发出k值为3.0或更低的硅-氧-碳(Si-O-C)薄膜。这些低k值薄膜通常以碳-硅及氧前体的化学气相沈积方式沉积在基材上。虽然这些Si-O-C薄膜的k值比不掺杂及掺杂氟的氧化硅薄膜来得低时,但其亦倾向产生更多孔,此为不利的结果。多孔性薄膜易于提高水的吸收,即提高薄膜的k值。多孔性薄膜也有较高的「湿蚀刻速率比(wet etch rate ratios,WERRs)」,使得薄膜更易于腐蚀与应力龟裂。因此,需要一沉积减少孔隙度、较低WERR值、及较少龟裂的低k碳薄膜的新颖方法。此些及其它问题将在本发明实施例中述及。
发明内容
本发明实施例包括在形成于基材上之间隙中沉积一介电层的方法。该方法可包括导入一有机硅前体及一氧前体至一沉积反应室的步骤。该有机硅前体的C∶Si原子比可小于8,且该氧前体可包括在该沉积反应室外产生的氧原子。该些方法亦可包括使该些前体进行反应以在该间隙中形成介电层。
本发明实施例亦包括以介电材料填充一间隙的方法。该方法可包括提供一有机硅前体及一氧前体至一沉积反应室的步骤,其中该有机硅前体的C∶Si原子比小于8。可由该沉积反应室中的该些前体产生一等离子,其中该等离子在该间隙中沉积该介电材料的第一部分。此方法亦可包括蚀刻该介电材料的第一部分,以降低材料中的碳含量,及在该间隙中沉积该介电材料的第二部分。在该间隙中的介电材料的第一及第二部分可经退火处理。
本发明实施例进一步包括在基材的间隙中沉积及退火处理一介电材料的方法。该方法可包括提供一有机硅前体及一氧前体至一沉积反应室的步骤,其中该有机硅前体的C∶Si原子比小于8。使该些前体进行反应以在间隙中沉积介电材料,且可对该沉积的介电材料进行热退火。此外,也可对该沉积的介电材料进行等离子退火。
额外的实施例及特征将于后文中的详细说明述及,且部分可为熟悉该项技术人士在检阅本发明说明书而显见或由实施本发明而了解。本发明的特征及优点可通过在本说明书中的手段、组合及方法而了解并获得。
对于本发明技术思想及优点的进一步了解可参考本说明书后文部分及附图,其中在数个图式中皆使用的相似组件符号代表相似的组件。在某些例子中,与一标号组合并以一连接线相连的次标号代表多个相似组件的一。当使用一标号但未述及既有的次标号时,其意指所有此等多个相似的组件。
附图说明
图1为图标本发明实施例的介电质沉积的简化概要流程图;
图2为图标说明本发明实施例以介电材料填充一间隙的方法的流程图;
图3为图标本发明实施例在一基材上的一间隙中沉积及退火一介电材料的步骤的流程图;
图4为图标说明本发明实施例的制备一多层氧化硅薄膜方法的流程图;
图5A至F图标本发明实施例的一具有间隙结构的基材渐进地以一多层氧化硅薄膜填充;
图6A图标可用于本发明实施例以形成氧化硅层的基材工艺系统的垂直横切面图;及
图6B为图标本发明实施例的一基材工艺系统的系统监视器/控制器组件的概略图。
主要组件符号说明:
7  气体源                    8  气体供应管线
9 混合系统                   10 CVD系统
11 气体分配歧管              12 加热载台
12a 表面                     12b 晶圆举升梢
13a 圆形气体分配面板         13b 孔
14 处理位置                  15 反应室
15a 反应室壁                 15b 反应室盖组件
16 狭缝状孔口                17 环形排放气室
19 上介电衬层                20 圆形反应室盖
21 侧向延伸部分              23 排放信道
24 开关阀                    25 排放出口
26 嵌入/移除开口             32 马达
34 控制器                    36 控制管线
37 处理器                    38 内存
42 穿孔档板                  44 RF电源供应器
50a RT监视器                 50b 光笔
60 远程等离子产生器          64 架设接合器
66 陶瓷隔离器                70 混合装置
72 混合嵌入物                77 三向阀
100、200、300、400 方法
102、104、106、202、204、206、208、210、212、302、304、306、308、310312、314、402、404、406、408、410、412 步骤   502 基材
504 间隙                     506a 第一氧化层
506b 附加氧化层              506c 附加氧化层
508 薄膜                     510 氧化硅间隙充填
具体实施方式
本说明描述在一基材上的间隙中与表面上沉积介电材料的系统及方法。可由碳原子与硅原子比(C∶Si比)低于传统四乙氧基硅氧(TEOS)的有机硅化合物形成此介电材料。通过结合这类化合物与氧前体所形成的介电薄膜通常具有比初始沉积的TEOS氧化硅来得低的碳含量、多孔性及WERRs。此使得此膜与TEOS氧化薄膜相较不易龟裂、不易有孔洞形成、不易吸水及/或不易收缩。
此有机硅化合物的氧原子与硅原子比(O∶Si比)亦可能等于或大于TEOS的O∶Si比。具有低C∶Si比与高O∶Si比的有机硅前体可产生较高品质氧化硅薄膜,此膜的碳含量比传统纯粹使用TEOS为硅前体的氧化物来得低。实施例亦包括分子结构中有一或多个Si-O-Si键结的有机硅前体。Si前体中的此键结可促进减少碳及氢氧基的污染物的SiOx薄膜形成。
此有机硅化合物亦可包括卤化硅氧类及硅氮氧类(silazoxanes),其包括可轻易氧化成Si-O基的卤素及氮基。此卤化硅氧可包括氯化硅氧,如八氯三硅氧(OCTS),其的Si-C基易于受到氧分子、臭氧、二氧化氮、过氧化氢等物质的氧化而形成Si-O基同时减少碳含量。相似地,硅氮氧(如,六甲氧基二硅氮氧(hexamethoxydisilazoxanes,HMDS-H)具有容易氧化成Si-O基的Si-N基。
可在沉积反应室中导入有机硅化合物至反应性氧原子前体中,以进一步提高沉积的介电薄膜中Si-O基的形成。在将此氧原子送入沉积反应室以与有机硅前体反应前,可初步在沉积反应室外产生氧原子,例如使用远程高密度等离子产生单元。在沉积反应室中形成的氧化硅层比传统通过有机硅前体与氧分子、臭氧等反应而形成的氧化薄膜具有改进的流动性及间隙-流动性、以及较低的碳含量与多孔性。本发明的方法、产物及系统的实施例的额外详细描述现将于后文讨论。
示范性介电沉积方法
图1为依据本发明实施例的介电质沉积的简化概要流程图。方法100包括导入一有机硅前体(102)及一氧前体(104)至一沉积反应室。该些前体在该反应室中进行反应,以在基材表面及间隙中形成一介电层(106)。
引入至该沉积反应室的有机硅前体的C∶Si原子比可小于8(例如:7、6、5、4、3、2或1,或更小的C∶Si比)。此表示相对有机硅前体分子中的每一硅原子为少于8个碳原子。相对来说,下列绘示的四乙氧基硅氧(TEOS)分子具有8个碳原子相对每一个硅原子(即C∶Si比为8):
四乙氧基硅氧(TEOS)
此有机硅前体可为硅氧化合物,例如三乙氧基硅氧(triethoxysiloxane,TRIES)、四甲氧基硅氧(tetramethoxysiloxane,TMOS)、三甲氧基硅氧(trimethoxysiloxane,TRIMOS)、六甲氧基二硅氧(hexamethoxydisiloxane,HMODS)、八甲氧基三硅氧(octamethoxytrisiloxane,OMOTS)、及/或八甲氧基十二硅氧(octamethoxydodecasiloxane,OMODDS)等硅氧化合物:
三乙氧基硅氧(TRIES)
Figure A20078003846500123
四甲氧基硅氧(TMOS)
Figure A20078003846500124
三甲氧基硅氧(TRIMOS)
Figure A20078003846500125
六甲氧基二硅氧(HMODS)
Figure A20078003846500126
八甲氧基三硅氧(OMOTS)
Figure A20078003846500131
八甲氧基十二硅氧
Figure A20078003846500132
八甲氧基环硅氧
此有机硅化合物亦可为包含一或多个氮基团的硅氮氧(silazoxane)化合物。硅氮氧化合物可包括六甲氧基二硅氮氧(hexamethoxydisilazoxane,HMDS-H)、甲基六甲氧基二硅氮氧(methyl hexamethoxydisilazoxane,HMDS-CH3)、氯六甲氧基二硅氮氧(chlorohexamethoxydisilazoxane,HMDS-C1)、六乙氧基二硅氮氧(hexaethoxydislazoxane,HEDS-H)、九甲氧基三硅氮氧(nonamethoxytrisilazoxane,NMTS)、及八甲氧基环硅氮氧(octamethoxycyclicsilazoxane,OMCS)等硅氮氧化合物:
六甲氧基二硅氮氧(HMDS-H)
Figure A20078003846500134
甲基六甲氧基二硅氮氧(HMDS-CH3)
氯六甲氧基二硅氮氧(HMDS-C1)
六乙氧基二硅氮氧(HEDS-H)
Figure A20078003846500143
九甲氧基三硅氮氧(NMTS)
Figure A20078003846500144
八甲氧基环硅氮氧(OMCS)
此有机硅化合物更可为包含一或多个卤素基团(例如,氟、氯、溴或碘基团)的卤化硅氧化合物。例如,卤化硅氧可以是一氯化硅氧化合物,例如四氯硅烷(tetrachlorosilane,TECS)、二氯二乙氧基硅氧(dichlorodiethoxysiloxane,DCDES)、氯三乙氧基硅氧(chlorotriethoxysiloxane,CTES)、六氯二硅氧(hexachlorodisiloxane,HCDS)及/或八氯三硅氧(octachlorotrisiloxane,OCTS)等氯化硅氧化合物:
Figure A20078003846500145
四氯硅烷(TECS)
Figure A20078003846500151
二氯二乙氧基硅氧(DCDES)
氯三乙氧基硅氧(CTES)
Figure A20078003846500153
六氯二硅氧(HCDS)
Figure A20078003846500154
八氯三硅氧(OCTS)
此有机硅化合物的O∶Si比亦可为约0、0.5、1、2、3、4、5、6等或更高。例如,TMOS的O∶Si原子比为4(与TEOS相同)。其它有机硅化合物,例如TRIES与TRIMOS,其O∶Si比为3。其它如HCDS的O∶Si比为0.5,而TECS的O∶Si比为0。
此有机硅前体可进一步包括Si-O-Si键结,如于HMODS、OMOTS、OMODDS、HCDS及OCTS等有机硅化合物中所见。Si前体中的此键结可促进减少碳及氢氧基的污染物的SiOx薄膜形成。
此有机硅前体还可进一步包括胺基硅烷,例如三甲硅烷基胺(Trisilylamine,TSA)、六甲基二硅氮烷(Hexamethyldisilazane,HMDS)、杂氮硅三环(Silatrane)、四(二甲基胺基)硅烷(Tetrakis(dimethylamino)silane)、双(二乙基胺基)硅烷(Bis(diethylamino)silane)、三(二甲基胺基)氯硅烷(Tris(dimethylamino)chlorosilane)、及甲基杂氮硅三环(methylsilatrane)等化合物:
Figure A20078003846500161
三甲硅烷基胺(TSA)
六甲基二硅氮烷(HMDS)
杂氮硅三环
Figure A20078003846500164
四(二甲基胺基)硅烷
Figure A20078003846500165
双(二乙基胺基)硅烷
三(二甲基胺基)氯硅烷
Figure A20078003846500167
甲基杂氮硅三环
此有机硅前体还可进一步包括二硅烷类,其包括烷氧基二硅烷、烷氧基-烷基二硅烷及烷氧基-乙醯氧基二硅烷。烷氧基二硅烷可包括:
Figure A20078003846500171
其中R1-6可各自独立为一C1-3烷氧基。例如,烷氧基二硅烷可包括六甲氧基二硅烷(hexamethoxydisilane)及六乙氧基二硅烷(hexaethoxydisilane)等烷氧基二硅烷。
烷氧基二硅烷亦可包括具有接合至Si基团的烷氧基的环二硅烷化合物。例如,烷氧基环硅烷可包括八乙氧基环四硅烷(octaethoxycyclobutasilane)、十丁氧基环五硅烷(decabutaoxycyclopentasilane)、及十二甲氧基环六硅烷(dodecamethoxycyclohexasilane)等。烷氧基二硅烷的一些范例绘示如下:
Figure A20078003846500172
六甲氧基二硅烷
六乙氧基二硅烷
Figure A20078003846500174
十二甲氧基环六硅烷
烷氧基-烷基二硅烷可包括:
Figure A20078003846500175
其中R7-12可以各自独立为一C1-3烷基或一C1-3烷氧基,且其中至少一个R7-12为烷基且至少一个R7-12为烷氧基。烷氧基-烷基二硅烷亦可包括具有烷基及烷氧基的环二硅烷,如具有至少一烷基与烷氧基键结的四硅烷、五硅烷、六硅烷、七硅烷、八硅烷等。实例包括八甲基-1,4-二氧-2,3,5,6-四硅基环己烷(octamethyl-1,4-dioxa-2,3,5,6-tetrasilacyclohexane);1,4-二氧-2,3,5,6-四硅基环己烷(1,4-dioxa-2,3,5,6-tetrasilacyclohexane);及1,2,3,4,5,6-六甲氧基-1,2,3,4,5,6-六甲基环六硅烷(1,2,3,4,5,6-hexamethoxy-1,2,3,4,5,6-hexamethylcyclohexasilane)等烷氧基-烷基环硅烷。部分烷氧基-烷基二硅烷的范例如下:
Figure A20078003846500181
八甲基-1,4-二氧-2,3,5,6-四硅基环己烷
Figure A20078003846500182
1,4-二氧-2,3,5,6-四硅基环己烷
Figure A20078003846500183
1,1,2,2-四乙氧基-1,2-二甲基二硅烷
烷氧基-乙醯氧基二硅烷可包括:
Figure A20078003846500184
其中R13-17可以各自独立为一C1-3烷基、一C1-3烷氧基,或一乙醯氧基,且其中至少一个R13-17为烷氧基且至少一个R13-17为乙醯氧基。
此有机硅前体可更包括有机环硅烷,如环四硅烷、环五硅烷、环六硅烷、环七硅烷、环八硅烷等。
氧前体可包括氧分子(O2)、臭氧(O3)、氮氧化合物(如:NO、NO2、N2O等)、氢氧化合物(如H2O、H2O2等),以及其它含氧前体与前体组合。在某些实施例中,氧前体可包括远程产生的氧原子基团,且其与有机硅前体一并导入沉积反应室。
现参照图2,说明依本发明实施例的一种以介电材料填充间隙的方法200的流程图。方法200可包括提供一基材给沉积反应室,接着导入有机硅前体(202)与氧前体(204)至该反应室。此基材可为一半导体晶圆(如200mm、300mm、400mm等硅晶圆),且可包括在先前工艺形成的结构、组件组件等。例如,基材可包括具有高深宽比(例如,5∶1或更高、6∶1或更高、7∶1或更高、8∶1或更高、9∶1或更高、10∶1或更高、11∶1或更高、12∶1或更高等的深宽比)的间隙、沟渠等。此介电材料沉积在基材的间隙中及其它表面上。
如上所述,有机硅前体可包括硅氧、卤化硅氧、及/或硅氮氧化合物,且可包括C∶Si原子比小于8的有机硅化合物。此硅前体可在导入沉积反应室之前或期间与载气混合。载气可为一不会不当干扰基材上氧化薄膜形成的惰性气体。载气的实例包括氦、氖、氩及氢(H2)等气体。
例如,可通过将有机硅化合物(气体或液体)与氦气混合以约600至约2400sccm的流速通过室温下的有机硅前体将有机硅前体导入沉积反应室中,以提供一速率为约800至约1600mgm之前体流至反应室。
氧前体可包括氧分子(O2)、臭氧(O3)、氮氧化合物(如NO、NO2、N2O等)、氢氧化合物(如H2O、H2O2等)、碳氧化合物(如CO、CO2等),以及其它含氧前体及前体的组合。如在后文中对方法300的详细描述,此氧前体亦可包括远程产生的氧原子基团,且该基团与有机硅前体一同导入沉积反应室。
方法200可包括在沉积反应室中从该些前体产生等离子,并形成介电材料的第一部分(206)。在方法200的实施例中,此氧前体与有机硅前体在导入沉积反应室前并未混合。此前体可经由围绕反应室分布的独立空间分隔之前体入口进入反应室。例如,此氧前体可由在反应室顶部且直接位于基材上方的一入口(或多个入口)进入。此入口以一垂直于基材沉积表面的方向导引氧前体流。同时,硅前体可从沉积反应室侧面周围的一或多个入口进入。此入口可以大致平行于沉积表面的方向导引硅前体流。
当氧及有机硅等离子在沉积反应室中反应时,会在基材沉积表面上形成氧化硅介电层的第一部分。此初始氧化层具有良好的流动性,且可快速地流入呈现在沉积表面上的结构中之间隙、沟渠、空隙(void)、接缝(seam)等。此可让方法200在间隙、沟渠、及其它具有高深宽比的表面结构(如:约5∶1、6∶1、7∶1、8∶1、9∶1、10∶1、11∶1及12∶1或更高的深宽比)中提供大致上无空隙及接缝的氧化物充填。
在该介电材料的第一部分形成后,可停止前体流流入反应室,且可蚀刻该材料(208)。此蚀刻步骤可用来解离并移除材料中的杂质,并用来平坦化该材料。蚀刻工艺可包括单一步骤蚀刻,或多步骤蚀刻。多步骤蚀刻工艺可包括第一蚀刻,其可包括使用较低密度等离子解离较大的有机分子,并移除介电材料中至少一部分的碳。此较低密度等离子蚀刻可包括使用RPS系统产生Ar/O2等离子蚀刻该氧化层。蚀刻条件可包括,例如以约5500瓦特的功率在1600sccm O2与400sccm氩流点燃一等离子,并在约760毫托耳的压力下引入沉积反应室。此等离子蚀刻可解离较大的碳基团,并从氧化层移除碳杂质。
在此蚀刻之后,该氧化层的第二蚀刻可于较高的等离子密度进行以移除该层中至少部分的氢氧基团。此较高密度等离子蚀刻可包括曝露该层至一由高功率RF场(如,6000瓦特)解离氧分子流(如,600sccm)而形成的等离子。可在一压力(例如,8毫托耳)将氧等离子导入沉积反应室,并与氧化层的OH基团反应形成二氧化硅与水。
接着第一层的蚀刻之后,将该些前体再次导入沉积反应室,并进行反应以在间隙中及基材的其它表面形成介电材料的第二部分(210)。此第二部分也可以是在与该第一层相同反应条件下形成的氧化层,或也可在不同条件(如,反应室压力、温度、有机硅前体等)下形成。
在第二层形成后,其亦可进行蚀刻以减少不纯程度及/或平坦化该层。该第二层可使用相同于蚀刻第一层的工艺进行蚀刻,或也可使用不同的工艺蚀刻(如,不同数目的蚀刻步骤、不同的蚀刻前体、不同的功率程度等)。
在介电材料(以及任何后续沉积的部分)的第一及第二部分的形成及处理之后,此材料可经退火以形成一均匀、高品质的氧化硅间隙充填(212)。此最终间隙充填的介电常数(即,k值)小于3,且其的湿蚀刻速率比(WERR)小于2∶1(如,约1.8∶1至约1.4∶1)。此间隙充填在整个填充体积中为均匀的,且含有少量(若有的话)的空隙或接缝。
图3为显示依据本发明附加实施例在一基材上的一间隙中沉积及退火一介电材料的步骤的流程图。方法300包括引入有机硅前体至沉积反应室(302)。方法300也包括通过解离含氧前体以产生高反应性氧原子前体(304),含氧前体如氧分子(O2)、臭氧(O3)、氮氧化合物(如,NO、NO2、N2O等)、氢氧化合物(如,H2O、H2O2等),以及其它含氧前体与前体组合。
含氧前体可为远程解离而形成的氧原子。解离工艺可包括热解离、紫外光解离、及/或等离子解离等方法。等离子解离包括在一远程等离子产生反应室由氦、氩等点燃一等离子并导入氧前体至等离子以产生氧原子前体。例如,可在一远程高密度等离子产生器中供应4000至6000瓦特(如,5500瓦特)的RF能量给一氩气体气流(例如,约900至1800sccm)与氧分子(O2)气流(例如,约600至约200sccm)组合的气流而产生氧原子。
此反应性氧原子等离子接着被引入沉积反应室中(306),在该处此氧原子等离子与亦导入至反应室的有机硅前体第一次混合。该高反应性氧原子在适度的温度(如,小于100℃的反应温度)与压力(如,总反应室压力为0.5至6托耳)下将与硅前体(及其它可能也在该反应室中的沉积前体)进行反应308。
实施例包括将氧原子及硅前体经由多埠喷头的独立埠进行传送。例如,一位于基材上方的喷头可包括开孔图样以利于前体进入沉积反应室。一开孔的子群可供应氧原子前体,同时一开孔的第二子群供应硅前体。经由不同子群开孔传送之前体彼此为流体分离直至排放至沉积反应室。有关处理前体设备的型式及设计的其它详说明可见于在2006年5月30日申请的共同让渡的Lubomirsky等人的美国专利临时申请案第60/803,499号,其名称为「Process Chamber For Dielectric Gapefill」,该申请案全文并入本发明说明书参考。
当前体在反应室彼此反应时,介电层沉积在基材上(310)。沉积时的反应室总压力可为例如约0.5托耳至约6托耳。较高的总压力(如1.3托耳)可沉积较有似流体特性的氧化薄膜,而较低的压力(如0.5托耳)可沉积一较共形(conformal)的氧化层。反应室内的沉积温度相对较低(如,约100℃或更低)。沉积速率介于约500
Figure A20078003846500211
/min至约3000
Figure A20078003846500212
/min(如,1500
Figure A20078003846500213
/min)。此层的厚度可为约50
Figure A20078003846500214
至约500
Figure A20078003846500215
(如,约100
Figure A20078003846500216
至约200
Figure A20078003846500217
)。
在该介电层沉积之后,可进行一第一退火(312),以移除部分的湿气并提高此层的硬度。此初始退火步骤可包括在一惰性环境(如,干燥的N2环境)加热此氧化层约1分钟至10分钟。如在当该氧化层为一沉积在铝金属导线上的金属内介电质时,热退火温度可选择为不会破坏基材结构上形成的金属导线。
可替代地(或额外地),此第一退火步骤可包括暴露此硅氧化层至紫外光(UV)约1分钟至约30分钟。如同热退火,UV退火可移除初始沈积氧化薄膜的部分湿气,并且提高此薄膜的硬度。
在该第一退火结束后,可执行第二退火步骤314以进一步从该氧化层移除水分与硅醇键。在退火步骤314中,大致上所有水分及硅醇键可被移除,以赋予该层比起最初沉积的氧化物或在该层以第一退火步骤处理后较低的k值及较低的WERR值。此第二退火可包括暴露此氧化层至一等离子,其可有效地由介电氧化物中除去水及硅醇基团。然而在第一退火之后,此氧化层已有足够的硬度以减少(或防止)等离子断裂存在于氧化物中的碳基团。因此,对低k的含碳氧化层而言,等离子退火并未移除足够的碳以显著地提高此层的k值。
现参照图4,为另一说明依据本发明实施例的一种制备一多层氧化硅薄膜的方法的流程图。方法400包括提供一基材给沉积反应室(402)及引入前体(如氧原子及有机硅前体)至反应室(404)。前体进行反应以在基材上形成氧化硅层(406),且接着蚀刻该氧化层(408)。
在此一步骤中,进行一检查以判断此沉积氧化层的累计厚度是否达到预定值(410)。如果已达到总氧化薄膜的预设厚度量,结束沉积及蚀刻循环,且退火处理该薄膜(412)。然而,假如厚度尚未达到,可进行另一氧化沉积及蚀刻循环,以增加至少一层至该氧化薄膜。
判断氧化薄膜是否达到一预定厚度可通过沉积及蚀刻层的厚度测量而完成,或通过计算达到预计薄膜厚度需要的层数而完成。例如,若每一沉积及蚀刻层为100
Figure A20078003846500221
厚,而需要的薄膜厚度为1.2μm,则需进行12次沉积及蚀刻循环以形成此薄膜。可通过控制可影响氧化沉积速率的参数而设定每一沉积层的厚度,如反应性前体的类型及流速、沉积反应室中的总压力及温度等参数。如上所述,氧化层沉积速率一般为约500
Figure A20078003846500222
/min至约3000
Figure A20078003846500223
/min(如,约1500
Figure A20078003846500224
/min)。
图5A-F显示一具有间隙结构的基材,使用多循环沉积-蚀刻氧化层形成工艺的实施例以渐进地由一多层氧化硅薄膜填充该间隙结构。图5A绘示在基材502上形成间隙504。可了解在图5A-F中显示的间隙504以一相对低的深宽比好更清楚显示氧化填充层的进展。本发明间隙充填方法的实施例可包括无空隙及接缝的沉积于间隙中,该间隙具有5∶1、6∶1、7∶1、8∶1、9∶1、10∶1、11∶1及12∶1、13∶1、14∶1、15∶1或更高的深宽比。
图5B绘示第一氧化层506a沉积在间隙504中。形成该氧化层的氧化硅具有良好的流动性,使得薄膜可快速地移入间隙504的底部。因此,间隙504底部的氧化物沉积厚度可能大于沿间隙侧壁的氧化物厚度。
图5C及D显示额外的氧化层506b、506c等,其等在间隙504中沉积在先前沉积及蚀刻的层上。此些附加的层可由间隙504底部向上形成,直到达到预期的氧化薄膜厚度(如间隙504的顶部)。
一旦已沉积及蚀刻多数氧化层的最后一层,可进行一退火作用以将此些层形成一均匀薄膜508,如显示于图5E。可平坦化此薄膜,例如以等离子蚀刻或CMP以移除形成在间隙504顶部的沉积材料。图5F绘示剩余的氧化硅间隙充填510,其具有少量(若有的话)的空隙或接缝,且具有高薄膜品质与介电特性。
示范性基材工艺系统
可施行本发明实施例的沉积系统包括高密度等离子化学气相沉积(HDP-CVD)系统、等离子辅助化学气相沉积(PECVD)系统、次大气压化学气相沉积(SACVD)系统及热化学气相沉积系统等类型的系统。可施行本发明实施例的CVD系统的特定范例包括得自美国加州圣克拉拉的Applied Maerials公司的CENTURAULTIMATM HDP-CVD反应室/系统,及PRODUCERTM PECVD反应室/系统。
可用于本发明例示方法的基材工艺系统的范例可包括显示及描述于在2006年5月30日提出申请的Lubomirsky等人共同让渡的美国专利临时申请案第60/803,499号,其名称为「Process Chamber For Dielecric Gapfill」,该专利申请案全文并入本说明书参考。另外的系统范例可包括显示及描述于美国专利6,387,207及6,830,624号中者,其等全文并入本说明书参考。
现参照图6A,显示CVD系统10的垂直横切面图,其具有一真空或工艺反应室15,该反应室15包括一反应室壁15a及一反应室盖组件15b。此CVD系统10含有气体分配歧管11以散布工艺气体至加热载台12(位于工艺反应室15中央)的基材(未绘示)上。可由一导电材料形成气体分配歧管11以做为一电容性等离子的电极。在工艺过程中,基材(如,半导体晶圆)放置于载台12的平坦(或微凸)表面12a上。可控制载台12在低负承载/卸载(绘于图6A)与一较高处理位置(图6A中虚线14所示)间移动,该较高处理位置紧密相邻歧管11。一中心板(未绘示)包括提供晶圆位置信息的传感器。
沉积及载气经由一传统平坦、圆形的气体分配面板13a的穿孔(perforatedhole)13b导入反应室15。更详言之,沉积工艺气体经由入口歧管11、经过一传统穿孔式档板及接着通过气体分配面板13a中的孔13b而流入反应室。
在到达歧管11前,沉积及载气由气体源经气体供应管线输入混合系统,其等在该处混合及接着送至歧管11。一般来说,每一工艺气体的供应管线包括(i)数个安全开关阀(未绘示)以用于自动或人工开关工艺气体流进入反应室,及(ii)气体流量控制器(未绘示),其用以量测经由供应管线的气体流。在传统设置中,当毒性气体用于工艺中时,该些数个安全开关阀配置于每一气体供应管线。
CVD系统10进行的沉积工艺可以是热工艺或等离子辅助工艺。在等离子辅助工艺中,RF电源在气体分配面板13a与载台12间施加电力以活化工艺气体混合物,以在面板13a与载台12间的圆柱形区域形成一等离子。(此区域于后文中称的为「反应区域」)。等离子的构成物进行反应以沉积一所欲的薄膜于半导体晶圆(支撑于载台12上)的表面上。RF电源为一混合频率RF电源,其通常供应13.56MHz的高RF频率(RF1)及360KHz的低RF频率(RF2)功率以促进导入真空反应室15的反应性物种的分解作用。在热工艺中,不使用电源,且工艺气体混合物进行热反应以沉积所欲的薄膜于半导体晶圆(支撑在载台12上)的表面上,该载台经电阻加热以提供热能给该反应。
在等离子辅助沉积工艺中,等离子加热整个工艺反应室10,其包括围绕排放信道23及开关阀24的反应室主体15a的壁。当未开启等离子或在热沉积工艺中,一热液体经由工艺反应室15的壁15a循环以维持反应室处于一高温。未绘示反应室壁15a其余部分中的信道。用于加热反应室壁15a的流体包括基本流体类型,即水性乙二醇或油性热传递流体。此加热(意即「热交换器」的加热)可有利地减少或除去不欲的反应物产物的凝结且改善工艺气体的挥发性产物及其它污染物的去除,此产物及污染物若冷凝于冷真空信道的壁上并在无气体流期间回流至工艺反应室则可能污染工艺。
并未沉积在层中的气体混合物的剩余物(包括反应副产物)以真空泵(未绘示)由反应室15排出。明确地说,此气体经由围绕反应区的一环形、狭缝状孔口16排放并排入一环形排放气室17。此环形狭缝16与气室17由反应室的柱形侧壁15a(包括壁上的上介电衬层19)的顶部及圆形反应室盖20的底部间的间隙所界定。此狭缝状孔口16及气室17的360度环形对称及均匀性对于在晶圆上方获得一均匀的工艺气体流为重要,此可在晶圆上沉积一均匀薄膜。
由排放气室17,气体流经隶属于排放气室17的侧向延伸部分21,经过一监视埠(未绘示),通过一向下延伸的气体信道23,经过一真空开关阀24(其主体并入底部反应室壁15a),并进入通过前置管线(亦未绘示)连接至外部真空泵(未绘示)的排放出口25。
载台12的晶圆支撑座(较佳为铝、陶瓷或其组合)使用一嵌入式单循环内建式加热组件以电阻式加热,加热组件的构造为以平行同心圆形式构成二完整循环。此加热组件的外侧部分相邻支撑座的周缘行进,同时一内侧部分在较小半径的同心圆路径行进。此加热组件的线路通过载台12的支柱。
一般而言,任何或全部的反应室内衬、气体入口歧管面板、及各式其它的反应器硬件是由诸如铝、电镀铝或陶瓷的材料所制成。此一CVD设备的实例述于Zhao等人共同让渡的美国专利第5,558,717号,名称为「CVD PRrocessing Chamber」,该专利全文并入本发明说明书参考。
当晶圆通过一自动控制叶片(未绘示)经由一在反应室10侧边的嵌入/移除开口26传入及传出反应室15的主体时,举升机构与马达32(第6A图)升起及降下加热载台总成12及其晶圆举升梢12b。马达32在处理位置14与一较低的晶圆承载位置之间升起及降下载台12。马达、连接至供应管线的阀或流体控制器、气体传输系统、节流阀、RF电源、及反应室与基材加热系统皆可通过系统控制器经控制管线而控制,图中仅显示部分管线。控制器依靠光学传感器的反馈而决定可移动式机械总成的位置,如节流阀及承座可在控制器的控制下通过适当的马达移动。
在一示范实施例中,系统控制器包括硬式磁盘驱动器(内存)、软式磁盘驱动器及一处理器。该处理器含有单板计算机(SBC)、模拟及数字输入/输出板、接口板与步进式马达控制器板。CVD系统10的多个部分符合Versa Modular European(VME)标准,此标准规范电路板、卡盒、及连接器尺寸及型式。VME标准也定义总线结构,如具有一16位数据总线及一24位地址总线。
系统控制器控制CVD机台的所有动作。系统控制器执行系统控制软件,其为一储存于一计算机可读式媒体(例如,内存)的计算机程序。此内存较佳为一硬式磁盘驱动器,但其亦可是其它类型的内存。计算机程序包括指定定时器、气体混合、反应室压力、反应室温度、RF功率大小、晶座位置及其它特定工艺参数的指令组。储存于其它内存装置(包括软式磁盘驱动器或其它适当的磁盘驱动器)的其它计算机程序也可用来操作控制器。
可使用由控制器执行的计算机程序产品实施一用于沉积一薄膜在一基材上的工艺或一用于清洁反应室15的工艺。此计算机程序码可以用任何传统的计算机程序语言写成,例如68000汇编语言、C、C++、Pascal、Fortran或其它。适合的程序代码使用传统文字编辑器输入一档案或多个档案,并储存或具现于计算机可用式媒体(computer usable medium),例如计算机的内存系统。如果输入的程序代码为高级语言,则编译该程序代码,且产生的编译码接着接着链接(linked)于预先编译的Microsoft
Figure A20078003846500261
程序库程序(library routines)的目的码(object code)。为执行此链接、已编译的目的码,系统使用者希望目的码引发计算机系统读取内存中的编码。中央处理器(CPU)接着读取与执行编码好完成程序中识别的工作。
使用者与控制器之间的接口透过阴极射线管显示器(CRT monitor)50a与光笔50b(图6B所示),图6B系统显示器与基板处理系统(可包括一或多个腔室)中化学气相沉积系统10的简单图式。较佳的实施例中,运用两个显示器50a,其中一个镶嵌于清洁室壁(操作者用),而另一个位在该壁后面(设备技术员用)。显示器50a可同时显示相同的信息,但仅可使用一只光笔50b。光笔50b尖端的光感应器侦测由阴极射线管显示器散发出来的光线。为了选择特定的屏幕区域或功能,操作者触碰显示器屏幕上选定的区域,然后按下光笔50b上的按钮。触碰的区域改变其强调颜色,或是显示一个新的选单或屏幕,好确定光笔与显示器屏幕之间的讯息传达。其它诸如键盘、鼠标或其它指示或传达装置等装置,可用来替代或加强光笔50b好让使用者与控制器34交流讯息。
图6A显示远程等离子产生器60,其装设在工艺反应室15的盖组件15b上(包括气体分配面板13a与气体分配歧管11)。架设接合器(mounting adaptor)64装设远程等离子产生器60于盖组件15b上(如图6A所示)。接合器64一般是由金属所构成。混合装置70耦接于气体分配歧管11的上游端(图6A)。混合装置70包括一配置于混合阻挡物(用以混合处理气体)的狭缝74内的混合镶嵌块(insert)72。陶质绝缘体66置于架设接合器64与混合装置70之间(图6A)。可由诸如氧化铝(纯度99%)、
Figure A20078003846500271
等陶器材质来制造陶质绝缘体66。装设时,混合装置70与陶质绝缘体66会构成盖组件15b的一部分。绝缘体66隔绝金属接合器64与混合装置70与气体分配歧管11,好减少第二次等离子形成于盖组件15b中的可能性(更详细描述于下)。三通阀77不是直接就是经由远程等离子产生器60来调控进入工艺反应室15的处理气体流动。
乐见远程等离子产生器60为一紧密、自我调控的组件,其可方便地装置于盖组件15b上且可容易地式样翻新到现存腔室上而不需要昂贵且耗时的修改。一个适当的组件为
Figure A20078003846500272
产生器(Applied Science and Technology,Inc.,Woburn,Mass)。产生器利用低场效环型等离子(low-field toroidal plasma)来解离处理气体。一实例中,该等离子解离处理气体,该处理气体包括含氟气体(例如三氟化氮「NF3」)与载气(例如氩),而产生自由氟,而自由氟可被用来清洁沉积于工艺反应室15中的薄膜。
已经描述许多实施例,熟悉技术的人士可以理解,其可在不悖离本发明的精神下使用许多修改物、替换构造与均等物。再者,并未描述许多知名的处理方式与组件好避免对本发明造成不必要的混淆。因此,上述内容不应被视为本发明范围的限制性。
此处所提供的数值范围,可以理解各个介于范围较高与较低限制值之间的中间值(除非文中另有明确指出,否则到较低限制值的单位的十分之一)亦明确地被揭示。任何所述数值之间的各个较小范围;或所述范围与任何其它所述数值的中间值;或所述范围的中间值均包含在其中。这些较小范围的较高与较低限制值可单独地被包括在范围内或排除在范围外,且各个范围的限制值(任一、两者皆无、两者皆有)被包含在较小范围亦包含在本发明中,其属于所述范围内任何特别排除在外的限制值。所述范围包括一或两个限制值,亦包括排除任一或两者限制值的范围。
此处与附加的专利申请范围中所用的单数形式「一(a)」、「一(an)」与「该」包括多个所指对象,除非文中另有明确指出。因此,举例来说,提到「一处理」包括多个此类处理,而提到「该前趋物」包括所指的一或多个前趋物与熟悉技术人士所知的均等物等等。
同样地,应用于本说明书与接下来的申请专利范围的词汇「包括」、「包含」,其用来明确指明所述的特征、整体、成分或步骤的存在,但并不排除一或多个其它特征、整体、成分、步骤、行动或群组的存在或附加。

Claims (37)

1.一种在形成于一基材上的一间隙中沉积一介电层的方法,该方法包括:
导入一有机硅前体及一氧前体至一沉积反应室,其中该有机硅前体的C∶Si原子比小于8,且其中该氧前体包括在该沉积反应室外产生的氧原子;及
使该些前体进行反应以在该间隙中形成该介电层。
2.如权利要求1所述的方法,其中该有机硅前体的O∶Si比大于3。
3.如权利要求1所述的方法,其中该有机硅前体的O∶Si比大于4。
4.如权利要求1所述的方法,其中该有机硅前体包括一Si-O-Si键结。
5.如权利要求1所述的方法,其中该有机硅前体包括一硅氧(siloxane)。
6.如权利要求5所述的方法,其中该硅氧选自下列所构成的组群:三乙氧基硅氧(triethoxysiloxane)、四甲氧基硅氧(tetramethoxysiloxane)、三甲氧基硅氧(trimethoxysiloxane)、六甲氧基二硅氧(hexamethoxydisiloxane)、八甲氧基三硅氧(octamethoxytrisiloxane)及八甲氧基十二硅氧(octamethoxydodecasiloxane)。
7.如权利要求1所述的方法,其中该有机硅前体包括一硅氮氧(silazoxane)。
8.如权利要求7所述的方法,其中该硅氮氧选自下列所构成的组群中:六甲氧基二硅氮氧(hexamethoxydisilazoxane)、甲基六甲氧基二硅氮氧(methylhexamethoxydisilazoxane)、氯六甲氧基二硅氮氧(chlorohexamethoxydisilazoxane)、六乙氧基二硅氮氧(hexaethoxydislazoxane)、八甲氧基环硅氮氧(octamethoxycyclicsilazoxane)及九甲氧基三硅氮氧(nonamethoxytrisilazoxane)。
9.如权利要求1所述的方法,其中该有机硅前体包括一卤化硅氧。
10.如权利要求9所述的方法,其中该卤化硅氧选自下列所构成的组群中:四氯硅烷(tetrachlorosilane)、二氯二乙氧基硅氧(dichlorodiethoxysiloxane)、氯三乙氧基硅氧(chlorotriethoxysiloxane)、六氯二硅氧(hexachlorodisiloxane)、及八氯三硅氧(octachlorotrisiloxane)。
11.如权利要求1所述的方法,其中该有机硅前体包括一胺基硅烷、一烷基二硅烷、一烷氧基二硅烷、一烷氧基-烷基二硅烷、一烷氧基-乙醯氧基二硅烷或一环硅烷。
12.如权利要求1所述的方法,其中该氧前体更包括氧分子、臭氧、水、过氧化氢或二氧化氮。
13.如权利要求1所述的方法,其中该氧原子的形成通过:
由一含有氩的气体混合物形成一等离子;及
导入一氧源至该等离子,其中该氧源进行解离以形成该氧原子。
14.如权利要求13所述的方法,其中该氧源包括氧分子、臭氧或二氧化氮。
15.如权利要求1所述的方法,其中该方法更包含在该沉积反应室中由该有机硅及氧前体形成一等离子。
16.如权利要求1所述的方法,其中该方法更包括蚀刻该介电层以降低该层中的碳含量。
17.如权利要求1所述的方法,其中该方法更包括退火处理该间隙中的该介电层。
18.一种以一介电材料填充一间隙的方法,该方法包含:
提供一有机硅前体及一氧前体至一沉积反应室,其中该有机硅前体的C∶Si原子比小于8;
在该沉积反应室中由该些前体产生一等离子,其中该等离子可在该间隙中沉积该介电材料的一第一部分;
蚀刻该介电材料的第一部分,以降低该材料中的碳含量;
在该间隙中沉积该介电材料的一第二部分;及
退火处理该间隙中的该介电材料的第一及第二部分。
19.如权利要求18所述的方法,其中该有机硅前体的C∶Si比约为6或更小。
20.如权利要求18所述的方法,其中该有机硅前体的O∶Si比约为3或更大。
21.如权利要求18所述的方法,其中该有机硅前体包括一Si-O-Si键结。
22.如权利要求18所述的方法,其中该有机硅前体包括一硅氮氧。
23.如权利要求22所述的方法,其中该方法包含氧化该硅氮氧中的一Si-N键并形成一Si-O键。
24.如权利要求23所述的方法,其中以一远程产生的氧原子前体氧化该Si-N键。
25.如权利要求18所述的方法,其中该有机硅前体包括一卤化硅氧。
26.如权利要求25所述的方法,其中该方法包含氧化该卤化硅氧中的一Si-X键并形成一Si-O键,其中X为一卤素原子。
27.如权利要求18所述的方法,其中该方法包括在退火处理前蚀刻该介电材料的第二部分。
28.如权利要求18所述的方法,其中该氧前体包括在该沉积反应室外形成的氧原子。
29.一种在一基材上的一间隙中沉积及退火处理一介电材料的方法,该方法包括:
提供一有机硅前体及一氧前体至一沉积反应室,其中该有机硅前体的C∶Si原子比小于8;
使该些前体进行反应以在该间隙中沉积介电材料;
在该沉积的介电材料上进行一热退火处理;及
在该沉积的介电材料上进行一等离子退火处理。
30.如权利要求29所述的方法,其中该热退火处理包括加热该介电材料至约300℃至约600℃的一温度长达约1分钟至约30分钟。
31.如权利要求29所述的方法,其中该等离子退火处理包括暴露该介电材料于一高密度等离子下约1分钟至约10分钟。
32.如权利要求29所述的方法,其中该有机硅前体的C∶Si比约为6或更小。
33.如权利要求29所述的方法,其中该有机硅前体的O∶Si比约为3或更大。
34.如权利要求29所述的方法,其中该有机硅前体包括一Si-O-Si键结。
35.如权利要求29所述的方法,其中该有机硅前体包括一硅氧。
36.如权利要求29所述的方法,其中该有机硅前体包括一硅氮氧或一卤化硅氧。
37.如权利要求29所述的方法,其中该氧前体包括在该沉积反应室外形成的氧原子。
CN2007800384654A 2006-10-16 2007-10-11 在形成于基材上的间隙中沉积介电层以及以介电材料填充间隙的方法 Expired - Fee Related CN101528974B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/549,930 US7498273B2 (en) 2006-05-30 2006-10-16 Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US11/549,930 2006-10-16
PCT/US2007/081139 WO2008048862A2 (en) 2006-10-16 2007-10-11 Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes

Publications (2)

Publication Number Publication Date
CN101528974A true CN101528974A (zh) 2009-09-09
CN101528974B CN101528974B (zh) 2013-07-17

Family

ID=38983940

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800384654A Expired - Fee Related CN101528974B (zh) 2006-10-16 2007-10-11 在形成于基材上的间隙中沉积介电层以及以介电材料填充间隙的方法

Country Status (7)

Country Link
US (1) US7498273B2 (zh)
EP (2) EP2082078A2 (zh)
JP (2) JP4987083B2 (zh)
KR (2) KR101329285B1 (zh)
CN (1) CN101528974B (zh)
TW (1) TWI349309B (zh)
WO (1) WO2008048862A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
CN102874813A (zh) * 2011-07-15 2013-01-16 第一毛织株式会社 填充间隙的填料、其制备方法及制造半导体电容器的方法
CN103515285A (zh) * 2012-06-28 2014-01-15 联华电子股份有限公司 半导体结构及其制作工艺
CN104769705A (zh) * 2012-11-07 2015-07-08 Up化学株式会社 制造含硅薄膜的方法
CN104885196A (zh) * 2012-12-31 2015-09-02 Fei公司 将材料沉积到高深宽比结构
CN104928654A (zh) * 2014-02-26 2015-09-23 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积
CN105493248A (zh) * 2013-09-30 2016-04-13 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质
CN106992114A (zh) * 2016-01-20 2017-07-28 弗萨姆材料美国有限责任公司 含硅薄膜的高温原子层沉积
CN109690744A (zh) * 2016-07-28 2019-04-26 Asm Ip控股有限公司 用于填充间隙的方法和设备
CN110476222A (zh) * 2017-04-04 2019-11-19 应用材料公司 用于硅间隙填充的两步工艺
CN115244213A (zh) * 2020-02-28 2022-10-25 应用材料公司 用于沉积低介电常数介电膜的系统和方法

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7888273B1 (en) * 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP5024527B2 (ja) * 2007-03-27 2012-09-12 Jsr株式会社 膜形成方法、及びトレンチアイソレーションの形成方法
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101114582B1 (ko) 2009-08-31 2012-02-27 기아자동차주식회사 암레스트 일체형 리어 모니터 장착구조
US8222145B2 (en) * 2009-09-24 2012-07-17 Dupont Air Products Nanomaterials, Llc Method and composition for chemical mechanical planarization of a metal-containing substrate
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8551887B2 (en) 2009-12-22 2013-10-08 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a copper-containing substrate
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
JP5563860B2 (ja) * 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5675331B2 (ja) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
TW201245289A (en) * 2011-03-11 2012-11-16 Dow Corning Polysilanesiloxane copolymers and method of converting to silicon dioxide
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8461016B2 (en) 2011-10-07 2013-06-11 Micron Technology, Inc. Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation
US9809711B2 (en) 2012-01-17 2017-11-07 Versum Materials Us, Llc Catalyst and formulations comprising same for alkoxysilanes hydrolysis reaction in semiconductor process
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5761724B2 (ja) * 2012-01-24 2015-08-12 文彦 廣瀬 薄膜形成方法および装置
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130243968A1 (en) 2012-03-16 2013-09-19 Air Products And Chemicals, Inc. Catalyst synthesis for organosilane sol-gel reactions
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) * 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
CN105899711B (zh) * 2014-01-24 2020-01-07 应用材料公司 在无氧化剂情况下的含硅和氧的膜的沉积
KR101836417B1 (ko) * 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9911935B2 (en) * 2015-09-04 2018-03-06 International Business Machines Corporation Transparent conducting oxide as top-electrode in perovskite solar cell by non-sputtering process
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9915866B2 (en) 2015-11-16 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focused radiation beam induced deposition
CN114016001A (zh) * 2015-12-21 2022-02-08 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
KR20230130177A (ko) * 2017-05-13 2023-09-11 어플라이드 머티어리얼스, 인코포레이티드 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2020047729A (ja) * 2018-09-18 2020-03-26 トヨタ自動車株式会社 半導体装置の製造方法
SG11202107377VA (en) 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
JP7278146B2 (ja) * 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
TWI823050B (zh) * 2020-02-19 2023-11-21 美商應用材料股份有限公司 無氫二氧化矽
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
JP2763104B2 (ja) * 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5587014A (en) * 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) * 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
JPH08153784A (ja) * 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH0945687A (ja) * 1995-07-26 1997-02-14 Ricoh Co Ltd 基板表面の平坦化方法
JPH09167766A (ja) * 1995-12-15 1997-06-24 Hitachi Ltd プラズマ化学気相成長装置及び半導体装置の製造方法
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
CN1185694C (zh) * 1999-06-26 2005-01-19 特利康控股有限公司 在基体上形成膜的方法和装置
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
JP2001160587A (ja) * 1999-12-03 2001-06-12 Hitachi Ltd 半導体集積回路装置の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
NL1019781C2 (nl) 2002-01-18 2003-07-21 Tno Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan.
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
TWI262960B (en) * 2003-02-27 2006-10-01 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6740602B1 (en) * 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2009539268A (ja) 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
US9502234B2 (en) 2010-02-04 2016-11-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
CN102874813B (zh) * 2011-07-15 2016-02-03 第一毛织株式会社 填充间隙的填料、其制备方法及制造半导体电容器的方法
CN102874813A (zh) * 2011-07-15 2013-01-16 第一毛织株式会社 填充间隙的填料、其制备方法及制造半导体电容器的方法
US8766411B2 (en) 2011-07-15 2014-07-01 Cheil Industries, Inc. Filler for filling a gap, method of preparing the same and method of manufacturing semiconductor capacitor using the same
CN103515285A (zh) * 2012-06-28 2014-01-15 联华电子股份有限公司 半导体结构及其制作工艺
CN103515285B (zh) * 2012-06-28 2018-03-27 联华电子股份有限公司 半导体结构及其制作工艺
CN104769705A (zh) * 2012-11-07 2015-07-08 Up化学株式会社 制造含硅薄膜的方法
CN104769705B (zh) * 2012-11-07 2018-03-30 Up化学株式会社 制造含硅薄膜的方法
US10290493B2 (en) 2012-11-07 2019-05-14 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film
CN104885196B (zh) * 2012-12-31 2018-02-06 Fei 公司 带电粒子束系统以及使用带电粒子束诱发沉积来填充孔的方法
CN104885196A (zh) * 2012-12-31 2015-09-02 Fei公司 将材料沉积到高深宽比结构
CN105493248A (zh) * 2013-09-30 2016-04-13 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质
CN105493248B (zh) * 2013-09-30 2018-04-10 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、衬底处理系统及记录介质
CN104928654B (zh) * 2014-02-26 2019-03-22 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积
CN104928654A (zh) * 2014-02-26 2015-09-23 朗姆研究公司 用于无缝特征填充的抑制剂等离子体介导的原子层沉积
CN106992114A (zh) * 2016-01-20 2017-07-28 弗萨姆材料美国有限责任公司 含硅薄膜的高温原子层沉积
CN106992114B (zh) * 2016-01-20 2021-02-19 弗萨姆材料美国有限责任公司 含硅薄膜的高温原子层沉积
CN109690744A (zh) * 2016-07-28 2019-04-26 Asm Ip控股有限公司 用于填充间隙的方法和设备
CN109690744B (zh) * 2016-07-28 2023-09-22 Asm Ip控股有限公司 用于填充间隙的方法和设备
CN110476222A (zh) * 2017-04-04 2019-11-19 应用材料公司 用于硅间隙填充的两步工艺
CN115244213A (zh) * 2020-02-28 2022-10-25 应用材料公司 用于沉积低介电常数介电膜的系统和方法

Also Published As

Publication number Publication date
JP2012169658A (ja) 2012-09-06
EP2503022A1 (en) 2012-09-26
US7498273B2 (en) 2009-03-03
JP5444406B2 (ja) 2014-03-19
KR20090081396A (ko) 2009-07-28
US20070281495A1 (en) 2007-12-06
TW200828437A (en) 2008-07-01
JP2010507259A (ja) 2010-03-04
KR20130114269A (ko) 2013-10-16
WO2008048862A2 (en) 2008-04-24
JP4987083B2 (ja) 2012-07-25
EP2082078A2 (en) 2009-07-29
WO2008048862A3 (en) 2008-10-09
TWI349309B (en) 2011-09-21
KR101329285B1 (ko) 2013-11-14
CN101528974B (zh) 2013-07-17

Similar Documents

Publication Publication Date Title
CN101528974B (zh) 在形成于基材上的间隙中沉积介电层以及以介电材料填充间隙的方法
CN101802984B (zh) 在基板上形成氧化硅层的方法
CN101454877B (zh) 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
CN101310039B (zh) 使用含硅前驱物和原子氧进行高质量流体状硅氧化物的化学气相沉积
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
CN101304001A (zh) 在含有电介质材料的硅形成中改进的空隙填充沉积
EP2024532A2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
CN103238206A (zh) 原位低介电常数加盖以改良整合损坏抗性

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130717

Termination date: 20141011

EXPY Termination of patent right or utility model