TWI395269B - 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法 - Google Patents

以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法 Download PDF

Info

Publication number
TWI395269B
TWI395269B TW097132417A TW97132417A TWI395269B TW I395269 B TWI395269 B TW I395269B TW 097132417 A TW097132417 A TW 097132417A TW 97132417 A TW97132417 A TW 97132417A TW I395269 B TWI395269 B TW I395269B
Authority
TW
Taiwan
Prior art keywords
oxide layer
ruthenium oxide
vapor
substrate
processing chamber
Prior art date
Application number
TW097132417A
Other languages
English (en)
Other versions
TW200919582A (en
Inventor
Abhijit Basu Mallick
Srinivas D Nemani
Timothy W Weidman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200919582A publication Critical patent/TW200919582A/zh
Application granted granted Critical
Publication of TWI395269B publication Critical patent/TWI395269B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Description

以HARP II處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法 【交互參照之相關申請案】
本申請案是關於共同讓渡之美國專利申請案:代理人文件編號A010498/T6950OY,由Ingle等人提出且名稱為「使用含矽前驅物和氧原子來化學氣相沉積高品質之流式二氧化矽(CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN)」,於西元2006年5月30日申請。本申請案亦有關於共同讓渡之美國專利申請案:代理人文件編號為A11125/T79600,由Munro等人提出且名稱為「化學處理以改善氧化物膜的品質(CHEMICAL TREATMENTS FOR IMPROVEMENT OF OXIDE FILM QUALITY)」。本申請案另關於共同讓渡之美國專利申請案:代理人文件編號A11161/T78800,由Mallick等人提出且名稱為「利用遠端電漿化學氣相沉積二矽烷前驅物以得高品質之二氧化矽膜(HIGH QUALITY SILICON OXIDE FILMS BY REMOTE PLASMA CVD FROM DISILANE PRECURSORS)」。這些相關申請案將一併引用供作參考。
本發明有關於利用HARP II來固化以烷氧矽烷前驅物所沉積之二氧化矽膜的方法。
諸如淺溝槽隔離結構(STI)等間隙和溝槽常用來電性隔離半導體裝置上的元件。STI可能包含形成在半導體基材的隔離區中溝槽或間隙,並且在溝槽或間隙中填入介電材料,以防止電性耦接至附近的裝置結構,如電晶體、二極體等。隨著積體電路上的裝置密度持續增加,裝置結構的尺寸和間距亦不斷縮小。然STI的縱向高度一般不會像橫向寬度般地縮減那麼快,以致於間隙和溝槽之高度對寬度的比例變大(即深寬比變大)。
雖然提高裝置結構的深寬比可在半導體晶片基材的相同表面積上設置更多的結構(如電晶體、電容器、二極體等),但會帶來製造上的問題。問題之一在於,進行填充時難以完全填滿這些結構中的間隙和溝槽,又不產生空隙或裂縫。必須使用如二氧化矽等介電材料來填充間隙和溝槽,將相鄰的裝置結構彼此電性隔離開來,以減少電氣干擾和漏電電流。然隨著深寬比增加,要填充既深又窄的溝槽,又不會在填充溝槽的介電材料中產生空隙或裂縫的工作變得越來越困難。
介電層中的空隙和裂縫會對半導體裝置製造期間及所完成裝置造成問題。空隙和裂縫是隨機形成在介電層中的,並且具有無法預測的尺寸、形狀、位置和密度。此將導致無法預期且不一致的沉積後(post-deposition)處理結果,例如不均勻的蝕刻、研磨、退火等。所完成裝置內的空隙與裂縫也會使裝置結構中之間隙和溝槽的介電品質變 異。使得裝置內產生電氣相互干擾、漏電、甚至短路,導致裝置性能不穩定且較差,或引起其他問題。
已開發多種技術來減少沉積介電材料於高深寬比結構中時所形成的空隙和裂縫。包括減慢介電材料的沉積速率,使介電材料更共形地停留在溝槽的側壁與底面。更共形地沉積可減少材料累積在溝槽的頂部,以減少介電材料過早封住溝槽頂部而形成空隙(此問題有時稱為「麵包塊現象(breadloafing)」)的機率。然而減慢沉積速率代表沉積時間增長,因而降低處理效率和生產速率。
另一控制空隙形成的技術是增進沉積之介電材料的流動性。流動性較佳的材料可較快填入空隙或裂縫中,避免空隙或裂縫變成填充空間中的永久性缺陷。例如,高流動性旋塗玻璃(SOG)前驅物,如聚矽氮烷(polysilazanes,PSZ)、氫化聚倍半矽氧烷(hydrosisesquioxanes,HSQ)等等,通常用來填充溝槽且具有良好共形性。然而利用傳統SOG膜來提高氧化矽介電材料的流動性常會因為殘留有碳與矽醇基(silanol groups),使得剛沉積的膜層具有低密度。增加膜密度的其中一種方法為,進行高溫退火將SOG膜固化成氧化矽膜。然而使用高溫退火來移除殘留碳與OH基亦可能會縮小一定程度的膜體積。對STI的窄溝槽應用來說,剛沉積膜的已受限制且不能再縮小,因而易形成具有多孔或含空隙之結構的低密度膜。
因此,仍然需要一種可提升高深寬比之溝槽、間隙和其他裝置結構中之介電膜品質、同時達到無空隙填充的方 法。本發明將提出這些與其他介電膜沉積態樣。
本發明之實施例包括固化基材上之氧化矽層的方法。該方法包括提供半導體處理室和基材,以及形成氧化矽層於至少一部分的基材上。氧化矽層可能包括形成膜層時產生的碳物種副產物。該方法還包括引入一酸性蒸氣至半導體處理室中,酸性蒸氣與氧化矽層反應而從氧化矽層移除碳物種。該方法亦包括移除半導體處理室內的酸性蒸氣。
本發明實施例還包括形成氧化矽層於基材上的方法。該方法包括提供半導體處理室和基材,以及在基材內形成溝槽。該方法還包括沉積第一氧化矽層來部分填充溝槽,以及藉由引入酸性蒸氣至半導體處理室中來固化第一氧化矽層,酸性蒸氣與第一氧化矽層反應而從第一氧化矽層移除碳物種。另外,該方法還包括沉積第二氧化矽層於第一氧化矽層上,第二氧化矽層實質填充溝槽,以及退火處理第一和第二氧化矽層。
本發明實施例更包括形成氧化矽層於基材上的方法。該方法包括(a)提供基材和半導體處理室,以及(b)在基材內形成溝槽。該方法還包括(c)沉積氧化矽層於溝槽中,以及(d)藉由引入酸性蒸氣至半導體處理室來固化氧化矽層,酸性蒸氣與氧化矽層反應而從氧化矽層移除碳物種。該方法尚包括(e)反覆進行步驟(c)及(d),直到溝槽內形成期望厚度的氧化矽層,以及(f)退火處理氧化矽層。
其他實施例和特徵將部分說明於下,且在熟請此技藝者檢閱說明書或實踐本發明後將更顯得清楚易懂。藉由說明書所述之手段、組合和方法可理解及達到本發明的特徵與優點。
本文描述利用酸性催化劑(acidic catalyst)來固化高流動性矽層使剛沉積的膜層完成反應的系統和方法。以酸性催化劑來固化剛沉積之矽層,可移除矽層中不想要的殘留物種,例如矽層沉積製程中未反應的碳基副產物。催化劑可幫助剛沉積的膜層完全反應,而有助於移除未反應的碳基。固化製程可密實(densify)氧化矽膜,而改善濕蝕刻比及間隙填充高深寬比溝槽的能力。
本發明之方法包括產生酸性催化劑使其成為酸性水蒸氣。酸性催化劑可以氣體形態(如氯化氫(HCl)直接引入半導體處理室中,或者利用如氯矽氧烷(chloro-siloxane)或乙醯氧矽氧烷(acetoxy-siloxane)等不同前驅物於原位產生酸性催化劑。半導體處理室內添加酸可於低溫下催化沉積層中任何未反應的有機基團(organic moieties)進行反應,進而在膜層完全固化之前密實該膜層。隨後可進行高溫退火製程來完全密實膜層。
第1A至1B圖為根據本發明一實施例之用於間隙填充沉積製程的簡化截面示範圖。例如,第1A至1B圖可配合參照第2圖,第2圖為根據本發明一實施例之固化處理氧 化矽的方法流程圖。方法200包括首先在步驟202中提供半導體處理室(未繪示)和基材100。基材100可為半導體晶圓,如200毫米(mm)、300mm、400mm等矽晶圓,且可包括先前製程形成的結構、裝置部件等。此外,基材可包括具有高深寬比之間隙、溝槽等,例如深寬比為5:1或以上、6:1或以上、7:1或以上、8:1或以上、9:1或以上、10:1或以上、11:1或以上、12:1或以上等。例如,基材100包括具有側壁106與底面108的溝槽104。
方法200還包括在步驟204中,形成氧化矽層102於至少一部分的基材100上。例如,可採取流式(flow-like)CVD法及使用有機矽酸鹽(organosilicate)前驅物110與氧原子前驅物112來沉積氧化矽層102至溝槽104,其描述於共同讓渡之美國專利申請案:Ingle等人提出、名稱為「使用含矽前驅物和氧原子來化學氣相沉積高品質之流式二氧化矽(CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN)」申請於西元2006年5月30日。或者,可利用遠端電漿CVD製程將流動性介電層中的Si-Si鍵結轉換成Si-NH-Si鍵結,並且於沉積後的蒸氣退火處理期間發展成Si-O-Si鍵結以密實膜層,此描述於共同讓渡之美國專利申請案:Mallick等人提出、名稱為「利用遠端電漿化學氣相沉積二矽烷前驅物以得高品質之二氧化矽膜(HIGH QUALITY SILICON OXIDE FILMS BY REMOTE PLASMA CVD FROM DISILANE PRECURSORS)」。但也可採用其他傳統的氧化矽層沉積方法,例如旋塗法、次大氣壓化學氣相沉積(SACVD)和高密度電漿化學氣相沈積(HDP-CVD)。在本發明一特定實施例中,方法200可用於STI應用。例如,形成氧化矽層102實質覆蓋住溝槽104的側壁106和底面108,並且可持續以部分填充溝槽104或直到到完全填滿及超過溝槽104。因此,氧化矽層102覆蓋至少一部分的基材100。
在該形成步驟204中,氧化矽層102完全填充至少一部分的溝槽104且沒有形成空隙或裂縫。然而採用流動性沉積步驟204會產生過度孔化(too porous)的膜層,因而未達適當的機械強度。故在步驟206中,需加入酸性蒸氣至處理室來處理氧化矽層102。
在一特定實施例中,引用酸性水蒸氣的方法有二種:一是將含有矽氧烷前驅物(siloxane precursor)的稀釋氯化氫(HCl)氣體直接引進腔室內,或者分別使用氯矽氧烷或乙醯氧矽氧烷原位生成HCl或乙酸(CH3 COOH)。可用於產生HCl的氯矽氧烷包括二氯二乙氧基矽氧烷(dichlorodiethoxysilane,DCDES)和氯三乙氧基矽氧烷(chlorotriethoxysilane,CTES)。CH3 COOH可由例如二乙醯氧二叔丁基矽烷等乙醯氧矽氧烷產生。當然,熟諳此技藝者也可採行其他方法來引入酸性蒸氣或使用不同的前驅物或酸。可用來控制化學反應的各種製程變數包括水:酸的比例、壓力、溫度以及酸性蒸氣接觸氧化矽層的時間。 例如,使用酸與水組成之蒸氣比使用純酸組成的蒸氣更有效。另外,水:酸的比例可為100:1至1:100,壓力可為10毫托耳至大氣壓。酸處理製程的溫度可為20℃至600℃。接觸時間可從短到1秒至24小時。
引入半導體處理室後,在步驟208中,酸性蒸氣與氧化矽層102反應。儘管不欲結合特定理論,但相信添加酸有助於催化該些在沉積時未反應的有機基團進行反應。例如,酸性環境可催化從氧化矽層102中移除有機物種(CH)。例如,第3圖繪示根據本發明一實施例從剛沉積之介電層中移除碳基物種的酸催化作用。圖式僅為舉例說明,而非用來限定所欲保護之範圍。該領域中具有通常知識者將可理解其他的變化、潤飾和替換實例。若處理過程加入酸性蒸氣,則未反應之羥基(hydroxyl group)將遭受酸的親電攻擊,也就是來自酸的H 離子藉著取走氧原子的一個電子給氫原子而將未反應之CH3 O基轉換成反應性CH3 OH基。此繪示於第3圖的步驟310。如步驟320所示,水蒸氣接著與反應性CH3 OH基反應。在步驟330中,該反應產生矽醇基(Si-OH)並釋出醇分子(CH3 OH)。在步驟340中,矽醇基(Si-OH)進行縮合反應(也就是二個矽醇基(Si-OH)結合而釋出水)而於氧化矽膜中形成網絡。在一實施例中,酸處理有助於移除碳物種而提高膜密度,並且降低因失去羥基而形成空隙的可能性。當然,還有其他變化、潤飾和替換實例。
如第1B圖所示,當完成氧化矽層內未完成的化學反 應且膜層緻密化之後,經過處理的氧化矽層102會稍微收縮。在步驟210中,自半導體處理室中移除酸性蒸氣114。可利用與半導體處理室整合在一起或獨立的幫浦機制移除蒸氣。處理後的膜層是非多孔性的、密實且機械強度比剛沉積的膜層要佳。如此可得高品質的氧化矽層,同時保有持用來填充高深寬比間隙所需的流動性。
第4圖為根據本發明一實施例,使用酸性催化劑對水解速率之影響的曲線圖。儘管不欲結合特定理論,咸信添加酸性催化劑至氧化矽層能加快水解速率,進而增加Si-O-Si的聯結量及改善膜層品質。
第5圖為根據本發明一實施例之用於間隙填充沉積的方法流程圖。方法400包括前述第2圖中類似的步驟,此部分不再贅述。在步驟402中,提供半導體處理室和基材。基材可為半導體晶圓(如200mm、300mm、400mm等矽晶圓),且可包括較早製程所形成的結構、裝置部件等。在步驟404中,利用傳統製程於基材內形成溝槽。例如,形成的溝槽可用於淺溝槽隔離或熟諳此技藝者所知的其他製程。在步驟406中,沉積第一氧化矽層至溝槽內以部分填充溝槽。第一氧化矽層可利用前述流動性沉積製程或傳統製程沉積而得。沉積後,在步驟408中,引導酸性水蒸氣至半導體處理室中,藉以固化第一氧化矽層。蒸氣與氧化矽層反應,而催化在沉積時未反應之有機基團進行反應。在步驟410中,沉積第二氧化矽層於第一氧化矽層上。例如,利用流動性沉積製程以第二氧化矽層來實質填滿該被 第一氧化矽層部分填充的溝槽。第6圖為根據本發明一示範實施例完成間隙填充沉積的截面示意圖。在第6圖中,進行一次或多次沉積及處理後,氧化矽層422完全填滿溝槽416。
流動性沉積製程可沉積無定形層,因其分子骨架中存有羥基,故其具有流動特性。在一實施例中,方法400更包括在步驟412中,於氧化環境下退火該些沉積層,以形成密實的氧化矽層。一旦經高溫退火,沉積層中含有殘餘碳之羥基和OH基將反應而分解成水及/或醇蒸氣,且立刻抽出水和/或醇蒸氣,因而有效收縮及密實膜層。在一特定實施例中,退火步驟412是在水蒸氣(steam,water vaper)氛圍之氧化環境下進行的熱退火製程。例如,可在約200℃至約1050℃的基材溫度且下進行水蒸氣退火處理,且蒸氣壓為約1托耳至約760托耳。在另一實施例中,退火步驟412為臭氧處理,且基材溫度從室溫至600℃。臭氧處理更可配合紫外光(UV)照射。在又一實施例中,退火步驟412為膜固化製程,其涉及從室溫至900℃的氧分子處理,或從室溫至600℃的氧原子處理。當然,熟諳此技藝者可理解其他變化、潤飾和替換實例。
第7圖為根據本發明一實施例,沉積層在酸處理前、酸處理後和退火處理後的FTIR比較圖。縱軸表示光吸收(吸收度)的對數,橫軸為光通過樣品材料的波數(1/波長)。例如,吸收光譜500、502、504分別對應處理前的剛沉積矽層、處理後的沉積矽層和退火後的沉積矽層。對於剛沉 積之膜層的吸收光譜500中,於3400和2900附近出現二個吸收度尖峰,表示沉積層內仍存有SiOH和CHx 分子。如吸收光譜502所示,添加酸來催化,可有效移除2900附近的有機物種。處理後的沉積矽層內仍存有SiOH,但接著經退火處理之後,可有效移除吸收光譜中在3400附近的尖峰,此形成具有Si-O-Si聯結的高品質氧化矽層。
第8圖為根據本發明另一實施例之用於間隙填充沉積的方法流程圖。方法600包括前述第2及5圖中類似的步驟,此部分不再贅述。在步驟602中,提供半導體處理室和基材。基材可為半導體晶圓(如200mm、300mm、400mm等矽晶圓),且可包括先前製程形成的結構、裝置部件等。在步驟604中,利用傳統製程於基材內形成溝槽。例如,所形成之溝槽可用於淺溝槽隔離或熟諳此技藝者所知的其他製程。在步驟606中,沉積氧化矽層至溝槽內以部分填充溝槽。例如,氧化矽層的厚度為5至500埃()。該氧化矽層可利用前述流動性沉積製程或傳統製程沉積而得。沉積後,在步驟608中,將酸性水蒸氣引入半導體處理室,藉以固化氧化矽層。蒸氣與氧化矽層反應而催化該些在沉積時未反應的有機基團進行反應。固化後,在步驟610中,測定氧化矽層是否達到預定厚度。若否,則反覆進行沉積和處理循環,直到達到目標厚度。隨後在步驟612中,退火處理氧化矽層以密實膜層。
應理解的是,第1至5圖繪示與說明的示範方法僅為根據本發明來固化基材上之氧化矽層的其中一部分實施 例。其他實施例可包括額外步驟和不同的步驟順序來處理或沉積氧化物層。在本發明一特定實施例中,半導體處理室可由不同途徑輸送不同的液態前驅物。如此允許在沉積氧化矽層後,緊接著在單一處理室內進行處理製程。用於施行方法200的處理室實例將配合第9及10圖說明於下。
示例之基材處理系統
可用於本發明實施例的沉積系統包括高密度電漿化學氣相沉積(HDP-CVD)系統、電漿增強化學氣相沉積(PECVD)系統、次大氣壓化學氣相沉積(SACVD)系統、熱化學氣相沉積系統,和其他類型的系統等。可用於本發明實施例的CVD系統實例包括CENTURA ULTIMATM HDP-CVD室/系統和PRODUCERTM PECVD室/系統,其皆可取自美國加州聖克拉拉市之應用材料公司(Applied Materials,Inc.)。
經修改並適用於本發明實施例的基材處理系統描述於共同讓渡之美國專利證書號6,387,207與6,830,624,其均一併引用供作參考。第9圖繪示CVD系統10的垂直剖面,包括具有腔室壁面15a和腔室蓋組件15b的真空室或處理室15。
CVD系統10包含氣體分配歧管11,用以將製程氣體分散至放置在處理室15中央處之加熱基座12上的基材(未繪示)。氣體分配歧管11可由導電材料組成,以做為形成電容電漿的電極。處理時,基材(如半導體晶圓)置於基座12的平坦(或些微凸起)表面12a上。可控制基座12而在較低的裝載/卸載位置(如第9圖所示)與鄰近歧管11的較高 處理位置(以第9圖的虛線14表示)之間移動。中央板(未繪示)包括感測器,用以提供晶圓位置的資訊。
沉積氣體和載氣通過傳統平面圓形氣體分配面板13a的穿孔13b引入處理室15中。特別是,沉積製程氣體經由入口歧管11、傳統穿孔阻隔板42和氣體分配面板13a的穿孔13b流入反應室。
到達歧管11之前,沉積氣體與載氣自氣源7經由氣體供應管線8輸入到混合系統9中,沉積氣體與載氣在此處混合後再輸送到歧管11。各製程氣體的供應管線一般包括(i)數個安全關閉閥(未繪示),其可用來自動或手動停止製程氣體流入反應室,及(ii)流量控制器(亦未繪示),用以測量氣體流經供應管線的流量。若製程使用有毒氣體,則按傳統配置將數個安全關閉閥設在各氣體供應管線上。
CVD系統10執行的沉積製程可為熱製程或電漿增強製程。就電漿增強製程而言,RF功率供應器44施加電力於氣體分配面板13a與基座12之間,藉以激發製程混合氣體,而在面板13a與基座12之間的圓柱形區域中形成電漿。此區域在此亦指「反應區域」。電漿的組成物會反應,而基座12上的半導體晶圓表面沉積一期望膜層。RF功率供應器44為混頻射頻(RF)功率供應器,其一般以13.56MHz之RF高頻(RF1)與360kHz之RF低頻(RF2)供電,來促進引入真空室15中的反應種類分解。就熱製程而言,則不採用RF功率供應器44,且製程氣體混合物將進行熱反應而在基座12上的半導體晶圓表面沉積期望膜 層,基座12為阻抗式加熱來提供反應熱能。
電漿增強沉積製程期間,電漿加熱整個處理室15,包括圍住排氣通道23與關閉閥24的腔室主體壁面15a。當電漿未開啟或進行熱沉積製程時,熱液體循環遍及處理室15的壁面15a,以保持腔室的升溫狀態。壁面15a中的其他通道則未繪示。用來加熱腔室壁面15a的流體包括典型的流體類型,也就是水系的乙二醇,或以油系的熱傳流體。此加熱方式(指藉由「熱交換」加熱)可大幅減少或消除不當的反應產物凝結,並有助於減少製程氣體與其他污染物的揮發性產物,倘若這些產物凝結在冷的真空通道壁面且在沒有氣流時流回處理室中,可能會污染製程。
其餘未沉積成膜層的氣體混合物(包括反應副產物)由真空幫浦(未繪示)排出處理室15。特別是,氣體經由圍繞反應區域的環狀狹長孔16排放到環狀排放氣室17。環狀狹長孔16和氣室17由圓柱形壁面15a頂部(包括壁面上的上介電內襯19)與圓形腔室蓋20底部之間的間隙所定義而成。360度環行對稱且均勻配置的狹長孔16和氣室17可讓製程氣體均勻流到晶圓上方,而於晶圓上沉積出均勻的膜層。
離開排放氣室17後,氣體流經排放氣室17的側向延伸部21下方、經過視窗口(未繪示),並流過向下延伸的氣體通道23、通過真空關閉閥24(其主體與下腔室壁面15a整合),而流入透過前置管線(foreline,未繪示)連接外部真空幫浦(未繪示)的排放出口25。
基座12的晶圓支撐盤(較佳為鋁、陶瓷或其組合物)利用埋設型加熱元件的單一迴圈來進行阻抗式加熱,其以平行同心圓形式排列成完整兩圈。加熱元件的外部毗鄰支撐盤周圍延伸,加熱元件的內部則沿著半徑較小的同心圓延伸。加熱元件的接線通過基座12的主幹(stem)。
一般來說,任一或所有的腔室內襯、氣體入口歧管面板,和各種反應器硬體是由諸如鋁、陽極鋁(或稱電鍍鋁,anodized aluminum)或陶瓷構成。此類CVD設備的例子描述於共同讓渡之美國專利證書號5,558,717、名稱為「CVD處理室(CVD PROCESSING CHAMBER)」、且授予Zhao等人的專利中,其全文一併引用供作參考。
當機械手刀刃(未繪示)經由系統10側邊的插入/移出開口26傳送晶圓進出腔室15的主體時,升降機構與馬達32(第9圖)會抬高及降低加熱基座12和其晶圓舉升銷12b。馬達32在處理位置14與較低的晶圓裝載位置之間抬起及降下基座12。馬達、連接供應管線8的閥或流量控制器、氣體輸送系統、節流閥、RF功率供應器44、和腔室與基材加熱系統全透過控制線路36而受控於系統控制器,圖中僅顯示部分。控制器34依據光學感測器的反饋訊號判別可動式機械組件的位置,例如節流閥和基座(susceptor),在控制器34的控制下利用適當的馬達移動這些機械組件。
在此實施例中,系統控制器包括硬碟機(記憶體38)、軟碟機和處理器37。處理器含有單板電腦(SBC)、類比與 數位輸入/輸出板、介面板和步進馬達控制板。CVD系統10的各種零件皆符合用來規範板、卡籠和連接器尺寸與種類的Versa Modular European(VME)標準。VME標準尚訂定具有16位元資料匯流排與24位元位址匯流排的匯流排結構。
系統控制器34控制CVD機器的所有動作。系統控制器執行系統控制軟體,其為儲存於電腦可讀取媒體(如記憶體38)中的電腦程式。較佳地,記憶體38為硬碟機,但記憶體38也可為其他類型的記憶體。電腦程式包括用來指示特定製程之時序、混合氣體、腔室壓力、腔室溫度、RF功率大小、基座位置和其他參數的指令集。其他儲存於它種記憶體裝置(例如包括軟碟或其他適合的驅動器)上的電腦程式亦可用來操作控制器34。
用來在基材上沉積膜層的製程或用來清潔腔室15的製程,可實施成由控制器34執行的電腦程式產品。可以任一傳統電腦可讀取程式語言編寫電腦程式碼,例如68000組合語言、C、C++、Pascal、Fortran或其他語言。適當的程式碼乃利用傳統文字編輯器輸入單一檔案或多個檔案,並儲存或收錄在電腦可用媒體中,如電腦的記憶系統。若輸入的編碼文字為高階語言,該些編碼則需進行編譯,產生的編譯程序碼接著連結預先編譯之WindowsTM 書庫例行程序的目的碼。為執行該些已連結且已編譯之目的碼,系統使用者利用目的碼,使電腦系統載入記憶體中的編碼。隨後CPU讀取並執行該些編碼,以執行在程式中識別的任 務。
如第10圖所示,使用者與控制器34之間的介面為CRT螢幕50a和光筆50b;第10圖為基材處理系統之系統監視器和CVD系統10的簡化示意圖,其可包括一個或多個腔室。在一較佳實施例中採用兩個螢幕50a,其中一個放置於無塵室壁面供操作員使用,另一個放置於壁面後方供維修技師使用。該些螢幕50a同時顯示相同的資訊,但只有一個光筆50b。光筆50b利用筆尖的感光器偵測CRT顯示器發射的光線。為選擇特定畫面或功能,操作員觸碰顯示畫面的指定區域,並按壓光筆50b上的按鈕。觸碰區域改變其亮度、或顯示新的選單或畫面,以確定光筆與顯示畫面的溝通無礙。亦可額外使用或代替光筆50b使用其他諸如鍵盤、滑鼠或其他點擊或通信裝置等輸入裝置來聯繫使用者與處理器34。
第9圖顯示裝設於處理室15之蓋組件15b上的遠端電漿產生器60,處理室15包括氣體分配面板13a和氣體分配歧管11。最佳如第9圖所示,架設轉接器64將遠端電漿產生器60裝設在蓋組件15b上。轉接器64通常由金屬構成。混合裝置70耦接於氣體分配歧管11的上游處(第9圖)。混合裝置70包括位於混合塊件之狹縫74內的混合插入件72,用以混合製程氣體。陶瓷隔絕件66放置在架設轉接器64與混合裝置70之間(第9圖)。陶瓷隔絕件66可由陶瓷材料組成,例如氧化鋁(Al2 O3 )(純度99%)、Teflon等。安裝時,混合裝置70和陶瓷隔絕件66可構成部分的 蓋組件15b。隔絕件66隔開金屬轉接器64和混合裝置70與氣體分配歧管11,以減少蓋組件15b中形成二次電漿,此將進一步詳述於下。三向閥77控制製程氣體直接或經由遠端電漿產生器60流入處理室15。
遠端電漿產生器60較佳為精巧、獨立的單元,其便於裝設在蓋組件15b上,又不費時費工即可更新安裝至現有腔室。適合的單元之一為ASTRON產生器,其可取自美國麻薩諸塞州Woburn的應用科技公司(Applied Science and Technology,Inc.)。ASTRON產生器利用低場超環面電漿來解離製程氣體。在一實施例中,電漿解離製程氣體(包括如NF3 等含氟氣體)和如氬氣等載氣,以產生自由氟離子來清潔處理室15的沉積物。
根據上述數個實施例,熟諳此技藝者將可理解,各種潤飾、更動和均等物皆不脫離本發明之精神與範圍。例如,另行處理沉積介電層(如氧化矽層)的方法可配合(或代替)酸性蒸氣處理。這些方法包括熱退火、UV固化、以水蒸氣進行UV固化、電漿固化(如感應耦合電漿固化)、電子束固化或其他技術。此外,未提及一些熟知的製程和元件,是為了避免不必要的模糊掉本發明。因此,以上說明不應用來限制本發明之保護範圍。
應理解除非內文特別指明,否則文中所提供之數值範圍,亦明確揭露出介於該範圍上限與下限之間的各個中間值,到下限單位的十分之一。論述範圍內介於任一論述值或中間值與其他論述值或中間值之間的較小範圍也包含在 內。較小範圍的上限與下限可各自涵蓋在此範圍內或排除在外,且本發明亦包含每一種含較小範圍之上限及/或下限的範圍,取決於論述範圍中是否有特別排除的限值。當論述範圍包括上下限值其中一個或二者時,排除這些上下限值的範圍亦包含在本發明中。
除非內文另清楚指明,否則文中和後附申請專利範圍使用的單數形式(「一」與「該」)包括複數意涵。例如,指稱之「一製程」包括複數個此類製程,「該前驅物」包括一或多個前驅物和熟諳此技藝者所知的均等物等。
再者,本說明書和以下申請專利範圍採用的「包含」與「包括」等字詞意指存有所述之特徵、整體、元件或步驟,但並不排除另有一個或多個其他特徵、整體、元件、步驟、動作或群組。
7‧‧‧氣源
8‧‧‧管線
9‧‧‧混合系統
10‧‧‧系統
11‧‧‧歧管
12‧‧‧基座
12a‧‧‧表面
12b‧‧‧舉升銷
13a‧‧‧面板
13b‧‧‧穿孔
14‧‧‧虛線/處理位置
15‧‧‧真空室/處理室/腔室
15a‧‧‧壁面
15b‧‧‧蓋組件
16‧‧‧狹長孔
17‧‧‧氣室
19‧‧‧內襯
20‧‧‧腔室蓋
21‧‧‧延伸部
23‧‧‧通道
24‧‧‧關閉閥
25‧‧‧出口
26‧‧‧開口
32‧‧‧馬達
34‧‧‧控制器
36‧‧‧線路
37‧‧‧處理器
38‧‧‧記憶體
42‧‧‧阻隔板
44‧‧‧功率供應器
50a‧‧‧螢幕
50b‧‧‧光筆
60‧‧‧產生器
64‧‧‧轉接器
66‧‧‧隔絕件
70‧‧‧混合裝置
72‧‧‧插入件
74‧‧‧狹縫
77‧‧‧三向閥
100‧‧‧基材
102、422‧‧‧氧化矽層
104、416‧‧‧溝槽
106‧‧‧側壁
108‧‧‧底面
110、112‧‧‧前驅物
114‧‧‧蒸氣
200、400、600‧‧‧方法
202、204、206、208、210、310、320、330、340、402、404、406、408、410、412、602、604、606、608、610、612‧‧‧步驟
500、502、504‧‧‧光譜
本發明之本質和優點在參閱說明書其餘部分與所附圖式後將更明顯易懂,其中,各圖式中相同的元件符號表示類似的元件。在某些例子中,元件符號附帶的下標與連字號代表多個類似元件的其中一個。若文中指稱元件符號,而非特定指出現有之下標,則表示其是指所有此類的類似元件。
第1A與1B圖為根據本發明一實施例之用於間隙填充沉積的製程截面示意圖;第2圖為根據本發明一實施例之固化處理氧化矽的方 法流程圖;第3圖繪示根據本發明一實施例,用來移除剛沉積介電膜中之碳基物種的酸催化作用;第4圖為根據本發明一實施例,使用酸性催化劑對水解速率之影響的曲線圖;第5圖為根據本發明一實施例之用於間隙填充沉積的方法流程圖;第6圖為根據本發明一實施例完成間隙填充沉積的截面示意圖;第7圖為根據本發明一實施例,顯示沉積膜在酸處理前、酸處理後和退火處理後的FTIR比較圖;第8圖為根據本發明另一實施例之用於間隙填充沉積的方法流程圖;第9圖顯示可根據本發明一實施例來形成及處理氧化矽層之基材處理系統的垂直剖面圖;以及第10圖為根據本發明一實施例,基材處理系統之系統監視器/控制器部件的簡化示意圖。
200‧‧‧方法
202、204、206、208、210‧‧‧步驟

Claims (19)

  1. 一種固化一基材上之一氧化矽層的方法,該方法包含:提供一半導體處理室和一基材;形成一氧化矽層於至少一部分的該基材上,該氧化矽層包括一產生的碳物種副產物;形成該氧化矽層後,引入一酸性蒸氣至該半導體處理室中,該酸性蒸氣與該氧化矽層反應,其中該酸性蒸氣與該氧化矽層之間的該反應將該碳物種從該氧化矽層移除;以及移除該半導體處理室內的該酸性蒸氣。
  2. 如申請專利範圍第1項所述之方法,其中該氧化矽層是利用一烷氧矽烷(alkoxysilane)製程沉積而得。
  3. 如申請專利範圍第1項所述之方法,其中該氧化矽層是利用一電漿化學氣相沉積(CVD)製程沉積而得。
  4. 如申請專利範圍第1項所述之方法,其中引入一酸性蒸氣的步驟更包含流入氯化氫(HCl)氣體至該半導體處理室中。
  5. 如申請專利範圍第4項所述之方法,其中該HCl氣體伴隨著一矽氧烷前驅物流入。
  6. 如申請專利範圍第1項所述之方法,其中引入一酸性蒸氣的步驟更包含原位產生氯化氫(HCl)或乙酸(CH3 COOH)。
  7. 如申請專利範圍第6項所述之方法,其中原位產生HCl或CH3 COOH是使用氯矽氧烷(chloro-siloxane)或乙醯氧矽氧烷(acetoxy-siloxane)來執行。
  8. 如申請專利範圍第7項所述之方法,其中該氯矽氧烷選自於由二氯二乙氧基矽氧烷(dichlorodiethoxysiloxane)和氯三乙氧基矽氧烷(chlorotriethoxysiloxane)所組成之群組中。
  9. 如申請專利範圍第7項所述之方法,其中該乙醯氧矽氧烷為二乙醯氧二叔丁基矽烷(diacetoxydi-tert -butylsilane)。
  10. 如申請專利範圍第1項所述之方法,其中該酸性蒸氣催化由該氧化矽層的一有機矽化合物中移除一烷氧基,以留下一矽醇部分(silanol moiety)。
  11. 如申請專利範圍第1項所述之方法,其中該蒸氣為酸與水的混合物,該混合物具有一水:酸的比例。
  12. 如申請專利範圍第11項所述之方法,其中該水:酸的比例為約100:1至約1:100。
  13. 如申請專利範圍第1項所述之方法,其中該酸性蒸氣與該氧化矽層之間的該反應是在10毫托耳至大氣壓之間的壓力下執行。
  14. 如申請專利範圍第1項所述之方法,其中該酸性蒸氣與該氧化矽層之間的該反應是在60℃至600℃之間的溫度下執行。
  15. 如申請專利範圍第1項所述之方法,其中該氧化矽層接觸該酸性蒸氣的時間介於1秒至24小時之間。
  16. 如申請專利範圍第1項所述之方法,其中複數個結構形成在該基材的一表面上,其中該些結構包括深寬比為7:1或更高的多個間隙和多個溝槽。
  17. 如申請專利範圍第1項所述之方法,其中包含該碳物種的該最初形成的氧化矽層為一具流動性的氧化矽層。
  18. 如申請專利範圍第1項所述之方法,更包含在引入該 酸性蒸氣至該半導體處理室之後,退火該氧化矽層,以增加該氧化矽層的密度。
  19. 如申請專利範圍第10項所述之方法,其中該矽醇部分與出現在該氧化矽層中的其他矽醇部分反應,形成一氧化矽網絡。
TW097132417A 2007-08-27 2008-08-25 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法 TWI395269B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/845,445 US7745352B2 (en) 2007-08-27 2007-08-27 Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process

Publications (2)

Publication Number Publication Date
TW200919582A TW200919582A (en) 2009-05-01
TWI395269B true TWI395269B (zh) 2013-05-01

Family

ID=40387709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097132417A TWI395269B (zh) 2007-08-27 2008-08-25 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法

Country Status (3)

Country Link
US (2) US7745352B2 (zh)
TW (1) TWI395269B (zh)
WO (1) WO2009029441A1 (zh)

Families Citing this family (416)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100748559B1 (ko) * 2006-08-09 2007-08-10 삼성전자주식회사 플래시 메모리 장치 및 그 제조 방법
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
WO2009096603A1 (ja) * 2008-02-01 2009-08-06 Jsr Corporation トレンチアイソレーションの形成方法
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8461016B2 (en) 2011-10-07 2013-06-11 Micron Technology, Inc. Integrated circuit devices and methods of forming memory array and peripheral circuitry isolation
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9023737B2 (en) * 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2017505382A (ja) 2014-01-24 2017-02-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化剤を用いないケイ素及び酸素含有膜の堆積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9598907B2 (en) 2014-02-28 2017-03-21 Diamond Innovations Inc. Modification of diamond feeds for improving polycrystalline diamond cutter
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
WO2015171335A1 (en) * 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105489605B (zh) * 2014-10-13 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9552978B1 (en) 2016-03-02 2017-01-24 United Microelectronics Corp. Method of decreasing fin bending
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10332746B1 (en) * 2018-03-14 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Post UV cure for gapfill improvement
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210109046A (ko) * 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 질화규소를 증착하는 방법들
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20210384197A1 (en) 2019-06-14 2021-12-09 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20200143109A (ko) 2019-06-14 2020-12-23 삼성전자주식회사 반도체 메모리 소자 및 이의 제조 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220061162A (ko) * 2019-09-13 2022-05-12 버슘머트리얼즈 유에스, 엘엘씨 모노알콕시실란 및 이로부터 제조된 고밀도 오가노실리카 필름
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1095958A1 (en) * 1999-10-25 2001-05-02 Dow Corning Corporation Soluble silicone resin compositions
US20020086166A1 (en) * 1998-08-27 2002-07-04 Neil Hendricks Silane-based nanoporous silica thin films and precursors for making same
US20030077918A1 (en) * 2000-05-05 2003-04-24 Hui-Jung Wu Simplified method to produce nanoporous silicon-based films

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) * 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) * 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR20030093270A (ko) 2001-03-23 2003-12-06 다우 코닝 코포레이션 수소화 규소 옥시카바이드 필름의 제조 방법
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) * 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
AU2004313262B2 (en) 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
EP1717848A4 (en) 2004-02-17 2010-03-24 Toagosei Co Ltd PROCESS FOR PRODUCING SILICONE OXIDE FILM
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086166A1 (en) * 1998-08-27 2002-07-04 Neil Hendricks Silane-based nanoporous silica thin films and precursors for making same
EP1095958A1 (en) * 1999-10-25 2001-05-02 Dow Corning Corporation Soluble silicone resin compositions
US20030077918A1 (en) * 2000-05-05 2003-04-24 Hui-Jung Wu Simplified method to produce nanoporous silicon-based films

Also Published As

Publication number Publication date
WO2009029441A1 (en) 2009-03-05
US7825044B2 (en) 2010-11-02
US20100255655A1 (en) 2010-10-07
US20090061647A1 (en) 2009-03-05
US7745352B2 (en) 2010-06-29
TW200919582A (en) 2009-05-01

Similar Documents

Publication Publication Date Title
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
TWI399453B (zh) 使用含矽前驅物和氧原子來化學氣相沈積高品質之流式二氧化矽
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7888273B1 (en) Density gradient-free gap fill
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
TWI389251B (zh) 處理薄膜之方法
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
KR20130014543A (ko) 라디칼 성분 cvd에 의한 컨포멀 층들
KR20120084758A (ko) 평탄화-후 치밀화
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
US7674684B2 (en) Deposition methods for releasing stress buildup