CN101304001A - 在含有电介质材料的硅形成中改进的空隙填充沉积 - Google Patents

在含有电介质材料的硅形成中改进的空隙填充沉积 Download PDF

Info

Publication number
CN101304001A
CN101304001A CNA2008100854270A CN200810085427A CN101304001A CN 101304001 A CN101304001 A CN 101304001A CN A2008100854270 A CNA2008100854270 A CN A2008100854270A CN 200810085427 A CN200810085427 A CN 200810085427A CN 101304001 A CN101304001 A CN 101304001A
Authority
CN
China
Prior art keywords
steam
gas
chamber
precursor
gaseous phase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008100854270A
Other languages
English (en)
Inventor
妮琴·K·英吉
西德哈斯·布哈塔
王·B·帮
郑·原
埃利·伊
尚卡·文卡塔拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101304001A publication Critical patent/CN101304001A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及包含电介质材料的硅的形成中改进的空隙填充沉积,其公开了一种用于在形成于衬底上的沟槽中形成电介质材料的化学气相沉积方法,其中,所述方法包括将氢气和氧气与水蒸气生成催化剂接触产生水蒸气,并且将所述水蒸气供给到工艺腔室的步骤。该方法还包括含硅前体引入到容纳所述衬底的工艺腔室,将氧化气体引入到腔室,以及在所述含硅前体、氧化气体以及水蒸气之间产生反应以在沟槽中形成电介质材料。该方法还包括随时间增加引入到腔室中的所述含硅前体与氧化气体的比率以改变所述电介质材料的沉积速率。

Description

在含有电介质材料的硅形成中改进的空隙填充沉积
相关申请的交叉引用
本申请是2005年8月26日由Ingle等人提交的美国专利申请No.11/213,612,题为“IMPROVED GAP-FILL DEPOSITIONS INTRODUCINGHYDROXYL-CONTAINING PRECURSORS IN THE FORMATION OFSILICON CONTAINING DIELECTRIC MATERIALS(在含有电介质材料硅的形成中改进的空隙填充沉积)”的部分接续申请。该申请也是2004年12月20日由Ingle等人提交的美国专利申请No.11/018,381,题为“IMPROVEDGAP-FILL DEPOSITIONS IN THE FORMATION OF SILICON CONTAININGDIELECTRIC MATERIALS(在含有电介质材料硅的形成中改进的空隙填充沉积)”的部分接续申请,其为2002年9月19日由Ingle等人提交的美国专利申请No.6,905,940(美国专利申请序列号10/247,672),题为“METHOD USINGTEOS RAMP-UP DURING TEOS/OZONE CVD FOR IMPROVED GAP-FILL(在TEOS/OZONE CVD期间使用TEOS接线用于改进空隙填充的方法)”的部分接续申请,并且其还享有在2004年8月27日由Ingle等人提交的美国临时专利申请No.60/605,116,题为“IMPROVED GAP-FILL DEPOSITIONSINTRODUCING HYDROXYL-CONTAINING PRECURS ORS IN THEFORMATION OF SILICON CONTAINING DIELECTRIC MATERIALS(在含有电介质材料的硅的形成中引入含氢氧气先驱物改进空隙填充的沉积)”的优先权。在此引入以上所有申请作为参考。
技术领域
本发明涉及包含电介质材料的硅的形成中改进的空隙填充沉积。
背景技术
集成电路的制造工序通常包括几个构图工艺。构图工艺可以限定一层诸如已构图的金属或多晶硅层的导体,或可以限定诸如沟槽(trench)的隔离结构。在很多情况下,使用绝缘或电介质材料填充沟槽。这种绝缘材料起到几个功能。例如,在一些应用中,该材料用于将一个IC区域与另一个区域电绝缘,并且电气上钝化沟槽表面。该材料也通常为构造下一半导体层提供基底。
在对衬底构图之后,已构图的材料是不平坦的。图案的拓扑(topology)可以干扰或降级后续的晶圆工艺。通常需要在已构图的材料上产生平坦的表面。已经研发了多种方法来产生平的,或“平面化”的表面。示例包括沉积足够厚度的材料的共形层(conformal layer)并且抛光该晶圆来得到平表面,沉积足够厚度的材料的共形层并将回蚀该层以形成平面化的表面,以及形成相对低熔点的金属层,诸如掺杂的氧化硅,以及随后将晶圆加热到足以使得掺杂的氧化硅熔化并像液体一样流动,一旦冷却将产生平表面。每一个工艺具有使得工艺满足特定应用所需的属性。
随着半导体设计的发展,半导体器件的特征尺寸大大减小。现在许多电路具有诸如迹线或沟槽小于微米跨度的特征。虽然特征尺寸的减小允许更高的器件密度,每个晶圆有更多的芯片,更复杂的电路,较低的运行功耗以及更低成本等其它益处,但更小的几何外形也产生了新的问题,或重新面临对于较大的几何外形时已经解决的问题。
由亚微型器件带来的一种制造挑战的实例是以无空隙的方式完全地填充窄沟槽的能力。为了使用氧化硅填充沟槽,一层二氧化硅首先沉积在已构图的衬底上。二氧化硅层通常覆盖该区域,以及沟槽的壁和底部。如果沟槽宽并且浅,相对容易填充沟槽。随着沟槽变窄以及纵横比(沟槽高度与沟槽宽度的比例)增加,更可能使得沟槽的开口将“夹断(pinch off)”。
夹断沟槽将在沟槽内陷出空隙。图1示出了形成于填充沟槽1的电介质材料2中的该类空隙4。这些空隙通常产生在电介质材料快速沉积到高纵横比的沟槽中的填充沉积中。空隙4在填充的电介质强度中产生不均匀性,这对半导体器件的运行产生不利影响。
形成较少空隙的一个方法是减慢电介质沉积速率。较慢的沉积速率有利于沟槽表面的电介质材料更加共形的沉积,这减小了电介质材料在沟槽的上部拐角处的过渡累积,其将导致夹断。因此,沟槽能可更平坦的从底部向上填充。然而,降低电介质材料的沉积速度,由于增加了总电介质沉积时间也减小了工艺效率。降低电介质沉积速率不仅增加了填充沟槽1的时间,也增大了沟槽1顶部的块状(bulk)电介质层3。
在填充工艺中遇到的另一挑战是在电介质材料与沟槽表面的界面以及在电介质材料自身表面之间处微小缝隙的形成。当沉积的电介质材料略微,或根本没有粘附到沟槽的内表面的时候,形成该微小缝隙。随后的工艺步骤(例如,退火)可以将电介质材料从沟槽表面分离,并且在空隙填充(gap-filled)的沟槽中产生裂缝。微小缝隙也在电介质表面之间形成,如图2A所示,其示出了在沟槽5中间的微小缝隙9,其在氧化硅材料6的相对面的交集处形成,该氧化硅材料6从沟槽5的相对的侧壁(7a和7b)向外生长。
沿缝隙9的电介质材料与电介质材料6的其它部分相比具有更低的密度和更高的孔隙度,其能够导致沿缝隙9的较高的蚀刻速率。图2B示出了在诸如化学机械抛光(CMP)和后-CMP清除的工艺期间,当电介质材料6暴露到蚀刻剂(例如HF)时,沿缝隙4产生的不需要的凹陷8。与空隙一样,微小的缝隙在填充的电介质强度中产生不均匀性,其对半导体器件的运行产生不利影响。
在一些环境中,可以使用回流工艺填充到或“愈合”电介质沟槽填充中的空隙和微小缝隙。例如,一些掺杂的氧化硅介质材料在升高的温度下经过滞流,允许使用高温度回流工艺减小空隙和微小缝隙。然而,随着沟槽变的更窄,更可能出现在回流工艺期间空隙未能填充的情况。另外,回流工艺在高熔点的电介质的应用中是不适用的,诸如用作填充的未掺杂的氧化硅。因此,仍然需要新的系统和方法来减少或消除电介质填充中的空隙和微小的缝隙。
发明内容
本发明的实施方式包括用于在形成于衬底的沟槽中形成电介质材料化学气相沉积方法。该方法可以包括通过将氢气和氧气与水蒸气生成催化剂接触以产生水蒸气,以及将水蒸气提供给工艺腔室的步骤。该方法还包括将含硅的前体流入含有衬底的工艺腔室,将氧化气体流到腔室,以及在含硅的前体、氧化气体和水蒸气之间产生反应以在沟槽中形成电介质材料。该方法还包括随时间增加含硅前体与流入腔室的氧化气体的比率以改变电介质材料沉积的比率。
本发明的实施方式还包括用于在衬底上形成电介质层的化学气相沉积方法。该方法可以包括通常将氢气和氧气与水蒸气生成催化剂接触以产生水蒸气以及将该水蒸气提供给含有衬底的腔室的步骤。该方法还包括将含硅的前体、氧化工艺气体以及水蒸气提供给腔室,其中,含硅的前体、氧化处理气体和水蒸气反应以在衬底上形成第一电介质层。含硅的前体与流入腔室中的氧化工艺气体的比率可以随时间变化以改变第一电介质层的沉积速率。另外,到腔室中的水蒸气的气流可以不连续并且可以在所述第一电介质层上形成第二电介质层,其中所述第二电介质层在没有水蒸气的情况下形成。
本发明的实施方式还包括衬底处理装置。该装置可以包括设计用于支撑工艺腔室中衬底的衬底支架,以及配置用于接收含硅的前体、氧化处理气体和水蒸气并且将它们传送到工艺腔室的气体传送系统。该装置还包括将水蒸气提供给气体传送系统的水蒸气发生器。该发生器可以包括从氢气和氧气混合物中产生水蒸气的催化剂。该装置还包括设计用于控制气体传送系统和衬底支架的控制器。该控制器可以将含硅的前体、水蒸气和氧化处理气体引入到工艺腔室以在衬底上形成电介质层,并在电介质层的沉积期间改变衬底支架相对于气体传送系统的位置。
本发明的其他实施方式以及特征部分将在下面详细描述,并且对于熟悉本领域的技术人员通过下面描述的研究部分变得清晰,或从本发明的实践中得知。通过媒介方式、组合以及说明书中描述的方法可以实现并获得本发明的特征以及优点。
附图说明
图1示出了使用电介质材料填充并且包括空隙的沟槽;
图2A示出了使用电介质材料填充并且包括微小缝隙的沟槽;
图2B示出了在化学机械抛光之后的图2A的传统氧化物填充的沟槽;
图3A-B示出了可以包含于根据本发明的实施方式的在衬底上形成电介质的工艺中的步骤的流程图;
图4A-4B示出了描绘根据本发明实施方式的含硅的组分随着时间变化的相对浓度的简化曲线图;
图5A-5B示出了一组可比较的填充沟槽的电子显微图;
图6A-6B示出了另一组可比较的填充沟槽的电子显微图;
图7示出了在电介质薄膜沉积期间与水蒸气流速相对的电介质薄膜属性的曲线图;
图8A-8D示出了随着氧化物以不同水稀释的衬底在晶圆表面沉积的大量颗粒添加物;
图9A-9B示出了使用不同水蒸气产生方法在衬底晶圆的表面沉积大量颗粒添加物;
图10示出了根据本发明实施方式的氧化物填充的沟槽的简化的横截面图;
图11示出了根据本发明的一部分集成电路的简化的横截面图;
图12A示出了根据本发明的实施方式用于沉积衬底电介质层的系统的简化示意图;
图12B示出了根据本发明的CVD装置的简化示意图;
图12C示出了用于在多腔室系统中关于沉积腔室的CVD系统的用户界面的简化示意图;
图12D示出了关于沉积腔室的气体面板和供给管道的简化框图;以及
图12E示出了根据本发明实施方式的另一气流系统的示意图。
具体实施方式
如上所述,沟槽绝缘中的空隙和微小缝隙的研究成为日益关注的问题,尤其是随着沟槽宽度的变小(例如约90nm或更小)以及沟槽纵横比升高(例如约6∶1或更高)。本发明包括在这些沟槽中使用水蒸气形成电介质材料以有助于在填充中减小空隙和微小缝隙的系统和方法。水蒸气提高硅氧化材料的流动性和密度,有助于愈合微小缝隙并填充在沉积期间形成的空隙。
水蒸气也增加在沟槽中形成的二氧化硅的材料的密度。高密度的材料可以比密度较小的材料提供更多优势,包括使得材料具有较低的湿刻率。沉积在沟槽中的较小密度的材料,例如,通过传统的,不含水分的化学气相沉积通常具有约5∶1或更多的湿刻率。材料的高湿刻率可以导致在随后平面化和/或氧化物蚀刻工艺中的过蚀刻。这种过蚀刻可以导致在沟槽绝缘顶部的球形物或间隙的形成。
已经发现水蒸气的物理(例如,状态)特性对于形成的电介质材料的质量有重要影响。当提供给工艺腔室的水蒸气包含类液相成份和气溶胶时,可以在后沉积检验中在衬底表面观察到大量颗粒添加物(particle adder)。相反,当提供给腔室的水蒸气基本全部都处于气相,具有少量或没有气溶胶粒子(aerosol particle)时,颗粒添加物的数量大大减少,有时到十倍或更多。
本发明的实施方式充分认识到该发现,从而实现用于将水蒸气提供给其中具有数量减少的液体气相雾滴(liquid aerosol phase droplet)并且基本为气相的工艺腔室的方法和设备。这些实施方式包括将含有氧气和氢气的气体与水蒸气生成催化剂混合以产生水蒸气。前体在本催化剂中催化反应以形成水蒸气。因为催化反应形成分子等级的水蒸气,所以水蒸气形成为纯气相而非液相或气溶胶相。可以将具有少量甚至没有液体气相雾滴的基本纯气相的催化产生的水蒸气提供给电介质沉积工艺腔室。
相反,来自起泡器和喷雾器的水蒸气与液态水一起,其转化为气体和气溶胶粒子的混合物。在起泡器中,诸如氮或氦的载运气体通过液态水样本起泡以将水蒸气从样本运送到工艺腔室。由于该方法从液态水开始,大量液态气溶胶滴由载运气体扫起并传送到工艺腔室。喷雾器能够产生更多的液态气溶胶水滴,因为它们用于通过将液态水烟雾化到气溶胶雾气,其将载运气体传送到工艺腔室。对于这些水蒸气产生方法,产生的液态气溶胶水蒸气的数量大大高于催化水蒸气产生的数量。
用于产生水蒸气的另一方法包括工艺腔室里面的氢和氧气的混和物的燃烧(combustion),有时称作原位产生流(ISSG)。产生的水蒸气是气态的,但也具有很高温度,需要快速冷却。冷却工艺可以将工艺腔室中的气态水分子的密度提高到液态气溶胶滴。而且,燃烧反应大量发热,工艺腔室中的大量的氢气和氧气的反应必须保持足够低以保持腔室的温度不会增加很快。这对于限制可通过该方法产生水蒸气的量的中度或低温(例如约500℃或更少)电介质沉积尤其是个问题。
本发明的实施方式包括在高纵横比工艺(HARPS)中使用产生的水蒸气和其它前体以形成电介质材料。该工艺包括在工艺不同阶段以不同速率沉积电介质材料。例如,低沉积速率用于在沟槽中形成更均匀的电介质层,同时高沉积速率用于在沟槽上方形成块状电介质层。在其它实例中,多速率(例如3个或更多速率)用在电介质层形成的不同阶段。以多个电介质沉积速率执行沉积减小了沟槽中空隙和微小缝隙的数量并且没有显著减小沉积工艺的效率。将HARP的优势与催化产生的水蒸气结合使得在沟槽和块状电介质层中有效形成低缺陷、低颗粒添加物、高密度的电介质材料。
示例性氧化物沉积工艺
图3A示出了可包含在根据本发明的实施方式的在衬底上形成电介质层的工艺中的步骤的流程图。这些实施方式包括使用HARP技术用于改变电介质材料在电介质层形成期间的沉积速率。在步骤302中,该工艺包括在离气体分布歧管(例如喷嘴)有第一距离的工艺腔室中提供衬底。气体分布歧管可以包括用于前体材料的独立的进口,或前体混物物通过其用于进入工艺腔室的单个入口。
在衬底放置在工艺腔室之后,前体材料可以供给到腔室。这包括将氧化气体前体306(O2,O3,NO,NO2,几者的混合物等)、含硅前体308(例如硅烷、二甲(基)甲硅烷、三甲基硅烷、四甲基硅烷、二乙基硅烷、四甲基原硅酸酯(TMOS)、四乙基原硅酸酯(TEOS)、八甲基四硅氧烷(OMTS),八甲基环四硅氧烷(OMCTS)、四甲基环四硅氧烷(TOMCATS)、几者混合物等)以及催化产生的水蒸气310供给到腔室。水蒸气和其它前体可以通过歧管进入到腔室。该工艺还可以包括将一个或多个附加的前体(例如H2O2等)的引入,一个或多个附加的前体可以预先与一个或多个前体混合,或分别供给到工艺腔室。还原前体诸如氢气(H2)或氨气(NH3)也可以引入到工艺腔室。
当水蒸气和其它前体通过歧管供给到工艺腔室时,他们每一个可以分别流到工艺腔室,或在供给到工艺腔室之前,他们中的两个或多个可以预先在歧管中混合。例如,水蒸气和氧化气体前体可以预先混合,一起供给到工艺腔室。作为另一个实例,水蒸气和其它含羟基的前体可以作为混合物供给到腔室。作为另一实例,还原气体和含硅前体可以一起作为混合物提供。也在更多实例中,所有的前体可以在供给之前预先混合作为一个混合物供给到腔室。另外,一个或多个前体可以在供给到腔室之前与载运气体(例如诸如惰性气体(He,Ne,Ar,Kr,Xe)、氮气等的惰性气体)混合。
前体可以通过歧管以最初的流速流入工艺腔室。例如,含硅前体可以最初以约20到约100sccm流过歧管,同时氧化前体以约60到约1000sccm流动,以及水蒸气以约60到约200sccm流动。如果水蒸气和其它前体在供给到腔室之前可以与一个或多个载运气体混合,这些流速可以更高。例如含硅前体和载运气体的混合物可以以约1000到约10,000sccm的速率(例如约6000sccm到约8000sccm)流动。氧化前体和载运气体可以以约1000sccm到约20,000sccm(例如约10,000sccm到约20,000sccm)流动。水蒸气和载运气体可以以约1000到约20,000sccm(例如约5000sccm到约15,000sccm)流动。如果也提供还原气体,可与载运气体以约1000sccm到约10,000sccm(例如约5000sccm)流动。
根据使用的CVD工艺类型,水蒸气和/或一个或多个其它前体可以首先有助于形成等离子体,其产品用于在衬底上形成电介质层。本发明的实施方式可以与诸如等离子体增强型CVD(PECVD)和高密度等离子体CVD(HDPCVD)的等离子体CVD技术一起使用。实施方式包括在工艺腔室中(例如,在电容耦合的喷嘴和衬底基座/衬底之间)的原位等离子产生,和/或使用位于工艺腔室外面的等离子发生器的远程等离子产生。实施方式还包括诸如大气压力CVD(APCVD)、次大气压CVD(SACVD)和低气压CVD(LPCVD)等的热CVD技术。
前体的初始流速建立用于含硅前体:氧化气体前体,以及含硅前体:水蒸气的第一流速比率。当电介质材料的最初沉积包括沟槽填充,含硅前体:氧化气体前体的比率可以相对低以在沟槽中提供较慢的电介质材料沉积。随着沉积的进行,含硅前体:氧化气体前体的比率可以在步骤321中调整。例如,一旦已经填充一部分沟槽,含硅前体:氧化气体前体的比率可以增加以提高电介质材料的沉积速率。该调整可以在当高沉积速率在沟槽中引起空隙或微小缝隙的风险减小时的沉积阶段进行。
在初始沉积阶段,含硅前体与水蒸气的流速比率也可以相对低。当含硅前体:氧化气体前体的流速提高时,含硅前体:水蒸气的比率也可以提高。可选地,在诸如含硅和水蒸气一起流到工艺腔室的实施方式中,当含硅前体∶氧化气体的比率改变时,含硅前体∶水蒸气的比率可以基本保持恒定。
图4A示出了根据特征为阶梯状沉积速率分布的本发明的沉积工艺的实施方式中含硅气体组分相对于工艺最大值的浓度随时间变化的简化曲线图。根据本发明的可选的实施方式能够呈现广泛变化的、非线性的组成分布。图4B示出了根据特征为非线性分布的本发明另一可选沉积工艺的实施方式中含硅气体组成相对于工艺最大值的浓度随时间变化的简化曲线图。
在电介质沉积期间流入的前体的组成的改变可以通过多种方式来完成。该方法的实施方式具有含硅前体相对于总的前体的混合流的逐渐增加的相对百分比。该增加可以通过提高含硅前体的流速,减少氧化气体前体的流速,减少水蒸气的流速或处理气体混合物的组成的流速中改变的任何组合来实现,该改变将导致含硅前体的总百分比组成的改变。
况且,前体的组分的相对比率的改变可以通过除了改变组分流速以外的其它方式来实现。例如,当臭氧用作氧化气体前体时,其可通过在臭氧发生器流入氧气频繁地形成,产生含有氧气和一些百分比的臭氧的气流。含硅前体的浓度相对于臭氧(也就是氧化气体前体)的变化也可以在不改变流到工艺腔室中的臭氧的流速的情况下,通过改变臭氧的产生条件以增加其浓度来实现。
电介质层的沉积速率也可以通过将衬底和歧管之间的距离调整到第二距离314来改变。工艺腔室可以包括可调整的升降杆,其可以在沉积期间改变衬底和歧管之间的距离。随着衬底更移近歧管,其进入一个前体材料浓度极高的区域,并且以更快速率形成电介质层。因此,当电介质材料在不引起空隙或微小缝隙的情况下,能够以高沉积速率沉积在衬底上时,衬底可以从最初的第一距离移到更接近于工艺腔室歧管的第二距离。
在电介质层的沉积完成时,可以停止前体流到腔室316中。在衬底从工艺腔室移除之前,其它工艺步骤(例如,退火、化学机械抛光等)可以在电介质沉积之后进行。
现在参见图3B,示出了根据本发明的附加的实施方式用于在衬底上形成电介质层的流程图。工艺包括在步骤301中,在工艺腔室中提供衬底。随后在步骤303将衬底加热到形成电介质层的温度(例如,约400℃或更多,约400℃到约750℃,约400℃到约600℃等)。加热衬底便于前体材料的化学气相沉积到固体,但可流动的具有约2.5或更少的湿刻率(WERR)的电介质层。当衬底不被加热或被加热到较低的温度(例如,约200℃或更小)时,沉积的电介质通常具有旋压液体常数并且必须经历随后加热和/或退火,其可以增加整个沉积时间。
在步骤305、306和307中,可以通过氧化气体前体、含硅前体以及水蒸气前体流动到工艺腔室,来提供前体。水蒸气和其它前体可以混合在一起,并且通过单个通道以恒定流速流到工艺腔室,直到在沉积311结束时停止该流动。可选地,含硅前体可以通过独立于氧化气体前体和/或水蒸气的通道流动,并且前体的流速可以在沉积期间单独改变。前体的供给时间也可以改变,因此,例如,氧化气体前体和/或水蒸气可以在含硅前体之前引入,或可选的,所有三种前体同时引入。
在步骤313中,可以退火在衬底上形成的电介质层。该退火可以在工艺腔室中执行,或衬底可以转送到独立的退火腔室。现在描述可用于本发明实施方式的示例性的退火工艺。
示例性后沉积退火工艺实例
随着电介质材料的形成,可以随意执行后沉积退火。可以在诸如N2,N2O,NO或NH3的气体中退火电介质材料。在一个实施方式中,退火工艺包括加热衬底以及将N2O流入熔炉的腔室。N2O在高温下与二氧化硅材料接触并且加强所有的保留的微小的缝隙。退火后的层基本上是无缝隙的并且适用于进一步处理,诸如CMP。
退火可以发生在原位或非原位。例如,退火可以在沉积之后立即发生在CVD腔室中。可选地,退火可以发生在多腔室系统的其它腔室或在不同的腔室系统中(例如熔炉)。在一些实施方式中,退火包括快速热处理(RTP),在美国专利No.5,660,472,中有详细描述,在此引入其全部内容作为参考。
对于炉内退火,退火温度可以从约750℃变到约1000℃,对于RTP退火温度可以到1200℃。退火持续时间依赖于温度,并且对于炉内退火,时间可以从约10分钟到约2小时,对于RTP可以从仅仅5秒钟到约3分钟。因此,在大多数情况下,可以在不超过SiO2回流温度的情况下通过重新构建SiO2网络来退火该层。
在其它实施方式中,退火工艺可以包括多步骤退火,类似于由Nitin K.Ingle等人在2004年8月4日提交的题为“MULTI-STEP ANNEAL OF THINFILMS FOR FILM DENSIFICATION AND IMPROVED GAP-FILL(用于薄膜稠化并改进空隙填充的薄膜的多步退火)”的共同转让的(co-assigned)美国临时专利申请序列号60/598,939,中所描述的,在此引入其全部内容作为参考。
实例
在这些实例中,二氧化硅(SiO2)电介质材料使用TEOS作为含硅前体、臭氧作为氧化气体前体以及水蒸气作为含羟基前体沉积在衬底沟槽中。该沉积在设计用于热CVD的工艺腔室中执行。
根据本发明的方法的实施方式执行二氧化硅空隙填充,并且同时提供与使用传统的空隙填充技术的可比较的实例。图5A-B示出了使用二氧化硅电介质材料填充的沟槽的电子显微图。沟槽具有约0.15μm的宽度以及约6∶1的纵横比(高/宽)。图5A示出了使用传统空隙填充技术用二氧化硅填充沟槽的电子显微图。传统技术包括在540℃使用TEOS作为含硅前体以每分钟约5000毫克(mgm)流动的热CVD沉积。在沉积期间从不引入含羟基前体。在沉积之后在氮气环境下在1050℃执行30分钟的退火。在图5A中填充的沟槽中间的污点以及围绕在沟槽边缘的模糊线路(blurred lines)示出了空隙和微小缝隙的大量的形成。
比较而言,图5B示出了根据本发明方法的实施方式使用二氧化硅电介质填充的电子显微图。在沉积期间,使用TEOS引入2500mgm的H2O。其它的沉积条件基本与图5A所示的传统的沉积相同。图5B中的显微图没有在图5A中的空隙填充的沟槽中所看到的污点和模糊的沟槽的边缘。
现在参见图6A-B,示出了使用二氧化硅电介质材料填充的沟槽的另一对电子显微图。与图6A-B相似,沟槽具有约0.15μm和约6∶1纵横比(高/宽)的沟槽。图6A示出了使用传统空隙填充技术使用二氧化硅电介质填充沟槽的电子显微图。传统技术包括在540℃使用TEOS作为含硅前体以每分钟5000毫克(mgm)流动的热CVD沉积。在图6A中填充的沟槽中央的延长的斑点表示空隙的大量形成。
作为比较,图6B示出了根据本发明实施方式的使用二氧化硅电介质填充的沟槽的电子显微图。在沉积期间,利用TEOS引入每分钟10克的H2O。其它沉积条件基本上与上面所述的图6A中的传统沉积相同。图6B中的显微图未看到任何显著的在图6A中所见到延长的斑点。
图7示出了在薄膜沉积期间作为水蒸气流速(克/分钟)的函数的湿刻速率比率和二氧化硅薄膜百分比收缩的曲线图。该曲线图表示出了对于850℃和1050℃的沉积,WERR随着水蒸气流速的增加而较少。同时,对于850℃沉积,该曲线图表示出了随着水蒸气流速的减少在随后的后沉积退火有更小的百分比收缩。当从无湿度沉积(即,0gm/min H2O)到含有一些水蒸气的沉积(即5gm/min H2O)时,收缩百分比下降尤其明显。
如上所述,水蒸气的物理(例如,状态)特性对于电介质材料的形成质量具有很大的影响。当供给到工艺腔室中的水蒸气具有不断增加的液态成份(例如增加的液态气溶胶密度),在沉积衬底表面能够观测到增加的颗粒添加物的数量。这种关系通过在恒定工艺腔室压强(600torr),温度(540℃),硅前体流速(2700mgm TEOS)以及氧化物前体流速(13.5slm O3),而且供给更稀释(即,低浓度的)的水蒸气下进行氧化物沉积来示例性说明。
图8A-D示出了随着氧化物的沉积,使用由0L,3L,10L,和15L的N2稀释的5L水蒸气在晶圆表面上沉积的颗粒添加物的数量。表1归纳了颗粒添加物的结果:
表1:用于N2稀释等级的颗粒添加物数量
  晶圆序号   水蒸气容积(升)   N2稀释容积(升)   颗粒添加物数量   水蒸气露点(℃)
  1   5L   0L   515   94℃
  2   5L   3L   386   67℃
  3   5L   10L   101   60℃
  4   5L   15L   53   56℃
数据清楚地示出了随着提供给工艺腔室的水蒸气的N2稀释度的增加,沉积在晶圆表面的沉积的颗粒添加物减少。表1的右侧列示出了在工艺腔室中颗粒添加物数量与水蒸气露点之间的关系。恒定容积气体混合物的露点是容积必须以恒定气压冷却到用于气态水蒸气开始冷凝为液态(即,结露)的温度。如表1所示,在工艺腔室中水蒸气的露点越低,所形成的颗粒添加物数量越少。
腔室中水蒸气的露点的降低与颗粒添加物的减少之间的关系表明腔室中,水蒸气的液态水成份在颗粒添加物形成中起到很大作用。同时不希望被特定的理论所束缚,应该认为,水的液态气溶胶液滴提供了用于硅物质反应的核,该核最终发展为颗粒添加物。因此,在工艺腔室中出现越多的气溶胶粒子,将有越多的微粒沉积在晶圆衬底上。
另一组实验证实产生水蒸气的方法对沉积在晶圆表明的颗粒添加物的数量具有很大影响。图9A和图9B示出了使用不同的水蒸气产生方法沉积在衬底的表面的颗粒添加物的数量。沉积都是在恒定工艺腔室压强(600torr),温度(540℃),硅前体流速(2700mgm TEOS)、氧化物前体流速(13.5slmO3)以及供给的水蒸气容积(5L)的条件下的硅氧化物沉积。沉积之间的不同是,图9A描述的晶圆表面使用传统的液体喷雾器(直接液体喷射)方法通过蒸发液态水源并将水蒸气与载运气体混合以产生水蒸气,而图9B中的晶圆表面使用催化水蒸气产生方法。
图9A示出了在二氧化硅电介质沉积期间,当使用直接液体喷射方法蒸发液态水源并产生水蒸气时,有510个颗粒添加物沉积在晶圆衬底上。相反,图9B示出了当工艺使用催化水蒸气产生方法产生并将水蒸气提供给工艺腔室时,几乎减少一个数量级的颗粒添加物沉积在表面(53个增加物)。颗粒添加物的数量的差别清楚地表明,产生水蒸气的方法的选择对于在晶圆上沉积颗粒添加物的数量具有很大影响。因此,水蒸气产生方法对于二氧化硅沉积系统的商业生存能力和结合水蒸气作为沉积前体的方法具有很大影响。
示例性半导体结构
图10示出了根据本发明工艺的实施方式形成的氧化物填充的沟槽结构的简化横截面图。具体地,在沉积工艺期间,含硅前体∶氧化气体前体的随时间变化的流速比率导致氧化物薄膜800的形成,该氧化物薄膜800包括高度共形部分800a,其靠近围绕硅侧壁周围,但其也包括非共形体部分800b,其在合理的时间周期填充整个沟槽802的容积,并产生覆盖块层804。图10的氧化物填充沟槽802不包括与先前描述的使用传统的氧化物CVD工艺相关的空隙和微小缝隙。
与图10中所示的类似的沟槽可以用于与图11中所示的类似的浅沟槽绝缘结构,图11示出了根据本发明实施方式的集成电路200的简化的横截面图。如图11所示,集成电路200包括NMOS晶体管203和PMOS晶体管206,其通过氧化物填充沟槽绝缘结构220彼此隔开并且电绝缘。可选地,场氧化物绝缘可用于绝缘器件,或可用于绝缘技术的组合。每一个晶体管203和206都包括源区212、栅区215和漏区218。
金属前介质(PMD)层221将晶体管203与金属层240分离,在金属层240和晶体管之间通过触点224连接。金属前介质层221可以包括单层或多个层。金属层240是包含在集成电路200中的四个金属层240、242、244和246中的一个。每一个金属层通过中间电介质层227、228和229与相邻的金属层分开。相邻金属层通过通孔226在选择的开口处连接。平面化的钝化层230沉积在金属层246的上方。
根据本发明的二氧化硅层用于形成在集成电路200中示出的一个或多个电介质层。例如,根据本发明沉积的二氧化硅层可以用于产生沟槽绝缘结构220。根据本发明沉积的二氧化硅层可用于产生PMD层221,或覆盖互联结构的更高层金属间电介质层227-229。
根据本发明的二氧化硅可用于金属镶嵌层(damascene layer),其包括在一些集成电路中。在镶嵌层中,覆盖层沉积在衬底上,有选择地蚀刻到衬底,并且随后使用金属填充,并且回刻或抛光以形成金属触点224。在金属层沉积之后,执行第二覆盖层沉积并有选择地蚀刻。随后使用金属填充蚀刻区域并且回刻或抛光以形成通孔226。
应该理解简化的集成电路200仅用于示意性的目的。普通的技术人员能够将本方法用于其它集成电路的制造中,诸如微处理器、特定应用的集成电路(ASIC)、存储器件等。
示例性沉积系统
图12A示出了根据本发明实施方式的用于沉积电介质层的系统500的简化示意图。系统500包括催化水蒸气产生单元502,其在电介质层沉积期间将气态水蒸气供给到工艺腔室504。WVG单元502包括气体面板506,其存储并供给氢气(H2)和氧气(O2),其经过催化转化为水蒸气。气体面板506也可以存储并传送载运气体(例如H2、He等)到WVG单元502。在所示的实施方式中,来自气体面板506的气体通过两个单独的管道提供给WVG单元502。第一管道将氢气和氧气的混合气体供给到单元,第二管道将载运气体(例如N2)供给到单元。实施方式也包括将氧气和/或氢气与载运气体在第二管道(例如N2和O2气体混合物)混合。
氢气和氧气混合物可以通过颗粒过滤器508以去除在气流中的微颗粒。随后可以将气体混合物引入到催化反应器510,其包括用于将氢气和氧气催化转化为水蒸气的材料。在图12A所示的实施方式中,反应器催化剂510包括作为催化剂材料的铂。将催化反应器510加热到一定温度(例如,约100℃ to约400℃、约350℃等),其中由分子组成的氢气和氧气催化分裂并重新组合成气体水蒸气。
从催化反应器510催化产生的水蒸气可以与载运气体(即稀释气体),诸如在独立气体管道供给到WVG单元502的N2结合。在可选的实施方式中(未示出),载运气体可以与有分子组成的氢气和氧气预先混合,并且作为单个混合气体供给到催化反应器510。在另一可选实施方式中(未示出),一些载运气体可以将氢气和氧气提供给催化反应器,同时额外的(和/或其它载运气体)可以从反应器添加到催化产生的水蒸气。
催化水蒸气和载运气体的温度和/或相对数量可以由测量混合气体中水蒸气浓度的传感器512监测。传感器512也可以测量混合物中一个或多个其它成份的浓度(例如载运气体的浓度)。由传感器512测量的水蒸气的浓度数据可用于调节水蒸气和/或载运气体的流动以保持水蒸气以预定等级从WVG单元502流出。
温度数据可用与调着从单元502流出的水蒸气的温度。在图12A示出的实施方式中,在催化反应器510中形成的水蒸气与反应器中的剩余气体具有近似相同的温度(例如,约350℃)。从反应器510中发出的水蒸气可以随后在较低的温度下(约140℃)与载运气体结合,其可以将水蒸气的温度减小到近似相同的等级。在水蒸气/载运气体混合物离开用于工艺腔室504的WVG单元502之前,可以使用过滤器514过滤水蒸气/载运气体混合物以去除颗粒。如果氧气和/或氢气包含在与催化产生的水蒸气混合的载运气体的气流中,随后供给到工艺腔室504的水蒸气混合物可以包括这些前体(例如,水蒸气、氮气(N2)和氧气(O2)的混合物)。
如图12A所示,由WVG单元502产生的水蒸气可以直接提供给工艺腔室504。其它的流体管道可以将其它的前体提供给腔室504。例如,硅前体516可以由另一管道518提供给腔室,并且可以在载运气体(例如N2,He)中稀释。在示出的实施方式中,硅前体管道518也可以连接到分流管道520,其也可以连接到真空泵522,用于排空工艺腔室504和一个或多个气体管道(例如,硅前体管道518)。
氧气前体524(O2、O3、N2O等)的提供也可以通过氧气供给管道526提供给工艺腔室504。另外,其它气体的提供,诸如用于HDP电介质沉积的氩气等以及用于氟掺杂的氟前体(例如NF3、碳氟化合物等)以及腔室清洁工艺也可以连接到工艺腔室。
催化产生的水蒸气和其它前体也提供给工艺腔室504,其中电介质薄膜沉积在衬底上。本发明的实施方式包括各种电介质层沉积工艺和系统,包括热的,和/或等离子体化学气相沉积技术。等离子体沉积可以包括装备用于高密度等离子体CVD、等离子体增强的CVD、和/或与CVD一起使用的远程产生的等离子体以形成电介质层的工艺和工艺腔室。
图12B示出了根据本发明实施方式的具有关于工艺腔室和可用于化学气相沉积(″CVD″)的其它系统元件的附加细节的系统10的简化图。该系统10可以设置为执行热的、等离子体和次气压CVD(″SACVD″)工艺,以及其它工艺,诸如回流、注入(drive-in)、清洁、蚀刻以及吸气工艺。多步骤工艺可以在单个衬底或晶圆上执行而不用从腔室中移除衬底。系统的主要元件包括从气体传送系统89接收工艺和其它气体的真空腔室15、真空系统88、远程微波等离子体系统55以及控制系统53。为了理解本发明,将在下面描述这些以及其它元件。
CVD装置10包括外壳组件102,其含有具有气体反应区域16的真空腔室105。气体分布板20设置在上述气体反应区域16上方,用于通过气体分布板中的穿孔将反应气体以及其它气体,诸如清除气体分散到晶圆(未示出),该晶圆放置在可垂直移动的加热器25上(也称作晶圆支撑底座)。加热器25可以在装载或卸载晶圆的较低位置,与例如由虚线13表示的紧邻气体分布板20的工艺位置之间可控地移动,或可移动到用于诸如蚀刻或清洁工艺的其它目的的其它位置。中心板(未示出)包括用于提供与晶圆位置有关的信息的传感器。
加热器25包括封入陶瓷制品中的电阻性加热元件(未示出)。该陶瓷制品防止加热元件潜在地腐蚀腔室环境并允许加热器保持高达约800℃的温度。在示例性的实施方式中,暴露在真空腔室15中的加热器25的所有表面由陶瓷材料制成,诸如氧化铝(Al2O3或氧化铝)或氮化铝。
沉积前体和载运气体可以从气体传送系统89通过供给管道43提供,并且进入气体混合箱(也传作气体混合气缸)273中,其中它们优选混合在一起并且传送到气体分布板20。例如,含硅前体,诸如硅烷、二甲(基)甲硅烷、三甲基硅烷、四甲基硅烷、二乙基硅烷、四甲基原硅酸酯(TMOS)、四乙基原硅酸酯(TEOS)、八甲基四硅氧烷(OMTS),八甲基环四硅氧烷(OMCTS)、四甲基环四硅氧烷(TOMCATS)、或其混合物可以与氧化气体诸如氧气(O2)、臭氧(O3)、NO、NO2或其混合物以及水蒸气一起供给到供给管道43。
气体传送系统89可以包括通过供给管道43提供水蒸气的催化水蒸气产生单元(未示出)。如上述图12A所示,WVG单元可以包括用于氢气和氧气的入口管道,以及还可以包括用于载运气体(例如,N2,He及其混合物等)的入口。WVG单元的输出是具有少量或没有液态成份(例如气溶胶粒子)催化产生的水蒸气,其可以被载运气体稀释并提供给供给管道43和气体混合气缸273。
气体混合箱273优选为连接到工艺气体供给管道43和清洁/蚀刻气体管道47的双输入混合气缸。阀280用于允许气体从气体管道47进入或封闭气体进入到气体混合气缸273。气体管道47从具有用于接收输入气体的入口57的整体远程微波等离子体系统55接收气体。在沉积工艺期间,供给到板20的气体朝着晶圆表面(如箭头21所示)排放,其中,气体通常以层状气流放射状均匀分布整个晶圆表面。
清除气体可以从板20和/或入口端或管子(未示出)通过外壳组件102的底壁传送到真空腔室15。清除气体从入口端通过加热器25向上流动并且到环形泵送管道(pumping channel)40。随后,排气系统将气体(箭头22所示)排放到环形泵送管道40,并通过排放管道60到包括真空泵(未示出)的真空系统88。排放气体和夹带的颗粒从环形泵送管道40中通过排气管道60以节流阀系统63可控的速率抽出。
在其它实施方式(未示出)中,含硅前体和含羟基前体可以通过独立供给管道提供到气体分布板,从而防止它们在到达衬底之前过早地反应。双向供给管道和喷嘴的设计的实例在2001年5月7日提交的共同转让的美国专利No.6,624,091,题为“METHODS OF FORMING GAP FILL AND LAYERSFORMED THEREBY(形成气体填充物以及在其中形成层的方法)”中描述,在此引入其全部内容作为参考。
远程微波等离子体系统55能够产生用于所选应用的等离子体,诸如腔室清洁或蚀刻天然氧化物或来自处理晶圆的残留物。来自通过输入管道57供给的前体在远程等离子系统55中产生的等离子体物质经由用于分散的管道47通过板20传送到真空腔室15。用于清洁应用的前体气体可以包括氟、氯以及其它反应元素。远程微波等离子体系统55也可以适用于通过选择适用于远程微波等离子体系统55的沉积前体气体沉积增强型等离子体CVD薄膜。
系统控制器53控制沉积系统的动作和操作参数。处理器50执行系统控制软件,诸如存储在存储器70中的计算机程序,存储器70连接到处理器50。优选地,存储器70可以是硬盘驱动,当然存储器70也可以是其它类型的存储器,诸如只读存储器或闪存。除了硬盘驱动(例如,存储器70)以外,在优选的实施方式中,CVD装置10包括软盘驱动和插件板(未示出)。
处理器50根据系统控制软件运行,该系统控制软件包括几套表示时序、气体混合、腔室温度、微波功率等级、基座位置以及特定工艺的其它参数的指令。其它计算机程序,诸如存储在包括例如软盘或其它插入到磁盘驱动或其它适当的驱动的其它存储器中的计算机程序产品,也可以用于运行处理器50以将CVD系统10配置为不同的装置。
处理器50具有插件板(未示出),其包括单板计算机、模拟和数字输入/输出板、接口板以及步进电机控制板。CVD系统10的不同部分符合VersaModular European(VME)标准,该标准定义板、插件盒以及连接器尺寸和类型。VME标准还定义了具有16位数据总线和24位地址总线的总线结构。
图12C是与CVD装置腔室30相关的用户接口的简化图。CVD装置10包括多腔室系统的一个腔室。晶圆可以从一个腔室传送到用于附加处理的另一个腔室。在一些情况下,晶圆在真空或选择的气体的条件下传送。用户和微处理器之间的接口通过CRT监视器73a和光笔73b。主机单元75为CVD装置10提供电的、管件以及其它支撑功能。与示例性的实施方式的CVD装置兼容的示例性的主机单元,诸如PRECISION 5000TM、CENTURA 5200TM,以及PRODUCER SETM可以从Santa Clara,California的应用材料公司购得。
在优选的实施方式中使用两个监视器73a,用于操作员的一个监视器安装在清洁室的墙壁71上,用于服务技术人员的另一个安装在墙72的后面。两个监视器73a同时显示相同的信息,但仅有一个光笔73b可用。光笔73b利用在笔尖中的光感应器监测由CRT显示器发出的光。为了选择特定屏幕或功能,操作员触摸显示屏的指定区域并推动笔73b上的按钮。触摸区域改变其显亮的颜色,或显示新菜单或屏幕,确保光笔和显示屏之间的通信。当然,可以使用其它装置,诸如键盘、鼠标或其它指示或通信装置替代或除了光笔73b以外允许用户与微处理器通信。
图12D示出在另一位置(例如清洁室)将前体供给到CVD装置10的气体供给面板80的实施方式的总视图。如上面所述,CVD系统10包括具有加热器25的腔室15、具有来自入口管43和管道47的气体混合箱273,以及具有输入管道57的远程微波等离子体系统55。如上面所提到的,气体混合箱273用于通过入口管43将沉积气体和清洁气体以及其它气体混合并注入工艺腔室15。
远程微波等离子系统55整体上位于并安装在腔室15的下面,具有沿腔室15到位于腔室15上方的闸门阀280和气体混合箱273的管道47。微波发生器110、臭氧发生器115以及催化水蒸气发生单元117可以远离清洁室的工艺腔室。来自气体供给板80的供给管道83和85将前体(例如,反应气体)提供给气体供给管道43。气体供给板80包括来自气体和液体源90的管道,其为选择的应用提供前体。气体供给板80具有混合系统93,其在气体流入气体混合箱273之前混合选择的气体。在一些实施方式中,气体混合系统93包括液体喷射系统,用于蒸发反应液体,该反应液体包括含硅前体,诸如,四甲基原硅酸酯(TMOS)、四乙基原硅酸酯(TEOS)、八甲基四硅氧烷(OMTS),八甲基环四硅氧烷(OMCTS)、四甲基环四硅氧烷(TOMCATS)催化产生的水蒸气可以稀释载运气体和过氧化氢以及掺杂物,诸如硼酸三乙酯(″TEB″),磷酸三乙酯(″TEPO″)以及乙硼烷(B2H6)。来自液体的水蒸气通常由载运气体,诸如氦组成。用于工艺气体的供给管道可以包括(i)关闭阀95,其用于自动或人工关闭流入管道85或管道57的工艺气体,以及(ii)液体流量表(LFM)100或其它类型的控制器,其测量通过供给管道的气流或液体流。
作为示例,在沉积工艺中,包括作为硅源的TEOS的混合物可以用于气体混合系统93用于形成二氧化硅薄膜的沉积工艺。TEPO是液体源,其可以有传统蒸发器类型或起泡器类型的热箱蒸发。然而,优选使用液体喷射系统,因为其对引入到气体混合系统中的反应液体的容积提供更大的控制。液体通常在运送到加热的气体传送管道85到气体混合气缸和腔室之前,作为细小喷雾或薄雾注入到载运气体流中。一个或多个源,诸如氧气(O2)、臭氧(O3)、NO或NO2通过另一个气体传送管道83流到腔室中,与靠近和腔室中的加热的气体传送管道85的反应气体结合。当然,应该理解也可以使用其它源的掺杂物、硅以及氧气。
图12E示出了根据本发明实施方式的用于沉积氧化物层的CVD沉积装置的简化示意图。同时装置可用于沉积二氧化硅薄膜,也可以有益于应用到单或多层掺杂的硅玻璃薄膜,诸如硼磷硅酸盐玻璃(″BPSG″),磷硅玻璃(″PSG″),硼硅酸盐玻璃(″BSG″),砷硅玻璃(″AsSG″)或类似薄膜。
CVD沉积装置400包括氧化气体源416和催化水蒸气产生单元,该催化水蒸气产生单元用于提供催化产生的水蒸气417在流动中通过气体混合箱273与真空腔室15流通。氧化气体源416可以包括氧气(O2)、臭氧(O3)、NO、NO2以及这些气体的混合物,其它氧化气体。催化水蒸气产生单元可以连接到氢气和氧气源,氢气和氧气催化转化为水蒸气,同时载运气体(例如N2、He,及其混合物等)稀释、冷却和/或将H2、O2和催化产生的水蒸气传送到和出单元417。
载运气体源410、含硅气体源411、第一掺杂气体(例如TEPO)源412、以及第二掺杂气体(例如TEB)源413通过选择阀414、气体混合系统93和气体混合箱273与真空腔室15流通。选择阀414有选择地操作以通过分流管道402分流含硅和含杂质气体诸如TEOS蒸汽到腔室排空系统88的前级真空管线408,从而完全包围真空腔室15。
如上面所述,本发明的系统和方法也可以在基于等离子体化学气相沉积系统上执行。例如,本发明可用于2002年8月27日提交的共同受让的美国专利No.6,734,155,题为“PLASMA PROCESSES FOR DEPOSITING LOWDIELECTRIC CONSTANT FILMS(用于沉积低介电常数薄膜的等离子体工艺)”的等离子体系统,以及在2001年5月11日提交的共同受让的美国专利No.6,740,601,题为“HDP-CVD DEPOSITION PROCESSES FOR FILLINGHIGH ASPECT RATIO GAPS(用于过滤高纵横比孔隙的HDP-CVD沉积工艺)”的HDP-CVD系统,在此引入两个专利的全部内容作为参考。
上面已经完整描述了本发明的特定实施方式,可以进行各种修改、改变以及变更。根据本发明的工艺配方的可选实施方式要求以下含硅成份的工艺气体流以足够高的初始浓度以允许将工艺气体直接引入到腔室,而不需要初始流的转换阶段。
并且,用于改变氧化层的沉积的参数的其它技术也可以与迄今为止描述的工艺气体流组分的浓度中的变化结合使用。其可能的改变的参数的实例包括但不限于沉积的温度、沉积的气压、含有诸如砷(As)、硼(B)以及磷(P)的掺杂物的工艺气体的流速。
在提供数值范围的情况下,应该理解该范围的上限和下限之间的每个中间值,除非上下文清楚表示排除,直到下限的单位的十分之一位也是明确公开的。在所述范围中的任何所述值或中间值与该所述范围中的任何其他所述值或中间值之间的每个更小的范围都包含在本发明中。这些更小范围的上限和下限可以独立地包含在或排除出该范围,并且其中上下限中的任一个、或者两者均没有、或者两个限度都包含在该更小范围中的每一个范围都包含在本发明中,隶属于所述范围中任何特定排除的限制。其中所述范围包括上下限的一个或两个,排除上下限中一个或两个的范围也包含在本发明中。
熟悉本领域的技术人员应该理解,正如在此及在所附权利要求书中所使用的,单数形式的“一个”、“和”以及“所述”,除非上下文清楚表明也包括复数的表示。因此,例如,“一个工艺”包括多个这样的工艺,以及“所述前体”包括一个或多个前体及其等价物。
同时在本说明书中以及在以下权利要求中的“包含”、“组成”、“包括”、“其中包括”以及“含有”这些词语意在表明存在所述特征、数据、元素或步骤,但不排除一个或更多的其它特征、数据、元素、步骤或组的存在或增加。

Claims (20)

1、一种用于在衬底上形成的沟槽中形成电介质材料的化学气相沉积方法,该方法包括:
通过将氢气和氧气与水蒸气生成催化剂接触以产生水蒸气并且将所述水蒸气提供给工艺腔室;
将含硅前体引入容纳所述衬底的所述工艺腔室;
将氧化气体引入所述工艺腔室;以及
在所述含硅前体、氧化气体和所述水蒸气之间产生反应以在所述沟槽中形成所述电介质材料;以及
随时间增加引入到所述腔室中的所述含硅前体与所述氧化气体的比率以改变所述电介质材料的沉积速率。
2、根据权利要求1所述的化学气相沉积方法,其特征在于,所述水蒸气生成催化剂包含铂。
3、根据权利要求1所述的化学气相沉积方法,其特征在于,所述方法包含在将所述水蒸气提供给所述工艺腔室之前使用载运气体稀释所述水蒸气。
4、根据权利要求3所述的化学气相沉积方法,其特征在于,在将水蒸气供给到所述工艺腔室之前,水蒸气被载运气体稀释到少于约250torr分压的浓度。
5、根据权利要求3所述的化学气相沉积方法,其特征在于,所述水蒸气在载运气体中以约5000到15000sccm的流速供给到工艺腔室。
6、根据权利要求3所述的化学气相沉积方法,其特征在于,所述载运气体包含惰性气体。
7、根据权利要求6所述的化学气相沉积方法,其特征在于,所述载运气体包含氮气。
8、根据权利要求1所述的化学气相沉积方法,其特征在于,所述方法包括将氢气和氧气的温度调整到约50℃到约500℃的范围内。
9、根据权利要求1所述的化学气相沉积方法,其特征在于,所述方法包括将所述水蒸气的温度调整到约100℃到约200℃的范围内。
10、根据权利要求1所述的化学气相沉积方法,其特征在于,所述方法包括随时间增加引入到所述腔室中的所述含硅前体与水蒸气的比率。
11、根据权利要求1所述的化学气相沉积方法,其特征在于,所述方法还包括将过氧化氢提供给所述工艺腔室。
12、根据权利要求1所述的化学气相沉积方法,其特征在于,所述含硅前体包括硅烷、二甲(基)甲硅烷、三甲基硅烷、四甲基硅烷、二乙基硅烷、四甲基原硅酸酯(TMOS)、四乙基原硅酸酯(TEOS)、八甲基四硅氧烷(OMTS),八甲基环四硅氧烷(OMCTS)、四甲基环四硅氧烷(TOMCATS),或其混合物。
13、根据权利要求1所述的化学气相沉积方法,其特征在于,所述氧化气体包括O2、O3、NO、NO2或其混合物。
14、根据权利要求1所述的化学气相沉积方法,其特征在于,所述方法包括将掺杂前体引入所述腔室。
15、一种用于在衬底上形成电介质层的化学气相沉积方法,所述方法包括:
通过将氢气和氧气与水蒸气生成催化剂接触以产生水蒸气并且将所述水蒸气提供给容纳所述衬底的腔室;
将含硅前体、氧化处理气体以及所述水蒸气提供给所述腔室,其中所述含硅前体、所述氧化处理气体以及所述水蒸气反应以在所述衬底上形成第一电介质层;
随时间改变引入到所述腔室中的所述含硅前体与氧化处理气体的比率以改变所述电介质层的沉积速率;以及
停止所述水蒸气引入所述腔室,并且在所述第一电介质层上形成第二电介质层,其中所述第二电介质层在没有所述水蒸气的情况下形成。
16、根据权利要求15所述的化学气相沉积方法,其特征在于,改变所述含硅前体与所述氧化处理气体的比率包括增加所述含硅前体相对于所述氧化处理气体的流速。
17、一种衬底处理装置,包括:
设置为支撑工艺腔室中衬底的衬底支架;
设置为接收含硅前体、氧化处理气体以及水蒸气并将它们传送到所述工艺腔室的气体传送系统;
用于将所述水蒸气提供给所述气体传送系统的水蒸气发生器,其中所述发生器包括通过将氢气和氧气混合以产生水蒸气的催化剂;以及
设置为控制所述气体传送系统和所述衬底支架的控制器,其中所述控制器将所述含硅前体、所述水蒸气以及所述氧化处理气体引入到所述工艺腔室以在所述衬底上形成电介质层,并在所述电介质层沉积期间,改变所述衬底支架相对于所述气体传送系统的位置。
18、根据权利要求17所述的衬底处理装置,其特征在于,在电介质层沉积在所述衬底期间,随着含硅前体气体不断引入所述腔室,所述控制器随时间改变所述含硅前体相对于所述氧化处理气体的浓度。
19、根据权利要求17所述的衬底处理装置,其特征在于,在所述电介质层沉积期间,所述控制器移动所述衬底支架靠近所述气体传送系统以增加所述电介质层的沉积速率。
20、根据权利要求17所述的衬底处理装置,其特征在于,所述催化剂包括铂。
CNA2008100854270A 2007-03-15 2008-03-14 在含有电介质材料的硅形成中改进的空隙填充沉积 Pending CN101304001A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/686,863 US20070212850A1 (en) 2002-09-19 2007-03-15 Gap-fill depositions in the formation of silicon containing dielectric materials
US11/686,863 2007-03-15

Publications (1)

Publication Number Publication Date
CN101304001A true CN101304001A (zh) 2008-11-12

Family

ID=39708751

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008100854270A Pending CN101304001A (zh) 2007-03-15 2008-03-14 在含有电介质材料的硅形成中改进的空隙填充沉积

Country Status (7)

Country Link
US (1) US20070212850A1 (zh)
EP (1) EP1980646A1 (zh)
JP (1) JP2008227511A (zh)
KR (1) KR20080084593A (zh)
CN (1) CN101304001A (zh)
SG (1) SG146567A1 (zh)
TW (1) TW200845147A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102024741B (zh) * 2009-09-17 2013-03-27 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
CN103026472A (zh) * 2010-08-02 2013-04-03 株式会社Eugene科技 环状薄膜的沉积方法
CN105453222A (zh) * 2013-06-18 2016-03-30 圆益Ips股份有限公司 薄膜制造方法
CN107045999A (zh) * 2016-02-05 2017-08-15 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN107248515A (zh) * 2016-03-24 2017-10-13 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
CN108183071A (zh) * 2016-11-11 2018-06-19 朗姆研究公司 采用ald填隙间隔物掩模的自对准多重图案化处理流程

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
DE102008050941A1 (de) * 2008-10-10 2010-04-22 Behr Gmbh & Co. Kg CVD-Beschichtungsverfahren, Beschichtungsvorrichtung und Bauteil einer Fluidführung
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
TWI426551B (zh) * 2009-03-25 2014-02-11 Ind Tech Res Inst 立體金屬氧化物電極及其製造方法
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9093266B2 (en) * 2011-04-11 2015-07-28 Micron Technology, Inc. Forming high aspect ratio isolation structures
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN105474361A (zh) * 2013-06-18 2016-04-06 圆益Ips股份有限公司 薄膜制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR20160067349A (ko) 2014-12-04 2016-06-14 삼성전자주식회사 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US20180076026A1 (en) * 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10062561B2 (en) * 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7048433B2 (ja) * 2018-06-22 2022-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2889704A (en) * 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) * 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) * 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3142714A (en) * 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) * 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
JPH02222134A (ja) * 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US20020050605A1 (en) * 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
JP2937140B2 (ja) * 1996-10-09 1999-08-23 日本電気株式会社 半導体装置の製造方法
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6734155B1 (en) 1997-07-09 2004-05-11 The Procter & Gamble Company Cleaning compositions comprising an oxidoreductase
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
GB9723222D0 (en) * 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6348421B1 (en) * 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
JPH11354516A (ja) * 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
US6239002B1 (en) * 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6911707B2 (en) * 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
US6190973B1 (en) * 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
KR100319620B1 (ko) * 1999-05-10 2002-01-05 김영환 반도체 소자의 격리구조 및 그 제조방법
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6171901B1 (en) * 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6512264B1 (en) * 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US6875558B1 (en) * 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
US6248628B1 (en) * 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
KR100338771B1 (ko) * 1999-11-12 2002-05-30 윤종용 수소 어닐링 단계를 포함하는 공정이 간단한 트렌치소자분리방법
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1139404A1 (en) * 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US6184155B1 (en) * 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6583025B2 (en) * 2000-07-10 2003-06-24 Samsung Electronics Co., Ltd. Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
KR100464914B1 (ko) * 2001-03-03 2005-01-05 잘만테크 주식회사 히트싱크
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6624091B2 (en) * 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6541370B1 (en) * 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US6803330B2 (en) * 2001-10-12 2004-10-12 Cypress Semiconductor Corporation Method for growing ultra thin nitrided oxide
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6835633B2 (en) * 2002-07-24 2004-12-28 International Business Machines Corporation SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
KR200302418Y1 (ko) * 2002-11-08 2003-02-05 박준영 피뢰 접지판 체결구 세트
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102024741B (zh) * 2009-09-17 2013-03-27 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
CN103026472A (zh) * 2010-08-02 2013-04-03 株式会社Eugene科技 环状薄膜的沉积方法
CN103026472B (zh) * 2010-08-02 2015-08-26 株式会社Eugene科技 环状薄膜的沉积方法
CN105453222A (zh) * 2013-06-18 2016-03-30 圆益Ips股份有限公司 薄膜制造方法
CN107045999A (zh) * 2016-02-05 2017-08-15 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN107045999B (zh) * 2016-02-05 2023-10-20 朗姆研究公司 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN107248515A (zh) * 2016-03-24 2017-10-13 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
CN107248515B (zh) * 2016-03-24 2020-06-16 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
CN108183071A (zh) * 2016-11-11 2018-06-19 朗姆研究公司 采用ald填隙间隔物掩模的自对准多重图案化处理流程
CN108183071B (zh) * 2016-11-11 2022-10-04 朗姆研究公司 采用ald填隙间隔物掩模的自对准多重图案化处理流程

Also Published As

Publication number Publication date
JP2008227511A (ja) 2008-09-25
TW200845147A (en) 2008-11-16
KR20080084593A (ko) 2008-09-19
US20070212850A1 (en) 2007-09-13
SG146567A1 (en) 2008-10-30
EP1980646A1 (en) 2008-10-15

Similar Documents

Publication Publication Date Title
CN101304001A (zh) 在含有电介质材料的硅形成中改进的空隙填充沉积
US7335609B2 (en) Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) Gap-fill depositions in the formation of silicon containing dielectric materials
CN101802984B (zh) 在基板上形成氧化硅层的方法
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
TWI399453B (zh) 使用含矽前驅物和氧原子來化學氣相沈積高品質之流式二氧化矽
CN101454877B (zh) 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
CN101299417B (zh) 薄膜处理的方法
CN100501970C (zh) 前金属介电层的有限热预算形成
US7674727B2 (en) Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20050136684A1 (en) Gap-fill techniques
CN103370773A (zh) 使用氧化硅多层结构的减少的图案化负载
KR20110104062A (ko) 개선된 저온 갭필을 위한 실리콘 산화물에 대한 프리커서 첨가 cvd

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20081112