SG11202103763QA - Film deposition using enhanced diffusion process - Google Patents

Film deposition using enhanced diffusion process

Info

Publication number
SG11202103763QA
SG11202103763QA SG11202103763QA SG11202103763QA SG11202103763QA SG 11202103763Q A SG11202103763Q A SG 11202103763QA SG 11202103763Q A SG11202103763Q A SG 11202103763QA SG 11202103763Q A SG11202103763Q A SG 11202103763QA SG 11202103763Q A SG11202103763Q A SG 11202103763QA
Authority
SG
Singapore
Prior art keywords
film deposition
diffusion process
enhanced diffusion
enhanced
deposition
Prior art date
Application number
SG11202103763QA
Inventor
Shishi Jiang
Kurtis Leschkies
Pramit Manna
Abhijit Mallick
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of SG11202103763QA publication Critical patent/SG11202103763QA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
SG11202103763QA 2018-11-16 2019-11-04 Film deposition using enhanced diffusion process SG11202103763QA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862768523P 2018-11-16 2018-11-16
PCT/US2019/059659 WO2020101935A1 (en) 2018-11-16 2019-11-04 Film deposition using enhanced diffusion process

Publications (1)

Publication Number Publication Date
SG11202103763QA true SG11202103763QA (en) 2021-05-28

Family

ID=70728157

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11202103763QA SG11202103763QA (en) 2018-11-16 2019-11-04 Film deposition using enhanced diffusion process

Country Status (7)

Country Link
US (1) US11227797B2 (en)
JP (1) JP2022507390A (en)
KR (1) KR20210077779A (en)
CN (1) CN112996950B (en)
SG (1) SG11202103763QA (en)
TW (1) TWI726478B (en)
WO (1) WO2020101935A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022095463A (en) * 2020-12-16 2022-06-28 東京エレクトロン株式会社 Method of manufacturing semiconductor device, and substrate processing device

Family Cites Families (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (en) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd Steam treating unit
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (en) 1989-04-10 1998-03-25 忠弘 大見 Tungsten film forming equipment
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0521310A (en) 1991-07-11 1993-01-29 Canon Inc Formation of fine pattern
JPH05129296A (en) 1991-11-05 1993-05-25 Fujitsu Ltd Method of flatting conductive film
US5300320A (en) * 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (en) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd Dryer of substrate after washing
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0748489A (en) 1993-08-05 1995-02-21 Mitsubishi Gas Chem Co Inc Light-resistant resin composition
JPH0766424A (en) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd Semiconductor device and its manufacture
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (en) 1995-01-13 1996-07-30 Toshiba Corp Manufacture of film transistor
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (en) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド Microwave plasma based applicator
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (en) 1995-11-21 1997-11-18 Applied Materials Inc Apparatus for production of metallic path for semiconductor substrate by high-pressure extrusion and method thereof
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (en) 1996-03-01 1998-04-30 히가시 데츠로 Substrate drying apparatus and substrate drying method
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
KR100560049B1 (en) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 A film forming method
JP2976931B2 (en) 1997-06-04 1999-11-10 日本電気株式会社 Method for manufacturing semiconductor device
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (en) 1997-11-18 2001-08-13 日本電気株式会社 Method of forming interlayer insulating film and insulating film forming apparatus
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2002541664A (en) * 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー A trench isolation method for depositing a trench fill oxide prior to sidewall liner oxidation growth.
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (en) 1999-08-17 2009-01-15 Applied Materials Inc SURFACE TREATMENT OF CARBON-DOPED SIO2 FILM TO INCREASE STABILITY DURING O2 ASHING
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (en) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd Apparratus for continuously manufacturing method of semiconductor element
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (en) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc Equipment and method for treating substrate
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (en) 2001-03-22 2009-09-30 株式会社荏原製作所 Method and apparatus for adjusting gas circulation rate of vacuum exhaust device
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (en) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk Preprocessing method for etching tank and manufacturing method for semiconductor device
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (en) 2001-08-03 2003-02-21 Kobe Steel Ltd High-pressure processing apparatus
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (en) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc Substrate processing device and manufacturing method of semiconductor device
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (en) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd Discharge plasma treatment apparatus
JP2003188387A (en) 2001-12-20 2003-07-04 Sony Corp Thin film transistor and its fabricating method
KR100450564B1 (en) 2001-12-20 2004-09-30 동부전자 주식회사 Post treatment method for metal line of semiconductor device
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (en) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk Apparatus and method for performing high pressure anneal steam treatment
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
CN1317741C (en) 2002-11-25 2007-05-23 光洋热系统株式会社 Electroheater for semiconductor treater
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
KR101058882B1 (en) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 Nitrogen Profile Tailoring of Silicon Oxynitrides by Rapid Thermal Annealing with Ammonia at Ultra-Low Pressure
JP3956049B2 (en) 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP2006526125A (en) 2003-05-13 2006-11-16 アプライド マテリアルズ インコーポレイテッド Method and apparatus for sealing an opening in a processing chamber
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (en) 2003-08-13 2008-10-29 株式会社神戸製鋼所 High pressure processing method
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (en) 2004-05-20 2010-01-06 株式会社神戸製鋼所 Drying method of fine structure
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
KR100697280B1 (en) 2005-02-07 2007-03-20 삼성전자주식회사 Method for controlling presure of equipment for semiconductor device fabrication
CN101128622B (en) 2005-02-22 2010-08-25 埃克提斯公司 Etching chamber with subchamber
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (en) 2005-06-10 2011-09-07 奥贝达克特公司 Pattern replication with intermediate stamp
JP4747693B2 (en) 2005-06-28 2011-08-17 住友電気工業株式会社 Method for forming resin body, method for forming structure for optical waveguide, and method for forming optical component
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (en) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. Substrate processing equipment, cooling gas supply nozzle and method for fabricating semiconductor device
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (en) 2005-09-13 2007-03-20 한국전자통신연구원 Optical waveguide master and manufacture method of the same
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (en) 2005-10-07 2012-12-26 株式会社尼康 Fine structure body and method for manufacturing same
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (en) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (en) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd High pressure treating device
JP4814038B2 (en) 2006-09-25 2011-11-09 株式会社日立国際電気 Substrate processing apparatus and method for attaching / detaching reaction vessel
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (en) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Manufacturing method of mos type semiconductor device
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate processing apparatus
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (en) 2007-03-31 2013-02-06 東京エレクトロン株式会社 Trap device, exhaust system and treatment system using the same
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (en) 2007-04-13 2008-10-16 Infineon Technologies Ag Curing of layers on the semiconductor module by means of electromagnetic fields
CN101690400B (en) 2007-04-30 2011-11-30 伊菲雷知识产权公司 Laminated thick film dielectric structure for thick film dielectric electroluminescent displays
KR101560705B1 (en) 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for assembling and operating electronic device manufacturing systems
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (en) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 Method of manufacturing Semiconductor Device by using High-Pressure Oxygen Annealing
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
CN101835521A (en) 2007-10-26 2010-09-15 应用材料公司 Utilize the method and apparatus that is used for smart abatement that improves fuel circuit
JP5299605B2 (en) 2007-11-19 2013-09-25 日揮触媒化成株式会社 Method for repairing damage of low dielectric constant silica-based coating and low dielectric constant silica-based coating repaired by the method
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (en) * 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 Protective layer to enable damage free gap fill
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (en) 2008-03-31 2011-11-16 株式会社日立製作所 Fine structure transfer stamper and fine structure transfer apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (en) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (en) * 2008-09-25 2010-04-02 삼성전자주식회사 Semiconductor device having isolation layer with isolation trench of different aspect ratio and isolation trench gap fill method of fabricating the same
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (en) 2009-01-08 2010-07-16 삼성전자주식회사 Methods of forming a silicon oxide layer pattern and an isolation layer
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
JP2010205854A (en) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
JP4564570B2 (en) 2009-03-10 2010-10-20 三井造船株式会社 Atomic layer deposition equipment
JP4523661B1 (en) 2009-03-10 2010-08-11 三井造船株式会社 Atomic layer deposition apparatus and thin film forming method
FR2944147B1 (en) 2009-04-02 2011-09-23 Saint Gobain METHOD FOR MANUFACTURING TEXTURED EXTERNAL SURFACE STRUCTURE FOR ORGANIC ELECTROLUMINESCENT DIODE DEVICE AND STRUTURE WITH TEXTURED EXTERNAL SURFACE
US8404561B2 (en) * 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (en) 2009-06-22 2010-02-17 富士フイルム株式会社 THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THIN FILM TRANSISTOR
KR20110000960A (en) 2009-06-29 2011-01-06 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the same
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (en) 2009-09-16 2011-03-31 Bridgestone Corp Photocurable transfer sheet and method for forming recessed and projected pattern using same
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102598285B (en) 2009-11-20 2016-08-03 株式会社半导体能源研究所 The method being used for producing the semiconductor devices
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (en) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101775608B1 (en) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2013521650A (en) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド Conformal layer by radical component CVD
KR101163711B1 (en) 2010-06-15 2012-07-09 서울대학교산학협력단 1t dram device having two gates on recessed body and method of operating and fabricating the same
CN101871043B (en) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 Steam generator of annealing furnace and control method thereof
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (en) 2010-08-30 2012-03-08 Toshiba Corp Supercritical drying method and supercritical drying system
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8664127B2 (en) * 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5806827B2 (en) 2011-03-18 2015-11-10 東京エレクトロン株式会社 Gate valve apparatus, substrate processing apparatus and substrate processing method thereof
CN103502853A (en) 2011-03-25 2014-01-08 李谞荣 Lightwave circuit and method of manufacturing same
JP5450494B2 (en) 2011-03-25 2014-03-26 株式会社東芝 Supercritical drying method for semiconductor substrates
WO2012133583A1 (en) 2011-03-30 2012-10-04 大日本印刷株式会社 Supercritical drying device and supercritical drying method
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (en) 2011-05-30 2012-12-06 東京エレクトロン株式会社 Method for treating substrate, device for treating substrate and storage medium
JP6085423B2 (en) 2011-05-30 2017-02-22 株式会社東芝 Substrate processing method, substrate processing apparatus, and storage medium
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (en) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH Semiconductor stocker system and semiconductor stock method
WO2013008982A1 (en) 2011-07-14 2013-01-17 엘티씨 (주) Inorganic scattering film having high light extraction performance
JPWO2013065771A1 (en) 2011-11-01 2015-04-02 株式会社日立国際電気 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and recording medium
JP5712902B2 (en) 2011-11-10 2015-05-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
KR101305904B1 (en) 2011-12-07 2013-09-09 주식회사 테스 Method of manufacturing a semiconductor device with minute pattern
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As Spin-on-glass assisted polishing of rough substrates
JP2013122493A (en) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The Optical branching element and optical branching circuit
JP2013154315A (en) 2012-01-31 2013-08-15 Ricoh Co Ltd Thin film forming apparatus, thin film forming method, electro-mechanical transducer element, liquid ejecting head, and inkjet recording apparatus
CN104106128B (en) 2012-02-13 2016-11-09 应用材料公司 Method and apparatus for the selective oxidation of substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (en) 2012-06-27 2013-01-22 (주)이노시티 Apparatus for process chamber
KR20140003776A (en) 2012-06-28 2014-01-10 주식회사 메카로닉스 Preparation of a high resistivity zno thin film
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (en) 2012-07-19 2014-02-03 Tokyo Electron Ltd Method of depositing tungsten film
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (en) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 Semiconductor structure provided with aluminum-nitride-oxide film on top of germanium layer, and manufacturing method therefor
KR102002782B1 (en) 2012-09-10 2019-07-23 삼성전자주식회사 Method of manufacturing for Semiconductor device using expandable material
JP2014060256A (en) 2012-09-18 2014-04-03 Tokyo Electron Ltd Processing system
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
CN103794543B (en) * 2012-10-31 2018-07-10 中芯国际集成电路制造(上海)有限公司 Isolation structure and forming method thereof
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (en) 2012-12-27 2014-08-07 Tokyo Electron Ltd Film deposition method of manganese metal film, processing system, production method of electronic device and electronic device
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (en) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 Gas Phase Etcher Apparatus
KR20140106977A (en) 2013-02-27 2014-09-04 삼성전자주식회사 Metal oxide semiconductor Thin Film Transistors having high performance and methods of manufacturing the same
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (en) 2013-05-07 2013-07-17 호용종합건설주식회사 Boiler system of providing dry steam for renewed pipe
WO2014192871A1 (en) 2013-05-31 2014-12-04 株式会社日立国際電気 Substrate processing apparatus, method for manufacturing semiconductor manufacturing apparatus, and furnace opening cover body
JP6196481B2 (en) 2013-06-24 2017-09-13 株式会社荏原製作所 Exhaust gas treatment equipment
KR101542803B1 (en) 2013-07-09 2015-08-07 주식회사 네오세미텍 Vacuum chamber with purge apparatus of high temperature and high pressure injection type and cleaning method using it
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (en) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 Method for depositing tungsten film with tungsten hexafluoride(wf6) etchback
SG10201804322UA (en) 2013-08-21 2018-07-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (en) 2013-09-04 2017-11-08 昭和電工株式会社 Method for manufacturing SiC epitaxial wafer
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (en) 2013-10-24 2017-05-17 信越化学工業株式会社 Superheated steam treatment equipment
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (en) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 Display device, array base palte and preparation method thereof
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (en) 2014-04-23 2015-11-25 주식회사 풍산 Method of forming spin on glass type insulation layer using high pressure annealing
CN104047676A (en) 2014-06-14 2014-09-17 马根昌 Improved opposite impact silencer
CN106463358B (en) 2014-06-16 2020-04-24 英特尔公司 Seam healing of metal interconnects
CN104089491B (en) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 The waste heat recycling system of annealing furnace
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
DE112014006932T5 (en) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
TWI825991B (en) 2015-05-11 2023-12-11 美商應用材料股份有限公司 Horizontal gate all around and finfet device isolation
KR101681190B1 (en) 2015-05-15 2016-12-02 세메스 주식회사 method and Apparatus for Processing Substrate
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
TWI715645B (en) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 Deposition of conformal and gap-fill amorphous silicon thin-films
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (en) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 Method for fabricating nanowires for horizontal gate all-around devices for semiconductor applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (en) 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (en) 2016-06-14 2019-12-21 美商應用材料股份有限公司 Oxidative volumetric expansion of metals and metal containing compounds
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
EP3520136A4 (en) 2016-09-30 2020-05-06 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) * 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
KR20190137935A (en) 2017-05-01 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 High Pressure Annealing Chamber with Vacuum Isolation and Pre-Processing Environment
JP6918146B2 (en) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated A device that collects liquid and solid emissions and later reacts them into gaseous emissions.
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN110637353A (en) 2017-06-02 2019-12-31 应用材料公司 Quality improvement of films deposited on substrates
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (en) 2017-11-11 2024-01-05 微材料有限责任公司 Gas delivery system for high pressure processing chamber
JP7330181B2 (en) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド High-pressure steam annealing treatment equipment
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
CN111699549A (en) 2018-01-24 2020-09-22 应用材料公司 Seam closure using high pressure annealing
JP7379353B2 (en) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド How to process mask substrates to enable better film quality
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus

Also Published As

Publication number Publication date
KR20210077779A (en) 2021-06-25
CN112996950A (en) 2021-06-18
TW202020208A (en) 2020-06-01
US20200161178A1 (en) 2020-05-21
JP2022507390A (en) 2022-01-18
US11227797B2 (en) 2022-01-18
CN112996950B (en) 2024-04-05
TWI726478B (en) 2021-05-01
WO2020101935A1 (en) 2020-05-22

Similar Documents

Publication Publication Date Title
CA185697S (en) Showerhead
CA193059S (en) Showerhead
SG11201710300SA (en) Substrate holding device, film deposition device, and substrate holding method
GB201808433D0 (en) Process
GB201905369D0 (en) Process
SG11202005432RA (en) Silicide films through selective deposition
GB201809199D0 (en) Process
GB201806320D0 (en) Process
SG11202011810RA (en) Conformal carbon film deposition
GB2575365B (en) Process
EP3578665C0 (en) Biosensing method
GB201905133D0 (en) Process
CA187041S (en) Showerhead
SG11202103763QA (en) Film deposition using enhanced diffusion process
GB201909538D0 (en) Deposition apparatus
SG11202007631PA (en) Process
SG11202001492TA (en) Alkoxysilacyclic or acyloxysilacyclic compounds and methods for depositing films using same
GB201802468D0 (en) Coated substrate
SG11202004319UA (en) Precursor solution for thin film deposition and thin film forming method using same
KR102288960B9 (en) Thin film deposition method
EP3845684A4 (en) Film deposition method
EP3868920C0 (en) Film formation apparatus
GB2571255B (en) Film lamination process
GB201812147D0 (en) Process
GB201810184D0 (en) Process