JPH0697660B2 - 薄膜形成方法 - Google Patents

薄膜形成方法

Info

Publication number
JPH0697660B2
JPH0697660B2 JP60057569A JP5756985A JPH0697660B2 JP H0697660 B2 JPH0697660 B2 JP H0697660B2 JP 60057569 A JP60057569 A JP 60057569A JP 5756985 A JP5756985 A JP 5756985A JP H0697660 B2 JPH0697660 B2 JP H0697660B2
Authority
JP
Japan
Prior art keywords
gas
thin film
substrate
sample
bias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP60057569A
Other languages
English (en)
Other versions
JPS61218134A (ja
Inventor
克之 町田
秀男 及川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP60057569A priority Critical patent/JPH0697660B2/ja
Priority to US06/842,244 priority patent/US4732761A/en
Priority to DE3609681A priority patent/DE3609681C2/de
Priority to GB8607278A priority patent/GB2173822B/en
Publication of JPS61218134A publication Critical patent/JPS61218134A/ja
Publication of JPH0697660B2 publication Critical patent/JPH0697660B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/357Microwaves, e.g. electron cyclotron resonance enhanced sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、凹凸のある半導体装置表面に絶縁膜などの薄
膜を平坦に形成するための方法に関する。
〔従来の技術〕
LSIの高密度化および高信頼化のために素子部および配
線部の平坦化技術は不可欠である。かかる平坦化技術と
して従来からリフトオフ法、エツチバツク法、スピンオ
ン法、バイアススパツタ法等がある。リフトオフ法はプ
ロセスが複雑であり、リフトオフに用いたレジストの残
留等の問題がある。エツチバツク法は樹脂塗布の均一性
制御やエツチング内のウエフア内の均一性制御が困難で
ある等の問題がある。スピンオン法はピンホールの発生
を抑えるために膜厚を厚くする必要があり、その結果微
細なスルーホールの形成はアスペクト比が大きくなるの
で障害となる。また、誘電率が大きいことや汚染等の問
題がある。
バイアススパツタ法(C.Y.Ting“Study of planarized
sputter-deposited SiO2"J.Vac.Sci.Technol.,15
(3),May/June 1978,p1105-1112)は、一台の装置で
凹凸表面状に平坦な膜を形成する技術として最近注目さ
れている。
第6図はバイアススパツタ法を実施するための装置の一
例の構成を示し、1は基板電極、2はターゲツト電極、
3はRFバイアス電源、4は試料室、5はガス導入機構、
6は試料基板である。
このバイアススパツタ装置では、ターゲツト電極2をス
パツタして基板6にそのターゲツト材料の粒子を堆積さ
せて絶縁膜を形成する場合に、試料基板6の側にも電源
3から電極1を経てRF電圧を印加してArイオンを基板6
にも入射させる。ここで、Arイオンによる絶縁膜のエツ
チング速度が、基板6に平行な面を持つ部分よりも傾斜
した部分の方が速いことを利用して絶縁膜表面を平坦に
する。
〔発明が解決しようとする課題〕
このバイアススパツタ法によれば確かに薄膜の平坦化を
達成することができるが、次のような欠点がある。
(1)膜堆積粒子が試料表面に対して斜め方向から飛来
するので、アスペクト比(配線の厚さと間隔の比)が1.
0以上になるサブミクロン配線上に絶縁膜を平坦に堆積
させることができない。
(2)試料基板6がプラズマ発生部に置かれているため
に高温となり、基板6のうちレジスト上には所望の薄膜
を堆積できない。
(3)膜堆積速度およびエツチング速度を大きくするた
めには電源3のrfパワーを大きくする必要があるが、そ
の場合には、基板6がダメージを受けるおそれがあるの
で、rfパワーを大きくすることはできない。
(4)rfパワーを大きくできないことと、基板バイアス
によるエツチング効果によつて堆積速度が相対的に小さ
くなることにより、スループツトは低い。
(5)使用するターゲツトの純度が低い場合には膜中に
不純物混入の可能性があり、その上堆積速度が遅い。
〔課題を解決するための手段〕
ガスを導入してマイクロ波電子サイクロトロン法により
プラズマを発生させるプラズマ発生室と、試料基板を載
置するための基板電極を内部に持ち前記プラズマ発生室
と一部において連通し前記試料基板に付着すべき絶縁薄
膜の原料の供給源を有する試料室と、前記基板電極に所
定のバイアスを印加するバイアス電源とを備えた装置を
用いて、金属配線を形成した試料基板に前記絶縁薄膜を
形成する薄膜形成方法において、 前記試料室に原料となる第1のガスとしてシリコンを含
むガスを導入し、前記プラズマ発生室に第2のガスとし
て酸素ガスを導入してプラズマを生成し、前記基板電極
にバイアスを印加することにより前記酸素ガスのイオン
による前記絶縁薄膜のスパッタエッチングを行いながら
薄膜を形成するものである。
また、ガスを導入してマイクロ波電子サイクロトロン法
によりプラズマを発生させるプラズマ発生室と、試料基
板を載置するための基板電極を内部に持ち前記プラズマ
発生室と一部において連通し前記試料基板に付着すべき
絶縁薄膜の原料の供給源を有する試料室と、前記基板電
流に所定のバイアスを印加するバイアス電源とを備えた
装置を用いて、金属配線を形成した試料基板に前記絶縁
薄膜を形成する薄膜形成方法において、 前記試料室に原料となる第1のガスとしてシリコンを含
むガスを導入し、前記プラズマ発生室に第2のガスとし
て酸素ガスを導入してプラズマを生成し、前記基板電極
にバイアスを印加することにより前記酸素ガスのイオン
による前記絶縁薄膜のスパッタエッチングを行いながら
薄膜を形成する工程と、 次に、前記試料室に原料となる第1のガスとしてシリコ
ンを含むガスを導入し、前記プラズマ発生室に第2のガ
スとして酸素ガスとアルゴンガスとを導入してプラズマ
を生成し、前記基板電極にバイアスを印加することによ
り前記酸素ガスおよび前記アルゴンガスのイオンによる
前記絶縁薄膜のスパッタエッチングを行いながら薄膜を
形成するものである。
〔作用〕
試料基板上において薄膜の堆積とエツチングが同時に進
行して表面が平坦化される。
〔実施例〕 第1図は本発明に係る薄膜形成方法の一実施例を行うた
めの装置の構成図であり、11は例えば13.56MHzのRFバイ
アス電源、12は基板電極、13はプラズマ発生室、14は試
料室、15は制御弁を有するガス導入機構、16は試料基
板、17は制御弁を有するガス導入機構である。18はたと
えば875Gaussのマグネットコイル、19は窓である。
試料室14内の下方中央部にはRFバイアス電源11に接続さ
れた基板電極12が設けられている。この基板電極12の上
には薄膜を形成すべき試料基板16が載置される。試料室
14の側壁にはガス導入機構17が臨んでおり、このガス導
入機構17からは基板16に堆積される薄膜の原料となるシ
ランガス(SiH4)等が供給される。試料室14の上にはプ
ラズマ発生室13が設けられており、プラズマ発生室13と
試料室14との間には試料基板16と対向するように窓19A
が配設されている。プラズマ発生室13の上方周辺部には
ガス導入機構15が臨んでおり、このガス導入機構からは
ArガスやO2ガス等が供給される。
プラズマ発生室13の外周には、プラズマ発生室13で生成
されたイオンを磁界によつて効率よく試料室14に輸送す
るためのマグネツトコイル18が設けられている。なお、
プラズマ発生室13におけるイオンの発生方法としては平
行平板型、円筒型、イオンビーム型等があるが、本願発
明ではマイクロ波電子サイクロトロンを用いている。
なお、プラズマ発生室13で生成されたイオンを試料室14
に輸送するためには電界を用いることもできる。
このようにして輸送された酸素イオンとガス導入機構17
からのシランガスとにより、試料基板16上に膜が形成さ
れる。
一方、基板電極12にバイアス電源11からRF電圧を印加す
ると、試料室14内のイオンによりエツチングが基板16で
生じる。マイクロ波パワー、RFパワー、あるいは、導入
ガス組成比を変えることにより、デボジシヨンとエツチ
ングの両者を同時に実行できる。このように、この装置
は、イオン源からの堆積粒子と基板へ入射するイオンを
独立に制御できる。
本発明では、基板電極12の直上にプラズマ発生室13が設
けられており、かつ、真空度10-4〜10-5Torr程度の高真
空下で膜形成を行なうため、基板16にほぼ垂直方向から
膜堆積粒子が飛んでくる。このため、サブミクロン寸法
の隙間に対して優れた埋め込み特性を有している。また
イオンも基板に対してほぼ垂直な方向で入射する。
この装置によれば、真空度10-4〜10-5Torr程度の高真空
下で100℃以下の基板温度であり、膜堆積に方向性を有
し品質のよいSiO2,SiN,ポリシリコン等を基板16に堆積
することができる。
また、上記高真空下では、堆積粒子の平均自由工程が良
い。その結果、挾い配線間や溝に対して、堆積粒子を入
射させることが可能である。従つて、本方法では、狭い
線間にSiO2を十分埋め込むことが可能である。また、プ
ラズマ発生室13と試料室14とを分離しているので、基板
電極12に印加する電界はイオンの試料基板16における強
度を決めるためにのみ用いられる。したがつて、従来の
バイアススパツタ法のようにプラズマ放電を生じさせる
周波数に限定されることはない。
第5図は装置の他の実施例を示し、ここでは、プラズマ
発生室13と試料室14との間に配設された窓19の試料室14
側の周囲にスパツタすべき材料からなるターゲツト30を
設ける。このターゲツト30には材料に応じてDCもしくは
RF電圧が印加される。但し、ターゲツトにつける電源は
図示していない。この装置ではプラズマ発生室13で発生
したAr等のイオンによりターゲツト30をスパツタリング
して試料基板16上に薄膜を付着させると共に、基板電極
12にRFバイアスを印加してエツチングを同時に実行させ
ることができるものである。この装置はガス原料の無い
膜を形成する場合に特に有効である。
次に本実施例装置を用いて表面が平坦化された絶縁膜を
基板上に形成する方法を第2図に基づいて説明する。第
2図(A)に示す試料基板は、能動素子等が形成された
半導体基板21上に絶縁膜22を堆積し、さらにその膜22上
にAlの金属膜をスパツタ法で5000Åの膜厚に形成した後
フオトリングラフイによりパターニングし、CCl2でエツ
チングして配線金属23を形成したものである。
この試料基板を第1図に示す薄膜形成装置の基板電極12
上に試料基板16として載置し、ガス導入機構17からSiH4
を導入し、ガス導入機構15からは、Arを導入せずO2を導
入してプラズマを生成し、試料基板16上にSiO2膜24を50
00Å堆積する。このとき同時に基板電極12にRFバイアス
を印加するため、試料基板16にほぼ垂直にイオンが入射
し、試料基板16上においてO2イオンのスパツタリング効
果が生じる。すなわち、第2図(B)に示すように傾斜
した面に堆積するSiO2の量がO2イオンのエツチングによ
り平坦部より少なくなる。
このように、SiO2を堆積する場合、RFバイアスを印加す
ることによつて、O2イオンのスパツタリング効果が生じ
る点がArオンのみによつてエツチングが生じるバイアス
スパツタ法と大きく異なる。Arを供給していないので、
Si,SiO2はO2イオンによりエツチングされるが、Al等の
金属はエツチングされない。従つて、堆積初期において
Arを導入する必要がないので、平坦化プロセスの最初か
らRFパワーを印加可能であり、平坦化の時間が短縮出来
る。
再び第2図(B)に戻る。第2図(B)に示すように、
配線金属23はエツチングされることなく、配線金属23間
にSiO2膜24がオーバーハングの形状になることなく堆積
される。かかる堆積の後、ガス導入機構15からは、O2
スに加えてArガスをも供給する。ガス導入機構17からは
SiH4ガスを依然導入する。このようにして、SiH4ガスと
O2ガスの他にArガスを加え、同じく基板電極12にRFバイ
アスを印加しつつSiO2を膜24上にさらに堆積させる。Ar
ガスの導入によりエツチング速度を増加させることがで
き、膜堆積速度とエツチング速度とを略等しくさせてい
る。この場合も、イオンと堆積粒子は共に試料基板にほ
ぼ垂直に入射する。これによつて、第2図(B)におけ
るSiO2線24の凸部分24aがエツチング除去されると共に
平坦部の膜厚が均一化されて平坦構造が実現される(第
2図(C))。
また、第2図(C)の構造を得たあと、Arガスの導入を
止め、RFバイアスの印加を停止し、SiH4とO2のみで所定
の膜厚の薄膜を形成してもよい(第2図(D))。
ここで、SiH4とO2の流量を変化させた時のSiO2の堆積速
度を第3図に示す。同図において横軸はSiH4とO2のトー
タル流量、縦軸は堆積速度である。ここで、SiH4の流量
とO2の流量とは等しくした。RFおよびマイクロ波パワー
はそれぞれ200Wとした。
○印でプロツトしたグラフはArガスを導入せずしかも基
板電極12にRFパワーを与えない場合を示し、□印でプロ
ツトしたグラフはArガス(30sccm)を導入すると共に基
板電極12にRFパワーを与えた場合を示している。流入率
が同じであるときの○印と□印の差がRFバイアスによる
エツチング率を意味している。
この図から、基板電極12に対するRFパワーの印加とArガ
スの添加により、SiO2の堆積速度が減少していることが
判る。減少した量はArによるエツチング量と考えられ
る。また、□印でプロツトしたグラフから、RFパワーを
一定とした時にSiH4とO2のトータル流量が少ないほど堆
積速度に対してエツチング速度の割合が増していること
が判る。すなわち、RFパワーを変えること以外に、SiH4
とO2とArの流量をコントロールすることによつても堆積
速度とエツチング速度の割合を大きく変化させることが
できる。また、マイクロ波パワーを変えることによつて
も、堆積速度とエツチング速度の割合を所望に制御する
ことができる。
その結果、平坦化の条件が設定しやすく、また、低いRF
パワーで平坦化を実現できるため、低ダメージ化が可能
である。すなわち、従来のバイアススパツタ法では、エ
ツチングとデボジシヨンを同程度に起こし、しかも速い
エツチング速度を得るためには、RFパワーを大きくする
必要があり素子のダメージが大きくなつていたが、本装
置によればこのような問題は生じない。
上述した例では、最初にO2ガスとSiH4ガスを用いて所定
のバイアス電圧を印加して凹凸のある基板上に所定膜厚
の膜を形成し、次いでバイアス電圧印加状態でさらにAr
ガスを加えることにより、堆積速度とエツチング速度を
ほぼ等しくなるように制御して薄膜を形成する工程につ
いて述べた。この他、上述の方法の応用にあたる他の実
施例としては次の二つの方法もある。その一つは、所定
のバイアス電圧を印加した状態で、最初から最後までO2
ガスおよびArガス並びにSiH4ガスを導入し、膜形成工程
の途中でArガスの流量を増加させることにより堆積速度
とエツチング速度をほぼ等しくなるように制御して薄膜
を形成する方法である。他の一つは、Arガスを全く使用
しないもので、O2ガス及びSiH4ガスを導入し、膜形成工
程の途中でバイアス電圧値を大きくすることにより堆積
速度とエツチング速度をほぼ等しくなるように制御して
薄膜を形成する方法である。これら二つの方法において
も、マイクロ波パワー、バイアス電圧の値、第1及び第
2ガス原料ガスの流量を変化させて膜堆積速度とエツチ
ング速度との相対関係を所望に制御してよいことはいう
までもない。
つぎに、配線金属間に絶縁膜が埋め込まれることにより
配線金属が表出した構造の平坦面を形成する例を第4図
に基づいて説明する。
第4図(A)は、能動素子等が形成されている基板31上
に第一の材料としてAl金属膜32を通常の堆積法例えばス
パツタ法により5000Åの膜厚に堆積し、その後レジスト
を1.5μm膜厚塗布し、これを通常のリングラフイ工程
によりパターニングしてレジストパターン33を形成した
ものである。第4図(B)は、レジストパターン33をマ
スクにして、CCl4により平行平板型ドライエツチング装
置でドライエツチングを行つて金属膜32をパターン加工
し、配線金属34を形成したものである。
つぎにこの試料基板を第1図の装置における基板電極12
上に試料基板16として載置する。そして、ガス導入機構
15からのO2を、ガス導入機構17からSiH4をそれぞれ導入
してプラズマを生成し1000ÅのSiO2を試料基板上に堆積
してSiO2の極薄い膜36を形成する。このとき、基板電極
12にはRFバイアスを印加していないので、エツチングは
行われていない。第4図(C)はこの工程を経たときの
状態を示している。
ついでガス導入機構15からO2ガスおよびArガスを導入し
ガス導入機構17からSiH4を導入すると共に、基板電極12
にRFバイアスを印加してSiO2を堆積させてSiO2膜35を形
成し、これと同時にそのSiO2膜35のエツチングを同時進
行させることによつて、第4図(D)のように、金属配
線34とほぼ面一にSiO2膜35を形成する。この際に、レジ
ストパターン33は露出され、そのサイドが少しエツチア
ウトされ、そのレジストパターン33上にもSiO2膜35が堆
積される。
なお、この工程においてSiH4とO2雰囲気の中でRFバイア
スを印加してもレジストパターン33がO2プラズマにより
アツシヤーされることがないのは、前工程においてSiO2
の極薄い薄膜36を予めレジストパターン33上に堆積して
あるからである。
この第4図(D)の構造を得た後はガス導入機構15から
はArの導入を停止し、ガス導入機構17からのSiH4の導入
を停止し、O2プラズマによりレジストパターン33を剥離
してその上の絶縁膜35を除去することにより第4図
(E)に示す目的の構造を得る。
なお、第4図(C)の構造を形成した工程においてSiO2
薄膜36上にさらにSiO2を堆積して第4図(F)のように
比較的厚いSiO2膜37を堆積した後、ArイオンによりSiO2
膜37をエツチングしてレジストパターン33を第4図
(G)のように露出させることもできる。この際に、レ
ジストパターン33のサイドが少しエツチアウトされ、そ
のレジストパターン33上にもSiO2膜37aが堆積される。
レジストパターン33を露出させた後は上述したようにO2
プラズマによりレジストパターン33を剥離してその上の
SiO2膜37aを除去することにより第4図(E)の構造を
得る。
このように、レジストを使つた平坦化プロセスに本実施
例の薄膜形成装置を適用すると、同一真空槽内で絶縁膜
堆積からリフトオフまでの一連の工程を経ることがで
き、これまでのリフトオフと比較してスループツトが良
いという利点がある。また、Arイオンによりエツチング
してレジストを露出するので、レジストの形状に依存し
ないという利点もある。
以上説明したように本発明の薄膜形成方法によれば、プ
ラズマ発生室と試料室とを分離し、さらに基板電極にRF
等のバイアス電圧を印加することによりイオンを制御し
て基板電極上に置かれた試料に膜堆積を行なうので、次
のような効果が得られる。
(i)膜堆積粒子が試料に対して垂直に飛来し、高い方
向性を有するので、アスペクト比が1.0以上になるサブ
ミクロン配線の平坦化が可能である。
(ii)RFパワーを変えること以外に、原料ガスとArガス
の流量をコントロールすることによつても堆積速度とエ
ツチング速度の割合を大きく変化させることができる。
その結果、平坦化の条件が設定しやすく、また、低いRF
パワーで平坦化を実現できるため、低ダメージ化が可能
である。
(iii)SiO2を堆積する場合、RFバイアスを印加するこ
とによつて、O2イオンのスパツタリング効果が生じる。
Arイオンのみによつてエツチングが生じるバイアススパ
ツタ法とこの点が大きく異なる。Si、SiO2はO2イオンに
よりエツチングされるが、Al等の金属はエツチングされ
ない。従つて、堆積初期においてArを導入する必要がな
いので、平坦化プロセスの最初からRFパワーを印加可能
であり、平坦化の時間が短縮出来る。
(iv)試料基板を高温に曝すことがない。したがつて、
試料基板にダメージを与えることなく良質の薄膜を平坦
に形成することができる。また、イオン源と試料基板に
入射するイオンとを独立に制御することが可能なため、
堆積速度、エツチング速度共に自由に選択できる。
〔発明の効果〕
このように本発明による薄膜形成方法によれば、プラズ
マ発生室と試料室とを分割し、さらに基板電極にRF等の
バイアス電圧を印加することによりイオンを制御して基
板電極上に置かれた試料に膜堆積を行なうので、試料基
板を高温に曝すことがない。したがつて、試料基板にダ
メージを与えることなく良質の薄膜を平坦に形成するこ
とができる。また、イオン源と試料基板に入射するイオ
ンとを独立に制御することが可能なため、堆積速度、エ
ツチング速度共に自由に選択できる。また、高いアスペ
クト比の線間を埋め込むことができ、かつ、その表面を
平坦に形成できる。
【図面の簡単な説明】
第1図は本発明に係る薄膜形成方法の一実施例を行なう
ための装置の構成図、第2図はこの実施例の工程を示す
試料基板の断面図、第3図はSiH4とO2の流量とSiO2堆積
速度との関係を示すグラフ、第4図は本発明の他の実施
例の工程を示す試料基板の断面図、第5図は本発明に使
用する装置の他の例を示す構成図、第6図はバイアスス
パツタ法を実施するための従来装置の構成図である。 11……バイアス電源、12……基板電極、13……プラズマ
発生室、14……試料室、15,17……ガス導入機構、16…
…試料基板、19……窓、21……半導体基板、22……絶縁
膜、23……配線金属、24……SiO2絶縁膜、24a……凸部
分。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭56−155535(JP,A) 特開 昭58−151031(JP,A) 特開 昭58−95821(JP,A)

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】ガスを導入してマイクロ波電子サイクロト
    ロン法によりプラズマを発生させるプラズマ発生室と、
    試料基板を載置するための基板電極を内部に持ち前記プ
    ラズマ発生室と一部において連通し前記試料基板に付着
    すべき絶縁薄膜の原料の供給源を有する試料室と、前記
    基板電極に所定のバイアスを印加するバイアス電源とを
    備えた装置を用いて、金属配線を形成した試料基板に前
    記絶縁薄膜を形成する薄膜形成方法において、 前記試料室に原料となる第1のガスとしてシリコンを含
    むガスを導入し、前記プラズマ発生室に第2のガスとし
    て酸素ガスを導入してプラズマを生成し、前記基板電極
    にバイアスを印加することにより前記酸素ガスのイオン
    による前記絶縁薄膜のスパッタエッチングを行いながら
    薄膜を形成する工程を含むことを特徴とする薄膜形成方
    法。
  2. 【請求項2】ガスを導入してマイクロ波電子サイクロト
    ロン法によりプラズマを発生させるプラズマ発生室と、
    試料基板を載置するための基板電極を内部に持ち前記プ
    ラズマ発生室と一部において連通し前記試料基板に付着
    すべき絶縁薄膜の原料の供給源を有する試料室と、前記
    基板電流に所定のバイアスを印加するバイアス電源とを
    備えた装置を用いて、金属配線を形成した試料基板に前
    記絶縁薄膜を形成する薄膜形成方法において、 前記試料室に原料となる第1のガスとしてシリコンを含
    むガスを導入し、前記プラズマ発生室に第2のガスとし
    て酸素ガスを導入してプラズマを生成し、前記基板電極
    にバイアスを印加することにより前記酸素ガスのイオン
    による前記絶縁薄膜のスパッタエッチングを行いながら
    薄膜を形成する工程と、 次に、前記試料室に原料となる第1のガスとしてシリコ
    ンを含むガスを導入し、前記プラズマ発生室に第2のガ
    スとして酸素ガスとアルゴンガスとを導入してプラズマ
    を生成し、前記基板電極にバイアスを印加することによ
    り前記酸素ガスおよび前記アルゴンガスのイオンによる
    前記絶縁薄膜のスパッタエッチングを行いながら薄膜を
    形成する工程とを含むことを特徴とする薄膜形成方法。
JP60057569A 1985-03-23 1985-03-23 薄膜形成方法 Expired - Lifetime JPH0697660B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP60057569A JPH0697660B2 (ja) 1985-03-23 1985-03-23 薄膜形成方法
US06/842,244 US4732761A (en) 1985-03-23 1986-03-21 Thin film forming apparatus and method
DE3609681A DE3609681C2 (de) 1985-03-23 1986-03-21 Verfahren zur Dünnfilmerzeugung
GB8607278A GB2173822B (en) 1985-03-23 1986-03-24 Thin film forming apparatus and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP60057569A JPH0697660B2 (ja) 1985-03-23 1985-03-23 薄膜形成方法

Publications (2)

Publication Number Publication Date
JPS61218134A JPS61218134A (ja) 1986-09-27
JPH0697660B2 true JPH0697660B2 (ja) 1994-11-30

Family

ID=13059470

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60057569A Expired - Lifetime JPH0697660B2 (ja) 1985-03-23 1985-03-23 薄膜形成方法

Country Status (4)

Country Link
US (1) US4732761A (ja)
JP (1) JPH0697660B2 (ja)
DE (1) DE3609681C2 (ja)
GB (1) GB2173822B (ja)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5182235A (en) * 1985-02-20 1993-01-26 Mitsubishi Denki Kabushiki Kaisha Manufacturing method for a semiconductor device having a bias sputtered insulating film
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US5038712A (en) * 1986-09-09 1991-08-13 Canon Kabushiki Kaisha Apparatus with layered microwave window used in microwave plasma chemical vapor deposition process
JPH0676666B2 (ja) * 1987-02-10 1994-09-28 株式会社半導体エネルギ−研究所 炭素膜作製方法
US5277939A (en) * 1987-02-10 1994-01-11 Semiconductor Energy Laboratory Co., Ltd. ECR CVD method for forming BN films
JP2695778B2 (ja) * 1987-03-18 1998-01-14 株式会社東芝 薄膜形成方法
KR880013424A (ko) * 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
KR920008222B1 (ko) * 1987-05-12 1992-09-25 마쯔시다덴기산교 가부시기가이샤 자기기록매체 및 그 제조방법 및 제조장치
EP0299249A1 (en) * 1987-07-16 1989-01-18 Texas Instruments Incorporated Processing apparatus and method
EP0303030A1 (en) * 1987-07-16 1989-02-15 Texas Instruments Incorporated Processing apparatus and method
JP2637110B2 (ja) * 1987-08-05 1997-08-06 株式会社東芝 薄膜形成方法
US4882023A (en) * 1988-03-14 1989-11-21 Motorola, Inc. Method and system for producing thin films
GB2217349B (en) * 1988-03-29 1992-06-24 Univ Hull Vapour deposited self-sealing ceramic coatings
FR2643508B1 (fr) * 1988-08-24 1995-10-27 Mitsubishi Electric Corp Procede de fabrication d'un dispositif semiconducteur comprenant une electrode en metal refractaire sur un substrat semi-isolant
JPH02138750A (ja) * 1988-08-24 1990-05-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5016565A (en) * 1988-09-01 1991-05-21 Canon Kabushiki Kaisha Microwave plasma chemical vapor deposition apparatus for forming functional deposited film with means for stabilizing plasma discharge
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JPH0362517A (ja) * 1989-03-27 1991-03-18 Anelva Corp マイクロ波プラズマ処理装置
JPH0336723A (ja) * 1989-07-04 1991-02-18 Fujitsu Ltd 半導体装置の製造方法及び電子サイクロトロン共鳴エッチング装置
DE69031854T2 (de) * 1989-08-31 1998-04-16 At & T Corp Methode und Vorrichtung zur Ablagerung einer isolierten Schicht
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JPH0414831A (ja) * 1990-05-08 1992-01-20 Sony Corp 配線形成方法
FR2664294B1 (fr) * 1990-07-06 1992-10-23 Plasmametal Procede de metallisation d'une surface.
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
US5290399A (en) * 1991-02-05 1994-03-01 Advanced Micro Devices, Inc. Surface planarizing methods for integrated circuit devices
JP3362397B2 (ja) * 1991-03-28 2003-01-07 ソニー株式会社 ポリッシュによる平坦化工程を含む電子装置の製造方法
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
DE69231390D1 (de) * 1991-06-10 2000-10-05 At & T Corp Anisotropische Ablagerung von Dielektrika
JPH0562967A (ja) * 1991-09-02 1993-03-12 Sharp Corp 半導体装置の製造方法
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
US5482611A (en) * 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
JP3211290B2 (ja) * 1991-10-21 2001-09-25 ソニー株式会社 半導体装置の形成方法
WO1993018201A1 (en) * 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
KR960002073B1 (ko) * 1992-06-10 1996-02-10 삼성전자주식회사 반도체 장치의 제조방법
US5510088A (en) * 1992-06-11 1996-04-23 The United States Of America As Represented By The Secretary Of The Navy Low temperature plasma film deposition using dielectric chamber as source material
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5448111A (en) * 1993-09-20 1995-09-05 Fujitsu Limited Semiconductor device and method for fabricating the same
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
JPH08335573A (ja) * 1995-04-05 1996-12-17 Tokyo Electron Ltd プラズマ成膜方法及びその装置
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
EP0870327B1 (en) * 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US5976993A (en) 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6232216B1 (en) * 1996-04-16 2001-05-15 Nippon Telegraph And Telephone Corporation Thin film forming method
US6599847B1 (en) * 1996-08-27 2003-07-29 Taiwan Semiconductor Manufacturing Company Sandwich composite dielectric layer yielding improved integrated circuit device reliability
JPH1079483A (ja) * 1996-09-04 1998-03-24 Oki Electric Ind Co Ltd 半導体素子の製造方法
JP3402972B2 (ja) 1996-11-14 2003-05-06 東京エレクトロン株式会社 半導体装置の製造方法
WO1998021749A1 (fr) 1996-11-14 1998-05-22 Tokyo Electron Limited Procede de nettoyage d'un dispositif de traitement au plasma et procede de traitement au plasma
JPH10144668A (ja) 1996-11-14 1998-05-29 Tokyo Electron Ltd プラズマ処理方法
JP3409984B2 (ja) 1996-11-14 2003-05-26 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JP3400918B2 (ja) 1996-11-14 2003-04-28 東京エレクトロン株式会社 半導体装置の製造方法
JP3368159B2 (ja) * 1996-11-20 2003-01-20 東京エレクトロン株式会社 プラズマ処理装置
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6284677B1 (en) * 1997-04-18 2001-09-04 United Semiconductor Corp. Method of forming fluorosilicate glass (FSG) layers with moisture-resistant capability
KR100240879B1 (ko) * 1997-05-17 2000-01-15 윤종용 반도체 장치의 평탄화 방법
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6129819A (en) * 1998-11-25 2000-10-10 Wafertech, Llc Method for depositing high density plasma chemical vapor deposition oxide in high aspect ratio gaps
US6251795B1 (en) * 1999-04-08 2001-06-26 Wafertech, L.L.C. Method for depositing high density plasma chemical vapor deposition oxide with improved topography
US6043152A (en) * 1999-05-14 2000-03-28 Taiwan Semiconductor Manufacturing Company Method to reduce metal damage in the HDP-CVD process by using a sacrificial dielectric film
US6255210B1 (en) 1999-06-25 2001-07-03 Philips Electronics North America Corp. Semiconductor dielectric structure and method for making the same
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
TW478099B (en) 2000-11-03 2002-03-01 Applied Materials Inc Shallow trench isolation manufacture method
KR100375984B1 (ko) * 2001-03-06 2003-03-15 삼성전자주식회사 플레이트 어셈블리 및 이를 갖는 가공 장치
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP3686067B2 (ja) * 2003-10-28 2005-08-24 Tdk株式会社 磁気記録媒体の製造方法
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7456111B2 (en) * 2004-11-16 2008-11-25 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US20080254613A1 (en) * 2007-04-10 2008-10-16 Applied Materials, Inc. Methods for forming metal interconnect structure for thin film transistor applications
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6371354B2 (ja) * 2016-09-30 2018-08-08 本田技研工業株式会社 被膜形成装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2119930B1 (ja) * 1970-12-31 1974-08-19 Ibm
US3804738A (en) * 1973-06-29 1974-04-16 Ibm Partial planarization of electrically insulative films by resputtering
NL7701559A (nl) * 1977-02-15 1978-08-17 Philips Nv Het maken van schuine hellingen aan metaal- patronen, alsmede substraat voor een geinte- greerde schakeling voorzien van een dergelijk patroon.
JPS5613480A (en) * 1979-07-13 1981-02-09 Hitachi Ltd Dry etching apparatus
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS56155535A (en) * 1980-05-02 1981-12-01 Nippon Telegr & Teleph Corp <Ntt> Film forming device utilizing plasma
JPS5779621A (en) * 1980-11-05 1982-05-18 Mitsubishi Electric Corp Plasma processing device
CA1169022A (en) * 1982-04-19 1984-06-12 Kevin Duncan Integrated circuit planarizing process

Also Published As

Publication number Publication date
JPS61218134A (ja) 1986-09-27
US4732761A (en) 1988-03-22
GB8607278D0 (en) 1986-04-30
GB2173822B (en) 1989-08-09
DE3609681C2 (de) 2000-09-07
DE3609681A1 (de) 1986-10-16
GB2173822A (en) 1986-10-22

Similar Documents

Publication Publication Date Title
JPH0697660B2 (ja) 薄膜形成方法
US5124014A (en) Method of forming oxide layers by bias ECR plasma deposition
US5314575A (en) Etching method and apparatus
US4333793A (en) High-selectivity plasma-assisted etching of resist-masked layer
JPH07101687B2 (ja) 絶縁体の堆積方法
JP3213803B2 (ja) 高密度プラズマエッチング装置を用いた半導体のスロープコンタクトホール形成方法
JP3080843B2 (ja) 薄膜形成方法及び装置
US6156663A (en) Method and apparatus for plasma processing
JP3400918B2 (ja) 半導体装置の製造方法
JP2757546B2 (ja) Feを含む物質のエッチング方法およびエッチング装置
JPH11168090A (ja) 半導体製造方法
JPH08124902A (ja) プラズマ処理装置
JPH0750688B2 (ja) 薄膜形成法
JPH09172079A (ja) 半導体装置およびその製造方法
JPS63233549A (ja) 薄膜形成法
US5110410A (en) Zinc sulfide planarization
JPH0518906B2 (ja)
JPH1140545A (ja) 半導体装置の製造方法
JPH0637058A (ja) ドライエッチング方法
JPH08115903A (ja) 半導体装置の製造方法およびプラズマエッチング装置
JP3164789B2 (ja) 高融点金属膜のドライエッチング方法
JP2785379B2 (ja) 薄膜形成方法
JP3251439B2 (ja) エッチング方法
JPS63161624A (ja) プラズマ気相成長法
JP2539422B2 (ja) プラズマcvd平坦化成膜方法

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term