KR100240879B1 - 반도체 장치의 평탄화 방법 - Google Patents

반도체 장치의 평탄화 방법 Download PDF

Info

Publication number
KR100240879B1
KR100240879B1 KR1019970019115A KR19970019115A KR100240879B1 KR 100240879 B1 KR100240879 B1 KR 100240879B1 KR 1019970019115 A KR1019970019115 A KR 1019970019115A KR 19970019115 A KR19970019115 A KR 19970019115A KR 100240879 B1 KR100240879 B1 KR 100240879B1
Authority
KR
South Korea
Prior art keywords
insulating film
regions
insulating
film
semiconductor device
Prior art date
Application number
KR1019970019115A
Other languages
English (en)
Other versions
KR19980083713A (ko
Inventor
김창규
최지현
홍석지
Original Assignee
윤종용
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자주식회사 filed Critical 윤종용
Priority to KR1019970019115A priority Critical patent/KR100240879B1/ko
Priority to TW087107348A priority patent/TW388084B/zh
Priority to JP13328198A priority patent/JP3636887B2/ja
Priority to CN98114960A priority patent/CN1110071C/zh
Priority to EP98303917A priority patent/EP0878836B1/en
Priority to US09/080,874 priority patent/US6214735B1/en
Priority to DE69837059T priority patent/DE69837059T2/de
Publication of KR19980083713A publication Critical patent/KR19980083713A/ko
Application granted granted Critical
Publication of KR100240879B1 publication Critical patent/KR100240879B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 반도체 장치의 제조 공정에서 사용되는 층간절연막을 평탄화할 수 있는 반도체 장치의 평탄화 방법에 관한 것으로, 반도체 장치의 평탄화 방법은, 제 1 영역들이 그 자신과 인접한 제 2 영역들 보다 상대적으로 높은 단차를 갖도록 형성된 반도체 기판을 준비하는 공정과, 제 1 영역들을 포함하여 제 2 영역들 상에 제 1 및 제 2 절연막을 순차적으로 형성하는 공정과, 제 1 영역들의 상부 에지 부분의 제 1 절연막이 소정 부분 노출되도록 제 1 영역들의 상부 에지 부분의 제 1 및 제 2 절연막을 식각하는 공정과, 제 1 절연막을 포함하여 제 2 절연막 상에 제 3 절연막을 형성하되, 제 2 절연막은 제 1 및 제 3 절연막 보다 상대적으로 높은 식각비를 갖는 공정과, 제 1 절연막의 상부 표면이 노출되도록 제 3 및 제 2 절연막을 습식 식각하는 공정을 포함한다. 이와 같은 방법에 의해서, 반도체 장치의 절연막을 평탄화할 수 있고, 따라서, CMP 공정을 수행함에 따라 발생되는 디싱 현상 및 연마제에 의한 반도체 장치의 오염, 그리고 파티클 등의 문제점을 해결할 수 있다.

Description

반도체 장치의 평탄화 방법
본 발명은 반도체 장치의 평탄화 방법에 관한 것으로, 좀 더 구체적으로는, 반도체 장치의 층간절연 또는 소자간 절연을 위해 사용되는 층간절연막(Inter Layer Dielectrics)을 평탄화하는 반도체 장치의 평탄화 방법에 관한 것이다.
반도체 장치가 점차 고집적화되어 감에 따라 반도체 장치의 제조에 있어서는 층간절연막과 같은 절연막의 평탄화 기술이 해결해야 할 중요한 과제로 대두되고 있다.
특히, 근래에는 반도체 장치의 소자간 분리를 위해서 널리 사용되는 LOCOS 공정이 STI(Shallow Trench Isolation) 공정으로 대체되고 있는 추세이고, 또한, 소자간의 단차가 증가함에 따른 층간절연막의 단차 증가로 인해 다양한 방법의 평탄화 기술이 요구되고 있다.
이와 같은, 절연막을 평탄화하기 위한 방법으로는 BPSG(Boron Phosphorus Silicate Glass)막 리플로우(reflow), SOG(Spin On Glass) 또는 포토레지스트(photoresist) 에치백(etch back), 그리고 CMP(Chemical Mechanical Polishing) 공정 등이 널리 사용되고 있다.
특히, CMP 공정은 리플로우 또는 에치백 공정으로는 평탄화시킬 수 없는 넓은 영역(wide space)을 평탄화시킬 수 있고, 또한 저온 상태에서 평탄화 공정이 수행된다는 장점 때문에 상술한 여러가지 평탄화 기술 가운데 가장 널리 사용되고 있다.
그러나, CMP 공정은 그 동작 특성상 절연막의 구조가 접시 모양을 갖게 되는 디싱(dishing)현상 및 연마제(slurry)에 의한 반도체 장치의 오염(contamination), 그리고 파티클(particle) 등의 문제점이 발생된다 (US Patent No 5,064,683, "METHOD FOR POLISHING PLANARIZING A SEMICONDUCTOR SUBSTRATE BY USING A BORON NITRIDE POLISH STOP").
상술한 문제점을 해결하기 위해 제안된 본 발명은, 반도체 장치의 층간절연 또는 소자간 절연을 위해 사용되는 절연막을 평탄화할 수 있는 반도체 장치의 평탄화 방법을 제공하는 데 그 목적이 있다.
도 1A 내지 도 1F는 본 발명의 일 실시예에 따른 반도체 장치의 평탄화 방법을 순차적으로 보여주는 공정도;
도 2A 내지 도 2E는 본 발명의 다른 실시예에 따른 반도체 장치의 평탄화 방법을 순차적으로 보여주는 공정도;
* 도면의 주요 부분에 대한 부호 설명
10, 100 : 기판12 : 금속 배선막 패턴
14, 16, 18, 140, 160, 180 : 절연막120 : 트렌치
(구성)
상술한 바와 같은 목적을 달성하기 위한 본 발명에 의하면, 반도체 장치의 평탄화 방법은, 제 1 영역들이 그 자신과 인접한 제 2 영역들 보다 상대적으로 높은 단차를 갖도록 형성된 반도체 기판을 준비하는 공정과; 제 1 영역들을 포함하여 제 2 영역들 상에 제 1 및 제 2 절연막을 순차적으로 형성하는 공정과; 제 1 영역들의 상부 에지 부분의 제 1 절연막이 소정 부분 노출되도록 제 1 영역들의 상부 에지 부분의 제 1 및 제 2 절연막을 식각하는 공정과; 제 1 절연막을 포함하여 제 2 절연막상에 제 3 절연막을 형성하되, 제 2 절연막은 제 1 및 제 3 절연막 보다 상대적으로 높은 식각비를 갖는 공정과; 제 1 절연막의 상부 표면이 노출되도록 제 3 및 제 2 절연막을 습식 식각하는 공정을 포함한다.
이 방법의 바람직한 실시예에 있어서, 제 1 및 제 2 절연막의 에지 부분은 아르곤 스퍼터링 방법으로 식각된다.
이 방법의 바람직한 실시예에 있어서, 제 2 절연막은 SiOF, PSG, BN, 그리고 BPSG막 중 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 제 3 절연막은 HDP CVD 산화막과 ECR CVD 산화막 중, 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 제 1 영역들은 IMD 패턴 영역이고, 제 2 영역들은 반도체 기판이다.
이 방법의 바람직한 실시예에 있어서, 제 1 영역들은 반도체 기판이고, 제 2 영역들은 트렌치 영역이다.
상술한 목적을 달성하기 위한 본 발명에 의하면, 반도체 장치의 평탄화 방법은, 제 1 영역들이 그 자신과 인접한 제 2 영역들 보다 상대적으로 높은 단차를 갖도록 형성된 반도체 기판을 준비하는 공정과; 제 1 영역들을 포함하여 제 2 영역들 상에 제 1 및 제 2 절연막, 그리고 제 3 절연막을 순차적으로 형성하되, HDP 화학 기상 증착 또는 ECR 화학 기상 증착으로 형성하고, 제 2 절연막은 제 1 및 제 3 절연막 보다 상대적으로 높은 식각비를 갖는 공정과; 제 1 절연막의 상부 표면이 노출되도록 제 3 및 제 2 절연막을 습식 식각하는 공정을 포함한다.
이 방법의 바람직한 실시예에 있어서, 상기 제 2 절연막은 SiOF, PSG, BN, 그리고 BPSG막 중 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 제 3 절연막은 HDP CVD 산화막과 ECR CVD 산화막 중, 어느 하나이다.
이 방법의 바람직한 실시예에 있어서, 제 1 영역들은 IMD 패턴 영역이고, 제 2 영역들은 반도체 기판이다.
이 방법의 바람직한 실시예에 있어서, 제 1 영역들은 반도체 기판이고, 제 2 영역들은 트렌치 영역이다.
(작용)
이와 같은 방법에 의해서, 반도체 장치의 절연막을 평탄화할 수 있고, 따라서, CMP 공정을 수행함에 따라 발생되는 디싱 현상 및 연마제에 의한 반도체 장치의 오염, 그리고 파티클 등의 문제점을 해결할 수 있다.
(실시예)
이하, 본 발명의 바람직한 실시예를 첨부 도면 도 1 및 도 2에 의거해서 상세히 설명한다. 이때, 도 1A 내지 도 1F는 반도체 기판상에 금속 배선막 패턴이 형성되어 있는 경우의 절연막 평탄화의 예를 보이고, 도 2A 내지 도 2E는 반도체 기판에 트렌치가 형성되어 있는 경우의 절연막 평탄화의 예를 보인다.
먼저, 도 1A를 참조하면, 반도체 기판(10)상에는 서로 다른 크기를 갖는 금속 배선막 패턴(12)이 형성되어 있고, 상기 금속 배선막 패턴(12)을 포함하여 상기 반도체 기판(10)상에 제 1 절연막(14)을 형성한다.
그리고, 상기 제 1 절연막(14)상에 제 2 절연막(16)을 형성하는데, 이때, 상기 제 2 절연막(16)은 SiOF, PSG(Phosphorus Silicate Glass)막, BN(Boron Nitride)막, 그리고 BPSG(Boron Phosphorus Silicate Glass)막 중 어느 하나로 형성되고, 상기 제 1 절연막(14) 보다 상대적으로 높은 식각 선택비를 갖는다.
다음, 도 1B에 있어서, 상기 금속 배선막 패턴(12) 상부 에지 부분의 제 1 절연막(14)이 노출되도록 아르곤 스퍼터링(Ar sputtering) 공정을 수행하여 상기 금속 배선막 패턴(12) 상부 에지 부분의 제 1 및 제 2 절연막(14, 16)을 식각한다.
이어서, 상기 제 1 절연막(14)을 포함하여 제 2 절연막(16)상에 HDP CVD 산화막(High Density Plasma Chemical Vapor Deposition Oxide) 또는 ECR CVD 산화막(Electron Cyclotron Resonance Chemical Vapor Deposition Oxide)중 어느 하나로 도 1C에 도시된 바와 같이 제 3 절연막(18)을 형성한다.
여기에서, 상기 제 3 절연막(18)은 상기 제 2 절연막(16) 보다 상대적으로 낮은 식각 선택비를 갖는다. 또한, 상기 HDP CVD 산화막 또는 ECR CVD 산화막은 막의 증착(deposition)과 동시에 식각(etching)이 이루어지기 때문에, 패턴의 크기가 큰 패턴(12a)상에는 단차가 높고 크기가 큰 막이 형성되고, 패턴의 크기가 작은 패턴(12b, 12c)상에는 단차가 낮고 크기가 작은 막이 도 1C에 도시된 바와 같은 프러파일(profile)을 갖도록 형성된다.
다음, 도 1D 내지 도 1F는 절연막들을 습식식각하여 평탄화하는 한 스텝의 공정을 진행 단계별로 도시한 것으로서, 먼저, 도 1D를 참조하면, 상기 제 3 절연막(18)의 습식 식각(wet etch) 공정을 수행하면 제 3 절연막(18)의 단차가 낮은 부위, 즉 금속 배선막 패턴(12)이 형성되어 있지 않은 부분의 제 2 절연막(16)이 먼저 노출되게 된다.
이어서, 도 1E에 있어서, 습식 식각 공정을 계속적으로 진행하면, 이미 언급한 바와 같이 상기 제 2 절연막(16)이 상기 제 1 및 제 3 절연막(14, 18) 보다 상대적으로 높은 식각 선택비를 갖기 때문에 제 3 절연막(18)이 제 2 절연막(16)의 상부에 존재하더라도 노출된 부위의 제 2 절연막(16)이 먼저 식각된다. 즉, 크기가 큰 금속 배선막 패턴(12a)의 상부에 형성된 제 3 절연막 하부의 제 2 절연막은 상기 제 3 절연막의 하부로 식각이 진행된다.
계속해서, 습식 식각 공정을 수행하여 상기 금속 배선막 패턴(12) 상부의 노출된 제 2 절연막(16)이 완전히 식각되면, 상기 크기가 큰 금속 배선막 패턴(12a)의 상부에 존재하는 제 3 절연막(18)이 완전히 식각되지 않더라도 그 하부의 제 2 절연막(16)이 완전히 식각되기 때문에 도 1F에 도시된 바와 같이 금속 배선막 패턴(12)의 상부에 형성된 제 3 절연막(18) 및 제 2 절연막(16)이 모두 이 제거된다.
이때, 크기가 작은 금속 배선막 패턴(12b, 12c) 상부의 제 3 절연막은 상기 습식식각 공정에 의해 모두 제거되는데, 만일 제거되지 않더라도 그 하부의 제 2 절연막이 습식식각 공정에 의해 식각되기 때문에 그 상부의 제 3 절연막들도 제거된다.
또한, 도 2A 내지 도 2E에 도시되어 있는 바와 같이, 트렌치가 형성된 반도체 기판의 절연막 평탄화 방법은 상술한 금속 배선막 패턴의 절연막 평탄화 방법과 동일하다.
도 2A를 참조하면, 반도체 기판(100)을 식각하여 형성된 트렌치(120)를 포함하여 반도체 기판(100)상에 제 1 및 제 2 절연막(140, 160)을 순차적으로 형성하고, 이어서, 도 2B에 도시된 바와 같이 상기 트렌치(120) 상부 에지 부위를 아르곤 스퍼터링 공정으로 식각한다.
다음, 도 2C에 있어서, 상기 제 1 절연막(140)을 포함하여 제 2 절연막(160)상에 HDP CVD 산화막 또는 ECR CVD 산화막으로 제 3 절연막(180)을 형성한다.
그리고, 도 2D 및 도 2E에 도시된 바와 같이 습식 식각 공정을 수행하면, 상기 제 2 절연막(160)은 상기 제 1 및 제 3 절연막(140, 180) 보다 상대적으로 높은 식각 선택비를 갖는 SiOF, PSG막, BN막, 그리고 BPSG막 중 어느 하나로 형성되기 때문에 상기 트렌치(120) 영역을 제외한 반도체 기판(100)상의 제 2 절연막(160)이 먼저 식각되면서 절연막의 평탄화가 이루어진다.
한편, 상술한 바와 같이 금속 배선막 패턴(12) 또는 트렌치(120)를 갖는 반도체 장치의 상기 제 1 및 제 2 절연막, 그리고 제 3 절연막을 HDP 화학 기상 증착 장치 또는 ECR 화학 기상 증착 장치를 이용하여 형성하면 금속 배선막 패턴(12) 또는 트렌치(120) 상부 에지 부분의 절연막들을 식각하기 위한 아르곤 스퍼터링 공정을 생략할 수 있다.
왜냐하면, HDP 화학 기상 증착 장치 또는 ECR 화학 기상 증착 장치는 형성하고자 하는 소정의 막을 증착함과 동시에 식각하면서 형성할 수 있고, 특히, 패턴의 상부 에지 영역에서 가장 큰 식각률을 갖기 때문에 도 1B 또는 도 2B에 도시된 바와 같은 불연속적인 절연막을 형성할 수 있기 때문이다.
그리고, 이 경우에 있어서도 제 2 절연막은 제 1 및 제 3 절연막 보다 상대적으로 높은 식각 선택비를 갖는 SiOF, PSG막, BN막, 그리고 BPSG막 중 어느 하나로 형성되고, 제 3 절연막은 HDP CVD 산화막 또는 ECR CVD 산화막으로 형성된다.
상술한 바와 같은 반도체 장치의 평탄화 방법에 의해서, 반도체 장치의 절연막을 평탄화할 수 있고, 따라서, CMP 공정을 수행함에 따라 발생되는 디싱 현상 및 연마제에 의한 반도체 장치의 오염, 그리고 파티클 등의 문제점을 해결할 수 있다.

Claims (11)

  1. 제 1 영역들이 그 자신과 인접한 제 2 영역들 보다 상대적으로 높은 단차를 갖도록 형성된 반도체 기판을 준비하는 공정과;
    제 1 영역들을 포함하여 제 2 영역들 상에 제 1 및 제 2 절연막을 순차적으로 형성하는 공정과;
    제 1 영역들의 상부 에지 부분의 제 1 절연막이 소정 부분 노출되도록 제 1 영역들의 상부 에지 부분의 제 1 및 제 2 절연막을 식각하는 공정과;
    제 1 절연막을 포함하여 제 2 절연막상에 제 3 절연막을 형성하되, 제 2 절연막은 제 1 및 제 3 절연막 보다 상대적으로 높은 식각비를 갖는 공정과;
    제 1 절연막의 상부 표면이 노출되도록 제 3 및 제 2 절연막을 습식 식각하는 공정을 포함하는 반도체 장치의 평탄화 방법.
  2. 제 1 항에 있어서,
    제 1 및 제 2 절연막의 에지 부분은 아르곤 스퍼터링 방법으로 식각되는 반도체 장치의 평탄화 방법.
  3. 제 1 항에 있어서,
    제 2 절연막은 SiOF, PSG, BN, 그리고 BPSG막 중 어느 하나인 반도체 장치의 평탄화 방법.
  4. 제 1 항에 있어서,
    제 3 절연막은 HDP CVD 산화막과 ECR CVD 산화막 중, 어느 하나인 반도체 장치의 평탄화 방법.
  5. 제 1 항에 있어서,
    제 1 영역들은 IMD 패턴 영역이고, 제 2 영역들은 반도체 기판인 반도체 장치의 평탄화 방법.
  6. 제 1 항에 있어서,
    제 1 영역들은 반도체 기판이고, 제 2 영역들은 트렌치 영역인 반도체 장치의 평탄화 방법.
  7. 제 1 영역들이 그 자신과 인접한 제 2 영역들 보다 상대적으로 높은 단차를 갖도록 형성된 반도체 기판을 준비하는 공정과;
    제 1 영역들을 포함하여 제 2 영역들 상에 제 1 및 제 2 절연막, 그리고 제 3 절연막을 순차적으로 형성하되, 제 2 절연막은 제 1 및 제 3 절연막 보다 상대적으로 높은 식각비를 갖는 공정과;
    제 1 절연막의 상부 표면이 노출되도록 제 3 및 제 2 절연막을 습식 식각하는 공정을 포함하는 반도체 장치의 평탄화 방법.
  8. 제 7 항에 있어서,
    상기 제 2 절연막은 SiOF, PSG, BN, 그리고 BPSG막 중 어느 하나인 반도체 장치의 평탄화 방법.
  9. 제 7 항에 있어서,
    제 3 절연막은 HDP CVD 산화막과 ECR CVD 산화막 중, 어느 하나인 반도체 장치의 평탄화 방법.
  10. 제 7 항에 있어서,
    제 1 영역들은 IMD 패턴 영역이고, 제 2 영역들은 반도체 기판인 반도체 장치의 평탄화 방법.
  11. 제 7 항에 있어서,
    제 1 영역들은 반도체 기판이고, 제 2 영역들은 트렌치 영역인 반도체 장치의 평탄화 방법.
KR1019970019115A 1997-05-17 1997-05-17 반도체 장치의 평탄화 방법 KR100240879B1 (ko)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1019970019115A KR100240879B1 (ko) 1997-05-17 1997-05-17 반도체 장치의 평탄화 방법
TW087107348A TW388084B (en) 1997-05-17 1998-05-12 A method for planarizing a semiconductor substrate
JP13328198A JP3636887B2 (ja) 1997-05-17 1998-05-15 半導体基板の平坦化方法
CN98114960A CN1110071C (zh) 1997-05-17 1998-05-17 平面化半导体基片的方法
EP98303917A EP0878836B1 (en) 1997-05-17 1998-05-18 Planarising a semiconductor substrate
US09/080,874 US6214735B1 (en) 1997-05-17 1998-05-18 Method for planarizing a semiconductor substrate
DE69837059T DE69837059T2 (de) 1997-05-17 1998-05-18 Planarisierung von einem Halbleitersubstrat

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019970019115A KR100240879B1 (ko) 1997-05-17 1997-05-17 반도체 장치의 평탄화 방법

Publications (2)

Publication Number Publication Date
KR19980083713A KR19980083713A (ko) 1998-12-05
KR100240879B1 true KR100240879B1 (ko) 2000-01-15

Family

ID=19506154

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970019115A KR100240879B1 (ko) 1997-05-17 1997-05-17 반도체 장치의 평탄화 방법

Country Status (7)

Country Link
US (1) US6214735B1 (ko)
EP (1) EP0878836B1 (ko)
JP (1) JP3636887B2 (ko)
KR (1) KR100240879B1 (ko)
CN (1) CN1110071C (ko)
DE (1) DE69837059T2 (ko)
TW (1) TW388084B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100295782B1 (ko) * 1999-07-03 2001-07-12 윤종용 얕은 트렌치 소자분리 방법
KR100547242B1 (ko) * 1999-12-22 2006-02-01 주식회사 하이닉스반도체 보이드를 방지한 반도체 소자의 금속층간절연막 형성방법
US6737333B2 (en) * 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
KR100741887B1 (ko) * 2001-12-28 2007-07-23 매그나칩 반도체 유한회사 반도체 소자의 평탄화 방법
KR100832106B1 (ko) * 2006-12-05 2008-05-27 삼성전자주식회사 반도체 소자의 제조방법
KR100835420B1 (ko) * 2006-12-27 2008-06-04 동부일렉트로닉스 주식회사 반도체장치의 제조방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1165014A (en) * 1981-04-13 1984-04-03 Kei Kurosawa Method for manufacturing semiconductor device
JPS57204133A (en) * 1981-06-10 1982-12-14 Hitachi Ltd Manufacture of semiconductor integrated circuit
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4642162A (en) * 1986-01-02 1987-02-10 Honeywell Inc. Planarization of dielectric layers in integrated circuits
FR2620861B1 (fr) * 1987-09-22 1990-01-19 Schiltz Andre Procede de realisation d'isolement lateral a structure plane
US4876217A (en) * 1988-03-24 1989-10-24 Motorola Inc. Method of forming semiconductor structure isolation regions
GB2216336A (en) * 1988-03-30 1989-10-04 Philips Nv Forming insulating layers on substrates
JP3128811B2 (ja) * 1990-08-07 2001-01-29 セイコーエプソン株式会社 半導体装置の製造方法
FR2680276B1 (fr) * 1991-08-05 1997-04-25 Matra Mhs Procede de controle du profil de gravure d'une couche d'un circuit integre.
US5286518A (en) * 1992-04-30 1994-02-15 Vlsi Technology, Inc. Integrated-circuit processing with progressive intermetal-dielectric deposition
US5541427A (en) * 1993-12-03 1996-07-30 International Business Machines Corporation SRAM cell with capacitor
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5872401A (en) * 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
US5946592A (en) * 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
US5920792A (en) * 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
TW434804B (en) * 1998-04-18 2001-05-16 United Microelectronics Corp Chemical mechanical polishing method of shallow trench isolation

Also Published As

Publication number Publication date
DE69837059T2 (de) 2008-02-07
JPH10326779A (ja) 1998-12-08
EP0878836A3 (en) 1999-09-15
CN1204866A (zh) 1999-01-13
DE69837059D1 (de) 2007-03-29
KR19980083713A (ko) 1998-12-05
EP0878836B1 (en) 2007-02-14
US6214735B1 (en) 2001-04-10
CN1110071C (zh) 2003-05-28
JP3636887B2 (ja) 2005-04-06
EP0878836A2 (en) 1998-11-18
TW388084B (en) 2000-04-21

Similar Documents

Publication Publication Date Title
EP0545263B1 (en) Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
US5817568A (en) Method of forming a trench isolation region
US6048775A (en) Method to make shallow trench isolation structure by HDP-CVD and chemical mechanical polish processes
US6248667B1 (en) Chemical mechanical polishing method using double polishing stop layer
US5728621A (en) Method for shallow trench isolation
US10937694B2 (en) Chamferless via structures
US6025279A (en) Method of reducing nitride and oxide peeling after planarization using an anneal
US6893937B1 (en) Method for preventing borderless contact to well leakage
US6541349B2 (en) Shallow trench isolation using non-conformal dielectric and planarizatrion
US6066550A (en) Method of improving selectivity between silicon nitride and silicon oxide
KR100240879B1 (ko) 반도체 장치의 평탄화 방법
KR100361102B1 (ko) 트렌치 아이솔레이션의 형성방법
JPH0945687A (ja) 基板表面の平坦化方法
WO1997039479A1 (en) Method for forming semiconductor field region dielectrics having globally planarized upper surfaces
KR19980075804A (ko) 반도체 장치의 평탄화 방법
KR100835406B1 (ko) 반도체 소자의 소자 분리막 제조 방법
JP3257511B2 (ja) ポリッシュ工程を備えた半導体装置の製造方法
KR100444310B1 (ko) 반도체소자의소자분리막제조방법
KR100478481B1 (ko) 반도체 소자의 평탄화 방법
KR19980040624A (ko) 보이드 없는 층간 절연막 형성방법
KR20010091086A (ko) 세리아 슬러리를 사용하는 반도체 소자의 평탄화 방법
KR20000033701A (ko) 얕은 트랜치 아이소레이션(sti) 형성시 평탄화하는 공정을포함하는 반도체장치의 제조방법
KR20040003649A (ko) 반도체소자의 평탄화방법
KR20040059928A (ko) 반도체소자 제조시의 갭 매립방법
KR100520504B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20071001

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee