CN108183071B - 采用ald填隙间隔物掩模的自对准多重图案化处理流程 - Google Patents

采用ald填隙间隔物掩模的自对准多重图案化处理流程 Download PDF

Info

Publication number
CN108183071B
CN108183071B CN201711112653.9A CN201711112653A CN108183071B CN 108183071 B CN108183071 B CN 108183071B CN 201711112653 A CN201711112653 A CN 201711112653A CN 108183071 B CN108183071 B CN 108183071B
Authority
CN
China
Prior art keywords
gap
substrate
core material
spacers
fill material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711112653.9A
Other languages
English (en)
Other versions
CN108183071A (zh
Inventor
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108183071A publication Critical patent/CN108183071A/zh
Application granted granted Critical
Publication of CN108183071B publication Critical patent/CN108183071B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及一种采用ALD填隙间隔物掩模的自对准多重图案化处理流程。本文描述了一种形成用于自对准多重图案化工艺的对称间隔物的方法和装置。方法包括通过原子层沉积在包括核心材料和目标层的图案化衬底上沉积填隙材料、平坦化衬底以及蚀刻核心材料以形成对称的间隔物。填隙材料可沉积持续时间不足以完全填充特征,使得特征被欠填充。

Description

采用ALD填隙间隔物掩模的自对准多重图案化处理流程
技术领域
本发明涉及半导体领域,更具体地涉及一种采用ALD填隙间隔物掩模
的自对准多重图案化处理流程。
背景技术
先进集成电路的制造通常涉及半导体大批量制造中的小特征的图案化。多重图案化技术可以基于诸如193nm浸没式光刻之类的光刻技术来实现特征尺寸缩放。自对准双重图案化是多重图案化技术的一个例子。
发明内容
本文提供了处理衬底诸如半导体衬底的方法。一个方面涉及处理衬底的方法,所述方法包括:提供具有被图案化以形成间隙的核心材料和目标层的衬底;将填隙材料保形地沉积在核心材料上,使得填隙材料沉积在衬底上的间隙中;平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面;和选择性蚀刻核心材料以形成用作掩模来蚀刻目标层的对称形状的间隔物。
在各种实施方式中,通过原子层沉积来沉积填隙材料。在一些实施方式中,填隙材料通过原子层沉积沉积持续不足以完全填充间隙的持续时间。
在一些实施方式中,间隙具有小于约x nm的开口,并且填隙材料被沉积持续足以将填隙材料沉积到介于约0.4×x nm和约0.5×x nm之间的厚度的持续时间。在一些实施方式中,x是50。在一些实施方式中,x小于50。
在一些实施方式中,填隙材料是氧化硅、氮化硅、碳化硅和氧化钛中的任何一种。
在一些实施方式中,掩模中对称形状的间隔物之间的关键尺寸小于约50nm。对称形状的间隔物可用于多重图案化技术。在一些实施方式中,沉积填隙材料并平坦化衬底减少距变(pitch walking)。在各种实施方式中,对称形状的间隔物包括填隙材料。
该方法还可以包括在沉积填隙材料之前在衬底上沉积另一个保形膜并定向蚀刻另一个保形膜以在核心材料的侧壁上形成侧壁间隔物。在各种实施方式中,选择性蚀刻衬底以形成对称形状的间隔物包括去除对侧壁间隔物有选择性的核心材料和填隙材料。选择性地蚀刻衬底以形成对称形状的间隔物可包括去除对填隙材料有选择性的核心材料。
在各种实施方式中,核心材料包括碳。核心材料可以是旋涂碳、类金刚石碳和/或填隙可灰化硬掩模中的任何一种。
在各种实施方式中,沉积填隙材料包括将衬底暴露于含硅前体和氧化等离子体的交替脉冲。
另一方面涉及一种方法,该方法包括:提供包括形成掩模的间隔物的衬底,每个间隔物包括与间隔物的顶表面垂直的侧壁,通过在核心材料的间隙中保形地沉积填隙材料形成间隔物,平坦化填隙材料和核心材料以形成平坦表面,并选择性地去除核心材料;以及使用间隔物作为掩模来蚀刻目标层。在各种实施方式中,掩模具有小于约50nm的间距。
在各种实施方式中,通过原子层沉积来沉积填隙材料。在一些实施方式中,填隙材料通过原子层沉积沉积持续不足以完全填充间隙的持续时间。
在一些实施方式中,填隙材料选自由氧化硅、氮化硅、碳化硅和氧化钛组成的组。
对称形状的间隔物可以用于多重图案化技术。在一些实施方式中,沉积填隙材料并平坦化衬底减少距变。在各种实施方式中,对称形状的间隔物包括填隙材料。
在各种实施方式中,核心材料包括碳。核心材料可以是旋涂碳、类金刚石碳和/或填隙可灰化硬掩模中的任何一种。
在各种实施方式中,沉积填隙材料包括将衬底暴露于含硅前体和氧化等离子体的交替脉冲。
另一个方面涉及一种方法,其包括:提供包括形成掩模的间隔物的衬底,每个间隔物包括以90°±5°的角度与间隔物的顶表面相交的侧壁,通过将填隙材料保形地沉积在核心材料的间隙中形成间隔物,将填隙材料和核心材料平坦化以形成平坦表面,并选择性地去除核心材料;以及使用间隔物作为掩模来蚀刻目标层。
在各种实施方式中,通过原子层沉积来沉积填隙材料。在一些实施方式中,填隙材料通过原子层沉积来沉积持续不足以完全填充间隙的持续时间。
在一些实施例中,填隙材料是氧化硅、氮化硅、碳化硅和氧化钛中的任何一种。
对称形状的间隔物可以用于多重图案化技术。在一些实施方式中,沉积填隙材料并平坦化衬底减少了距变。在各种实施方式中,对称形状的间隔物包括填隙材料。
在各种实施方式中,核心材料包括碳。核心材料可以是旋涂碳,类金刚石碳和/或填隙可灰化硬掩模中的任何一种。
在各种实施方式中,沉积填隙材料包括将衬底暴露于含硅前体和氧化等离子体的交替脉冲。
另一个方面涉及用于图案化衬底的装置,所述装置包括:一个或多个处理室;进入到一个或多个处理室的一个或多个进气口和相关的流量控制硬件;低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及具有至少一个处理器和存储器的控制器,由此所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器至少可操作地与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:引入填隙材料前体以保形地在包括目标层的衬底的所述核心材料上沉积填隙材料,所述核心材料被图案化以形成间隙,使得填隙材料沉积在所述衬底上的所述间隙中;平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面;并选择性地蚀刻核心材料以形成用作掩模来蚀刻目标层的对称形状的间隔物。
另一方面涉及用于图案化衬底的装置,所述装置包括:一个或多个处理室;进入到一个或多个处理室的一个或多个进气口和相关的流量控制硬件;低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及具有至少一个处理器和存储器的控制器,由此所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器至少可操作地与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:将衬底转移到所述一个或多个处理室中,所述衬底包括形成掩模的间隔物,每个间隔物包括垂直于间隔物的顶表面的侧壁,间隔物通过在核心材料的间隙中保形地沉积填隙材料而形成;平坦化所述填隙材料和所述核心材料以形成平坦表面,并且选择性地移除所述核心材料;并用间隔物作为掩模来蚀刻目标层。在各种实施方式中,掩模具有小于约50nm的间距。
另一个方面涉及用于图案化衬底的装置,所述装置包括:一个或多个处理室;进入到一个或多个处理室的一个或多个进气口和相关的流量控制硬件;低频射频(LFRF)发生器;高频射频(HFRF)发生器;以及具有至少一个处理器和存储器的控制器,由此所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器至少可操作地与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:将衬底转移到所述一个或多个处理室中,所述衬底包括形成掩模的间隔物,每个间隔物包括以90°±5°的角度与间隔物的顶表面相交的侧壁,间隔物通过在核心材料的间隙中保形地沉积填隙材料形成,平坦化填隙材料和核心材料以形成平坦表面,并且选择性地移除核心材料;并用间隔物作为掩模来蚀刻目标层。
下面参照附图进一步描述这些和其他方面。本发明的一些方面可具体描述如下:
1.一种处理衬底的方法,所述方法包括:
提供具有被图案化以形成间隙的核心材料和目标层的衬底;
将填隙材料保形地沉积在所述核心材料上,使得所述填隙材料沉积在所述衬底上的所述间隙中;
平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面;以及
选择性地蚀刻所述核心材料以形成用作掩模的对称形状的间隔物以蚀刻所述目标层。
2.根据条款1所述的方法,其中所述填隙材料通过原子层沉积来沉积。
3.根据条款1所述的方法,其中所述填隙材料通过原子层沉积来沉积持续不够完全填充所述间隙的持续时间。
4.根据条款1所述的方法,其中所述填隙材料选自由氧化硅、氮化硅、碳化硅和氧化钛构成的组。
5.根据条款1所述的方法,其中所述间隙具有小于约x nm的开口,并且所述填隙材料被沉积持续足以将所述填隙材料沉积至介于约0.4×x nm与约0.5×x nm之间的厚度的持续时间。
6.根据条款5所述的方法,其中x是50。
7.根据条款5所述的方法,其中x小于50。
8.根据条款1所述的方法,其中所述对称形状的间隔物包括所述填隙材料。
9.根据条款1所述的方法,其中所述核心材料包含碳。
10.根据条款9所述的方法,其中,所述核心材料选自由旋涂碳、类金刚石碳以及填隙可灰化硬掩模构成的组。
11.根据条款1所述的方法,其中沉积所述填隙材料包括将所述衬底暴露于含硅前体和氧化等离子体的交替脉冲。
12.一种方法,其包括:
提供包括形成掩模的间隔物的衬底,每个间隔物包括垂直于所述间隔物的顶表面的侧壁,所述间隔物通过在核心材料的间隙中保形地沉积填隙材料而形成;
平坦化所述填隙材料和核心材料以形成平坦表面;
选择性地移除所述核心材料;以及
使用所述间隔物作为所述掩模来蚀刻目标层。
13.一种方法,其包括:
提供包括形成掩模的间隔物的衬底,每个间隔物包括以90°±5°的角度与间隔物的顶表面相交的侧壁,所述间隔物通过在核心材料的间隙中保形地沉积填隙材料而形成;
平坦化所述填隙材料和所述核心材料以形成平坦表面;
选择性地移除所述核心材料;以及
使用所述间隔物作为所述掩模来蚀刻目标层。
14.一种方法,其包括:
提供具有被图案化以形成间隙的核心材料和目标层的衬底;
将填隙材料保形地沉积在所述核心材料上,使得所述填隙材料沉积在所述衬底上的所述间隙中;
平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面;
选择性地蚀刻所述核心材料以形成对称形状的间隔物;以及
使用所述对称形状的间隔物作为所述掩模来蚀刻所述目标层,
其中所述对称形状的间隔物具有平坦的顶部轮廓,所述对称形状的间隔物的竖直表面定向为与所述对称形状的间隔物的顶部水平表面成90°或大约90°。
15.一种方法,其包括:
提供具有被图案化以形成间隙的核心材料和目标层的衬底;
将填隙材料保形地沉积在所述核心材料上,使得所述填隙材料沉积在所述衬底上的所述间隙中;
平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面,所述填隙材料具有水平平坦的顶部轮廓;以及
选择性地蚀刻所述核心材料以形成用作掩模以蚀刻所述目标层的对称形状的间隔物。
16.一种方法,其包括:
提供具有被图案化以形成间隙的核心材料和目标层的衬底;
将填隙材料保形地沉积在所述核心材料上,使得填隙材料沉积在所述衬底上的所述间隙中;
平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面;以及
选择性地蚀刻核心材料以形成用作掩模来蚀刻所述目标层的对称形状的间隔物,
其中所述对称形状的间隔物具有与所述对称形状的间隔物的顶表面基本垂直的侧壁。
17.根据条款1至16中任一项所述的方法,其中所述掩模中的对称形状的间隔物之间的关键尺寸小于约50nm。
18.根据条款1至16中任一项所述的方法,其中所述对称形状的间隔物用于多重图案化技术。
19.如条款1-16中任一项所述的方法,其还包括在沉积所述填隙材料之前,在所述衬底上沉积另一个保形膜并定向蚀刻所述保形膜以在所述核心材料的所述侧壁上形成侧壁间隔物。
20.根据条款19所述的方法,其中选择性地蚀刻所述衬底以形成所述对称形状的间隔物包括移除对所述侧壁间隔物有选择性的所述核心材料和所述填隙材料。
21.根据条款1至16中任一项所述的方法,其中选择性地蚀刻所述衬底以形成所述对称形状的间隔物包括移除对所述填隙材料有选择性的所述核心材料。
22.一种用于图案化衬底的装置,所述装置包括:
一个或多个处理室;
进入到所述一个或多个处理室的一个或多个进气口和相关的流量控制硬件;
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;和
具有至少一个处理器和存储器的控制器,
其中所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少能操作地与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器连接,并且
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:
致使引入填隙材料前体以在包含目标层的衬底的核心材料上保形地沉积填隙材料,所述核心材料被图案化以形成间隙,使得所述填隙材料沉积在所述衬底上的所述间隙中;
致使平坦化所述衬底以形成包括所述填隙材料和所述核心材料的平坦表面;以及
致使选择性蚀刻所述核心材料,以形成用作掩模以蚀刻所述目标层的对称形状的间隔物。
23.一种用于图案化衬底的装置,所述装置包括:
一个或多个处理室;
进入到所述一个或多个处理室的一个或多个进气口和相关的流量控制硬件;
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;和
具有至少一个处理器和存储器的控制器,
其中所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少能操作地与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器连接,并且
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:
致使衬底转移到所述一个或多个处理室中,所述衬底包括形成掩模的间隔物,每个间隔物包括垂直于所述间隔物的顶表面的侧壁,所述间隔物通过在核心材料的间隙中保形地沉积填隙材料而形成;
致使平坦化所述填隙材料和所述核心材料以形成平坦表面,
致使选择性去除所述核心材料;和
致使用所述间隔物作为掩模来蚀刻目标层。
24.一种用于图案化衬底的装置,所述装置包括:
一个或多个处理室;
进入到所述一个或多个处理室的一个或多个进气口和相关的流量控制硬件;
低频射频(LFRF)发生器;
高频射频(HFRF)发生器;和
具有至少一个处理器和存储器的控制器,
其中所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少能操作地与所述流量控制硬件、所述LFRF发生器和所述HFRF发生器连接,并且
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以至少控制所述流量控制硬件、所述HFRF发生器和所述LFRF发生器以:
致使所述衬底转移到所述一个或多个处理室中,所述衬底包括形成掩模的间隔物,每个间隔物包括与所述间隔物的顶表面以90°±5°的角度相交的侧壁,所述间隔物通过保形地沉积填隙材料在核心材料的间隙中;
致使平坦化所述填隙材料和所述核心材料以形成平坦表面;
致使选择性去除所述核心材料;以及
致使用所述间隔物作为掩模来蚀刻目标层。
25.根据条款22至24中任一项所述的装置,其中所述控制器还包括用于在沉积所述填隙材料之前致使在所述衬底上沉积另一保形膜和致使定向蚀刻所述保形膜以在所述核心材料的所述侧壁上形成侧壁间隔物的指令。
附图说明
图1A-1J是四重图案化方案的示例中的衬底的示意图。
图2是描绘根据某些公开的实施方式执行的方法的操作的过程流程图。
图3A-3G是根据某些公开的实施方式执行的图案化方案的示例中的衬底的示意图。
图3H和3I是根据某些公开的实施方式的用于欠填充特征的示例方案中的衬底的示意图。
图3J-3O是根据某些公开的实施方式执行的图案化方案的示例中的衬底的示意图。
图3P-3R是根据某些公开的实施方式的用于欠填充特征的示例方案中的衬底的示意图。
图4和6是用于执行某些公开的实施方式的示例性处理室的示意图。
图5和图7是用于执行某些公开的实施方式的示例性处理工具的示意图。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对提出的实施方式的透彻理解。所公开的实施方式可以在没有这些具体细节中的一些或全部的情况下实践。在其他情况下,公知的处理操作没有被详细描述,以免不必要地使所公开的实施方式模糊不清。虽然所公开的实施方式将结合具体实施方式进行描述,但应该理解的是,这不意图限制所公开的实施方式。
在许多半导体制造工艺中使用图案化方法。特别是,多重图案化已经被用于将光刻技术扩展到超出其光学极限。双重图案化和四重图案化是用于将光刻技术扩展到超出其光学极限的示例性技术,并且双重图案化现在在工业中广泛用于小于约80nm的间距。目前的双重图案化技术通常使用侧壁间隔物以两个掩模化步骤(masking steps)来图案化沟槽。在正负双重图案化过程中双重图案化(特别是线图案化)的方法涉及使用间隔物和掩模。可以通过等离子体增强的原子层沉积(PEALD)在图案化的核心上沉积间隔物,并且可以用来产生更小的间距图案。随着器件收缩,间距减小,会出现诸如间隔物倾斜、线弯曲和图案化的间隔物崩坏等问题,从而可能导致器件失效。特别地,当核心层被烘烤并被去除时,由于间隔物倾斜而观察到距变(pitch walking)。
图1A-1J是导致距变的四重图案化方案中的衬底的示例性示意图。图1A示出了在第二核心103、目标层105和底层107上具有光刻限定的或图案化的第一核心101的衬底。本领域的普通技术人员将认识到,适于如本文所述的半导体加工的多层堆叠还可以包括其他层,诸如蚀刻停止层、覆盖层和其他底层。
图案化的第一核心101可以是光致抗蚀剂或可以包括无定形碳或非晶硅材料。可以通过诸如等离子体增强化学气相沉积(PECVD)之类的任何合适的沉积技术将图案化的第一核心101沉积在第二核心103之上,并且沉积技术可以涉及在沉积室中从包括烃前体的沉积气体生成等离子体。烃前体可以由式CxHy定义,其中x是2至10之间的整数,并且y是2至24之间的整数。实例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。
在第二核心103下是目标层105。目标层105可以是最终要被图案化的层。例如,目标层105可以是半导体、电介质或其他层,并且可以由硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。目标层105可以通过原子层沉积(ALD)、PEALD、化学气相沉积(CVD)或其他合适的沉积技术来沉积。
在图1B中,第一保形膜109沉积在图案化的第一核心101上方。在一些实施方式中,第一保形膜109可以通过ALD或PEALD沉积。如图1C所示,定向蚀刻第一保形膜109以形成第一间隔物119。第一间隔物119可以是诸如氧化硅(SiO2)或者氧化钛(TiO2)之类的氧化物,或者可以是诸如氮化硅(SiN)之类的氮化物。第一间隔物119的图案被用于图案化随后的层。应该理解的是,在此使用的术语“间隔物”是指与核心材料相邻的掩模材料。
在图1D中,图案化的第一核心101被选择性地蚀刻,在衬底上留下独立式(free-standing)的第一间隔物119。如本文所使用的选择性去除或选择性蚀刻被定义为相对于另一种材料选择性地蚀刻一种材料。例如,在图1D中,图案化的第一核心被相对于第一间隔物119选择性地蚀刻。应理解,在一些实施方式中,如果第一材料相对于第二材料被选择性地蚀刻,则第一材料的蚀刻速率比第二材料的蚀刻速率更快,使得对于给定的持续时间,相比第二材料而蚀刻更多的第一材料。
第一间隔物119的图案可以具有约50nm的间距。由于关键尺寸相当大,因此在这些较大的关键尺寸上距变的风险很小。
在图1E中,使用图案化的间隔物119作为掩模来蚀刻第二核心层103,由此将图案转移到第二核心层103以形成图案化的第二核心113。可以使用适用于蚀刻第二核心113而非图案化的间隔物119的化学物质来蚀刻图案化的第二核心113。图案化的第二核心113可以是非晶碳层、非晶硅层或光致抗蚀剂,例如聚(甲基丙烯酸甲酯)或聚(甲基戊二酰亚胺)(PMGI)或酚醛树脂。
在图1F中,选择性地移除第一间隔物119以留下图案化的第二核心113。在一个实例中,可通过流动的CHF3和/或CF4来移除间隔物。
在图1G中,第二保形膜120沉积在图案化的第二核心113上方。第二保形膜120可以是通过ALD或PEALD沉积的电介质材料。例如,在一些实施方式中,第二保形膜120可以是氧化硅。在一些实施方式中,第二保形膜120可具有与第一保形膜109相同或相似的组成。
在图1H中,第二保形膜120被定向蚀刻以形成位于图案化的第二核心113侧面的第二间隔物121。在图1I中,图案化的第二核心113被选择性地移除以留下独立的第二间隔物121。这里,图案化的第二核心113可以使用与蚀刻图1D中的图案化的第一核心101相同或类似的化学物质进行蚀刻。
在图1J中,当第二间隔物121的图案被转移到目标层105时,图案化的目标层115包括可能全部是不同值的变化的关键尺寸α、β和γ。间隔物121的不对称导致不均匀的蚀刻来形成目标层,从而导致距变。使用不对称的间隔物121蚀刻目标层105导致引起目标层的倾斜蚀刻的蚀刻物质的离子角分布(ion angular distribution)。该方法部分地导致距变,因为在移除图案化核心材料期间的干法蚀刻条件导致遮蔽效应(shadowing effect)。
当前的图案化方案和技术不足以形成可用于蚀刻目标层而没有距变的间隔物。本文提供了使用原子层沉积填隙技术形成对称间隔物的方法和装置。对称间隔物提供改进的顶部轮廓,使得使用间隔物作为掩模来蚀刻目标层不会导致距变和/或减少距变。对称间隔物包含以约90°±5°在某点处会聚的尖角。公开的实施方式形成具有垂直于或基本垂直于间隔物的顶表面的侧壁的间隔物。基本垂直在这里被定义为具有90°±5°的角度。方法涉及使用ALD在图案化衬底上填充或部分填充特征以在衬底上形成覆盖层,并平坦化衬底且去除填隙材料和核心材料以形成对称的间隔物。对称在本文中被定义为在去除核心材料以留下独立式间隔物之后在间隔物的任一侧上具有基本上相同的形状。例如,对称的间隔物可以具有平坦的顶部轮廓,其中间隔物的竖直表面与间隔物的顶部水平表面成90°或大约90°取向。
图2是描绘根据某些公开的实施方式执行的方法的操作的过程流程图。图2中的一个或多个操作可以在各种实施方式中执行。在一些实施方式中,仅执行图2中描述的操作之一。例如,在一些实施方式中,提供包括形成为掩模的对称间隔物的衬底,并且仅执行操作215以使用掩模来蚀刻目标层。在另一个例子中,提供衬底并且仅使用操作211去除核心材料并形成对称的间隔物作为掩模。应该理解的是,这些和其他实施方式可以使用关于图2描述的任何一个或多个操作来执行。
在操作201中,提供具有图案化的核心材料和目标层的衬底。图案化的核心材料可以是光致抗蚀剂或可以由非晶碳材料或非晶硅材料制成。在一些实施方式中,核心材料可以是透明的。核心材料通过诸如等离子体增强化学气相沉积(PECVD)之类的沉积技术来沉积,并且沉积技术可以涉及从包括烃前体的沉积气体在容纳衬底的沉积室中产生等离子体。烃前体可由式CaHb定义,其中a为2至10之间的整数,b为2至24之间的整数。实例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。核心材料在被图案化之前被沉积在目标层上。目标层可以是最终被图案化的层。例如,目标层可以是半导体、电介质或其他层,并且可以由硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。目标层可以通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)或其他合适的沉积技术沉积。
在操作203中,包括间隔物材料的保形膜被沉积在图案化的核心材料上,并且定向蚀刻保形膜以在图案化的核心材料的侧壁上形成垂直间隔物。图3A提供了包括底层307、目标层305、图案化的核心材料313和间隔物321的示例性衬底的示意图。这是在执行图2的操作203之后在衬底上的图案的示例。注意,操作203可以在一些实施方式中是可选的,例如下面参考图3J-3O所述。
回到图2,在操作205中,通过ALD在衬底上方沉积填隙材料。填隙材料可以是含硅材料,或者在一些实施方式中是含钛材料。例子包括氧化硅、氮化硅、碳化硅和氧化钛。填隙材料可以在任何合适的温度下沉积,诸如在约50℃与约400℃之间沉积,或者在低于约100℃下沉积,诸如在约50℃沉积。可以使用任何合适的前体如双二乙基氨基硅烷(bisdiethylaminosilane)、双叔丁基氨基硅烷(bistertbutylaminosilane)和二异丙基氨基硅烷(diisopropylaminosilane)来沉积填隙材料。其他前体在下面描述。
填隙材料使用ALD保形沉积。ALD是一种利用顺序自限性反应沉积薄层材料的技术。ALD工艺使用表面介导的沉积反应来循环地逐层沉积膜。作为例子,ALD循环可以包括以下操作:(i)前体的输送/吸附,(ii)从室清除前体,(iii)第二反应物的输送和可选地点燃等离子体,和(iv)清除室中的副产物。在第二反应物和吸附的前体之间的用于在衬底表面上形成膜的反应影响膜组成和性能,例如不均匀性、应力、湿法蚀刻速率、干法蚀刻速率、电性质(例如,击穿电压和泄漏电流)等。
在ALD工艺的一个实例中,将包括多个表面活性位点的衬底表面暴露于以一定剂量提供给容纳衬底的室的第一前体(例如含硅前体)的气相分布。该第一前体的分子被吸附到衬底表面上,包括第一前体的化学吸附物质和/或物理吸附分子。应该理解的是,当如本文所述将化合物吸附到衬底表面上时,吸附层可以包括化合物以及化合物的衍生物。例如,含硅前体的吸附层可以包括含硅前体以及含硅前体的衍生物。在第一前体投配之后,将室抽空以除去大部分或全部保持在气相的第一前体,使得大部分或仅吸附物质保留。在一些实施方式中,室可不被完全抽空。例如,反应器可以被抽空,使得气相的第一前体的分压足够低以减轻反应。将第二反应物如含氧气体引入反应室,以使这些分子中的一些与吸附在表面上的第一前体反应。在一些方法中,第二反应物立即与吸附的第一前体反应。在其他实施方式中,第二反应物仅在临时施加诸如等离子体之类的激活源之后才起反应。然后可以将室再次抽空以去除未结合的第二反应物分子。如上所述,在一些实施方式中,室可不被完全抽空。额外的ALD循环可以用于建立膜厚度。
在一些实施方案中,ALD方法包括等离子体激活。如本文所述,本文所述的ALD方法和装置可以是保形膜沉积(CFD)方法和装置,其在2011年4月11日提交的题为“PLASMAACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请号No.13/084,399(现为美国专利号8,728,956)、以及在2011年4月11日提交的题为“SILICON NITRIDE FILMS ANDMETHODS”的美国专利申请13/084,305中被一般地描述,这些专利文件的全部内容通过引用并入本文。
对于氧化硅填隙材料的沉积,衬底可以如下暴露于周期。引入含硅前体以将含硅前体吸附到衬底表面上。适合根据所公开的实施方式使用的含硅前体包括其中n>0的聚硅烷(H3Si-(SiH2)n-SiH3)。硅烷的实例是硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,该有机硅烷如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷等。
卤代硅烷包括至少一个卤素基团并且可以包括或不包括氢和/或碳基团。卤代硅烷的实例是碘代硅烷、溴代硅烷、氯代硅烷和氟代硅烷。尽管卤代硅烷特别是氟代硅烷可以在等离子体被激励时形成可以蚀刻硅材料的活性卤化物物质,但是在一些实施方式中,当等离子体被激励时,不能将卤代硅烷引入室中,因此可能会缓和从卤代硅烷形成活性卤化物物质。特定的氯硅烷为四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷(t-butylchlorosilane)、二叔丁基氯硅烷、氯异丙基硅烷、氯代仲丁基硅烷、叔丁基二甲基氯代硅烷、叔己基二甲基氯代硅烷等等。
氨基硅烷包括键合到硅原子上的至少一个氮原子,但也可以包含氢、氧、卤素和碳。氨基硅烷的例子是单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷(分别是H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4))以及经取代的单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等等。氨基硅烷的另一个实例是三硅烷基胺(N(SiH3))。
容纳正被处理的衬底的室可被吹扫以去除未被吸附到衬底表面上的前体。吹扫室可以涉及使吹扫气体或清扫气体流动,吹扫气体或清扫气体可以是在其他操作中使用的载气,或者可以是不同的气体。吹扫气体的示例包括氩气、氮气、氢气和氦气。在各种实施方式中,吹扫气体是惰性气体。惰性气体的实例包括氩气、氮气和氦气。在一些实施方式中,吹扫可涉及抽空室。在一些实施方式中,吹扫可以包括用于抽空处理室的一个或多个抽空子阶段。可选地,将理解,在一些实施方式中吹扫可以被省略。
包括含硅前体的吸附层的衬底暴露于氧化剂,并且在使用氧化的等离子体将吸附的前体转化为氧化硅的条件下点燃等离子体。示例性的氧化剂包括氧气、水、二氧化碳、一氧化二氮及其组合。在各种实施方式中,当等离子体被点燃时,衬底同时暴露于氧化剂和惰性气体。例如,在一个实施方式中,当等离子体被点燃时,氧和氩的混合物被引入到衬底。然后可再次吹扫室以从用于形成氧化硅的反应中除去未反应的氧化剂和/或副产物。这些投配、吹扫、转化、吹扫操作可以在多个循环中重复以在衬底上保形地一层一层地沉积填隙材料。
图3B示出了在衬底上保形地沉积填隙材料395的示例性示意图。随着更多的循环被执行,间隔物321之间的特征被填充,并且接缝360可以形成在侧壁上的沉积材料会合处,如图3C所示。图3C包括填充材料396,所述填充材料396沉积为包括在图案化的核心材料313的顶部表面之上的覆盖层。注意,在一些实施方式中,图2的操作205中的沉积填隙材料可以执行多个循环,以便欠填充(underfill)特征或沉积持续不够完全填充间隙的时间。下面将参考图3H和3I进一步描述欠填充。在一些实施方式中,在操作205中沉积的填隙材料被用作形成对称间隔物的材料,如下面关于图3J至图3O所述,用于完全填充和欠填充的实施方式两者。
返回图2,在操作207中,填隙材料被回蚀刻以形成平坦表面。可以使用湿法蚀刻工艺、干法蚀刻工艺或化学机械平坦化(CMP)来执行回蚀刻或平坦化。在各种实施方式中,操作207进一步包括平坦化以形成跨填隙材料、间隔物材料和图案化核心之上的平坦表面。在图3D中提供了一个例子,由此经蚀刻的填隙材料399、间隔材料325和核心材料313已经被平坦化。注意,在经蚀刻的填隙材料399中可能留有小接缝360。
在替代实施方式中,执行图2的操作205以沉积填隙材料,使得欠填充衬底上的特征。例如,ALD的沉积循环可以重复多个循环,使得沉积在侧壁上的膜之间的空间中的距离在约5nm与约50nm之间。在图3H中示出了一个示例,其可以在图3B的欠填充实施方式之后进行。填隙材料通过ALD保形地沉积在图3B中的衬底上,直到如图3H所示衬底被欠填充,由此在特征的中心保留小的间隙380。要被沉积的填隙材料的量取决于为了至少在侧壁上提供足够的厚度而沉积的量。在一些实施方式中,特征具有小于约x nm的特征开口,并且填隙材料被沉积持续足以将填隙材料沉积至介于约0.4×x nm与约0.5×x nm之间的厚度的持续时间。在一些实施方式中,特征具有小于约5nm的特征开口,并且填隙材料被沉积持续足以将填隙材料沉积至介于约2nm与约2.5nm之间的厚度的持续时间。例如,对于具有大约50nm的特征开口的特征,可以将填隙材料沉积到介于约20nm和约30nm之间的厚度。代替如图3C所示完全填充特征以形成接缝,可以在操作207中回蚀衬底以形成仍然具有小的间隙380的经蚀刻的填隙材料398。然而,由于填隙材料是牺牲层以用于形成对称的间隔物325,所以在一些实施方式中操作205中的填隙材料可能是欠填充的,而不是完全填充的。
返回图2,在操作209中,选择性地移除填隙材料以在衬底上留下图案化的核心材料和间隔物材料。图3E提供了一个例子。如图所示,去除填隙材料以在目标层305上产生核心材料313和侧壁间隔物325。注意,在其中使用填隙材料形成对称间隔物的实施方式中,不执行该操作。
返回图2,在操作211中,核心材料被选择性蚀刻以形成对称的间隔物作为掩模。图3F提供了一个例子。如图所示,与图1I中所示的间隔物121相比,间隔物325是对称的并且不会倾斜。
返回图2,在操作215中,使用对称间隔物作为掩模来蚀刻目标层。图3G中提供了一个例子,与图1J中导致的变化的关键尺寸相比,蚀刻的目标层315具有一致的关键尺寸α。
图3J-3R提供了用于执行某些公开的实施方式的替代实施方式。例如,图3J-3O涉及通过将填隙材料直接沉积在衬底上来使用填隙材料形成独立式对称间隔物,使得不执行图2的操作203。图3P-3R示出了涉及欠填充的另一实施方式的示例,由此不执行图2的操作203,并且使用经欠填充的填隙材料作为间隔物来图案化目标层。下面进一步详细描述这些实施方式。
在图3J中,提供了包括底层307、目标层305和图案化核心314的衬底。在图3K中,使用原子层沉积在衬底上保形地沉积填隙材料337。上面关于图2的操作205描述了可以使用的示例性填隙材料和可以使用的沉积技术。在图3L中,填充材料338已经被沉积,直到图案化核心314之间的特征或者间隙被完全填充,从而导致在侧壁上的沉积材料会聚处形成接缝361。注意,在一些实施方式中,可以执行欠填充以便在特征的中间留下小间隙,如下面关于图3P-3R所述。
在图3M中,衬底被平坦化以产生包括现在平坦化的核心317和平坦化的填隙材料339的平坦表面。注意,接缝361仍可存在于填隙材料339中。平坦化可根据上述关于图2所述的操作207进行。在图3N中,平坦化的核心材料317被选择性地蚀刻以留下独立式的对称间隔物339,其中的每一个仍然可以包括接缝361。核心材料可以如上文关于图2所述的操作211来去除。在图3O中,对称间隔物用作掩模来蚀刻目标层305,然后去除掩模以产生图案化目标层335。注意,用于蚀刻目标材料的蚀刻物质离子角度分布可以有效地蚀刻目标层以产生具有一致关键尺寸β的图案。
图3P-3R提供了用于欠填充特征的替代实施方式,同时使用填隙材料作为对称间隔物掩模。例如,图3P中的衬底可以经历原子层沉积的多个循环以部分地填充特征,由此在特征中留下间隙365。可以根据图2的操作207平坦化衬底以产生图3P中所示的具有平坦化表面的衬底,由此填隙材料378的场区与核心材料313的场区齐平。在图3Q中,根据图2的操作211,核心材料被选择性地去除,以产生独立式对称间隔物378,根据欠填充特征,每个对称间隔物378可以仍然包括间隙365。间隔物378可以用于图案化目标层305,并且用于蚀刻目标层305的蚀刻物质的离子角分布不导致角蚀刻,因为间隔物378是对称的,从而形成具有一致的关键尺寸β的图案化的目标层345。
某些公开的实施方式适用于其中填隙材料被沉积到具有在约2nm和约200nm之间的关键尺寸的现有特征中的应用。所公开的实施方式适用于小于20nm的节点的应用。所公开的实施方式也适于形成图案化衬底,其中填隙材料被沉积到深宽比大于约20:1的现有特征中。
装置
图4描绘了具有处理室402的原子层沉积(ALD)处理站400的实施方式的示意图。处理室402可用于维持低压环境。多个ALD处理站可被包括在共同的低压处理工具环境中。例如,图5描绘了多站处理工具500的一个实施方式。在一些实施方式中,ALD处理站400的一个或多个硬件参数(包括在下面详细讨论的那些参数)可以由一个或多个计算机控制器450以编程方式进行调整。
ALD处理站400与反应物输送系统401a流体连通,以用于将工艺气体输送到分配喷头406。反应物输送系统401a包括用于混合和/或调节工艺气体(例如含硅气体或者含氧气体)以用于输送到喷头406的混合容器404。一个或多个混合容器入口阀420可控制工艺气体到混合容器404的引入。
作为示例,图4的实施方式包括汽化点403,用于汽化待供应到混合容器404的液体反应物。在一些实施方式中,汽化点403可以是加热汽化器。由这种汽化器产生的饱和反应物蒸气可能在下游输送管道中冷凝。不相容的气体暴露于冷凝的反应物可能会产生小颗粒。这些小颗粒可能堵塞管路、妨碍阀门操作、污染衬底等等。解决这些问题的一些方法涉及清扫和/或排空输送管道以去除残余反应物。但是,清扫输送管道可能会增加处理站循环时间,降低处理站的生产量。因此,在一些实施方式中,汽化点403下游的输送管道可以被热追踪。在一些示例中,混合容器404也可以被加热追踪。在一个非限制性示例中,汽化点403下游的管道在混合容器404处具有从大约100℃延伸到大约150℃的增加的温度分布。
在一些实施方式中,液体前体或液体反应物可在液体注射器(未示出)处被汽化。例如,液体注射器可将液体反应物的脉冲注入到混合容器404上游的载气流中。在一个实施方式中,液体注射器可通过使液体从较高压力闪蒸至较低压力而使反应物汽化。在另一个例子中,液体注射器可以将液体雾化成分散的微滴,随后在加热的输送管中汽化。较小的液滴会比较大的液滴蒸发得快,从而减少了液体注入和完全汽化之间的延迟。更快的蒸发可以减少汽化点403下游的管道的长度。在一种情况下,液体注射器可以直接安装到混合容器404。在另一种情况下,液体注射器可以直接安装到喷头406。
在一些实施方式中,可以提供汽化点403上游的液体流量控制器(LFC),用于控制液体的质量流量以用于汽化和递送至处理室402。例如,LFC可以包括位于LFC下游的热质量流量计(MFM)。LFC的柱塞阀然后可以响应于与MFM电通信的比例—积分—微分(PID)控制器提供的反馈控制信号进行调节。但是,使用反馈控制可能需要一秒或更长时间来稳定液体流量。这可能会延长液体反应物的投配时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态地切换。在一些实施方式中,这可以通过禁用LFC的感测管和PID控制器来执行。
喷头406将工艺气体分配到衬底412。在图4所示的实施方式中,衬底412位于喷头406下方并且示出为搁置在基座408上。喷头406可以具有任何合适的形状,并且可以具有任何合适的用于将工艺气体分配到衬底412的端口的数量和布置。
在一些实施方式中,可以升高或降低基座408以将衬底412暴露于衬底412和喷头406之间的体积。在一些实施方式中,基座408可以通过加热器410进行温度控制。基座408可以设置为在执行各种公开的实施方式的操作期间的任何合适的温度,诸如在约25℃和约650℃之间。应意识到,在一些实施方式中,基座高度可以由合适的计算机控制器450以编程方式进行调整。
在另一种情况下,调节基座408的高度可以允许在某些公开的实施方式中执行的等离子体激活周期期间改变等离子体密度。在处理阶段结束时,基座408可在另一衬底传送阶段期间降低以允许从基座408移除衬底412。
在一些实施方式中,喷头406的位置可以相对于基座408进行调节,以改变衬底412和喷头406之间的体积。此外,应理解的是,基座408和/或喷头406的垂直位置可以通过本公开范围内的任何合适的机制来改变。在一些实施方式中,基座408可以包括用于旋转衬底412的方位的旋转轴线。应理解的是,在一些实施方式中,这些示例性调整中的一个或多个可以由一个或多个合适的计算机控制器450以编程方式执行。计算机控制器450可以包括下面关于图5的控制器550描述的特征中的任一个。
在可以如上所述使用等离子体的一些实施方式中,喷头406和基座408与射频(RF)电源414和匹配网络416电连接,以为等离子体供电。在一些实施方式中,可以通过控制处理站压力、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源414和匹配网络416可以以任何合适的功率运行以形成具有期望组成的自由基物质的等离子体。类似地,RF电源414可以提供任何合适频率的RF功率。在一些实施方式中,RF电源414可以被配置为独立于彼此地控制高频和低频RF功率源。示例性的低频RF频率可以包括但不限于0kHz和500kHz之间的频率。高频RF频率的示例可以包括但不限于1.8MHz与2.45GHz之间的频率,或者大于约13.56MHz,或者大于27MHz,或者大于40MHz,或者大于60MHz。应该理解的是,可以离散地或连续地调制任何合适的参数以为表面反应提供等离子体能量。
在一些实施方式中,等离子体可以由一个或多个等离子体监控器原位监测。在一种情况下,等离子体功率可以由一个或多个电压传感器、电流传感器(例如,VI探头)来监测。在另一种情况下,可以通过一个或多个光发射光谱传感器(OES)来测量等离子体密度和/或工艺气体浓度。在一些实施方式中,一个或多个等离子体参数可以基于来自这种原位等离子体监控器的测量而被编程地调整。例如,OES传感器可以用在反馈回路中以提供对等离子体功率的编程控制。应该理解的是,在一些实施方式中,可以使用其他监控器来监控等离子体和其他处理特性。这种监控器可以包括但不限于红外(IR)监控器、声音监控器和压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)排序指令来提供用于控制器450的指令。在一个示例中,用于设置工艺阶段的条件的指令可以被包括在工艺配方的对应配方阶段中。在某些情况下,工艺配方阶段可以被顺序排列,使得工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设置一个或多个反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包括用于设定惰性气体和/或反应物气体(例如,诸如含硅前体之类的第一前体)的流率的指令、用于设定载气的流率的指令(如氩气)和第一配方阶段的时间延迟指令。随后的第二配方阶段可包括用于调节或停止惰性气体和/或反应物气体的流率的指令以及用于调节载气或吹扫气体的流率的指令以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于调节诸如氧之类的第二反应物气体的流率的指令、用于调节载气或吹扫气体的流率的指令、用于点燃具有高等离子体能量的等离子体的指令以及用于第三配方阶段的时间延迟指令。随后的第四配方阶段可包括用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或吹扫气体的流率的指令以及用于第四配方阶段的时间延迟指令。这样的配方可以用来在图案化的衬底上沉积填隙材料以随后形成对称的间隔物。一些配方可以用于用填充材料欠填充图案化的衬底。应该理解,在本公开的范围内,这些配方阶段可以以任何合适的方式被进一步细分和/或重复。
此外,在一些实施方式中,用于处理站400的压力控制可由蝶阀418提供。如图4的实施方式所示,蝶阀418对由下游真空泵(未示出)提供的真空进行节流。然而,在一些实施方式中,处理站400的压力控制也可以通过改变引入到处理站400的一种或多种气体的流率来调节。
如上所述,一个或多个处理站可被包括在多站处理工具中。图5示出了具有入站装载锁502和出站装载锁504的多工作站处理工具500的实施方式的示意图,所述入站装载锁502和出站装载锁504中的任一个或两个可以包括远程等离子体源(未示出)。在大气压力下的机械手506被配置为将晶片从通过晶舟(pod)508装载的盒子经由大气端口510移动到入站装载锁502中。在入站装载锁502中机械手506将晶片(未示出)放置在基座512上,大气端口510关闭,并且入站装载锁502被抽空。在入站装载锁502包括远程等离子体源的情况下,在被引入到处理室514中之前,可以将晶片暴露于入站装载锁502中的远程等离子体处理。此外,晶片还可以在入站装载锁502中加热,例如用于去除湿气和吸附的气体。接下来,打开到处理室514的室输送端口516,另一机械手(未示出)将晶片放入反应器中在示出的第一站的基座上以进行处理。虽然图5中描绘的实施方式包括装载锁,但是应该理解,在一些实施方式中,可以使晶片直接进入处理站。
所描绘的处理室514包括四个处理站,在图5所示的实施方式中从1到4编号。每个站具有加热的基座(针对站1以518示出)和气体管线入口。应该理解,在一些实施方式中,每个处理站可以用于不同的或多个目的。例如,在一些实施方式中,处理站可以在ALD和等离子体增强ALD处理模式之间切换。在一些实施方式中,暴露于沉积前体和暴露于第二反应物和等离子体是在相同的站中进行。另外地或替代地,在一些实施方式中,处理室514可以包括一个或多个匹配的成对的ALD和等离子体增强的ALD处理站。虽然所描绘的处理室514包括四个站,但应理解,根据本发明的处理室可具有任何合适数目的站。例如,在一些实施方式中,处理室可具有五个或更多个站,而在其他实施方式中,处理室可具有三个或更少的站。
图5描绘了用于在处理室514内传送晶片的晶片处理系统590的实施方式。在一些实施方式中,晶片处理系统590可以在各个处理站之间和/或在处理站与装载锁之间传送晶片。应理解,可以采用任何合适的晶片处理系统。非限制性示例包括晶片传送带和晶片处理机械手。图5还描绘了用于控制处理工具500的工艺条件和硬件状态的系统控制器550的实施方式。系统控制器550可以包括一个或多个存储器装置556、一个或多个大容量存储装置554以及一个或多个处理器552。处理器552可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
在一些实施方式中,系统控制器550控制处理工具500的所有活动。系统控制器550执行存储在大容量存储装置554中、装载到存储装置556中并在处理器552上执行的系统控制软件558。或者,控制逻辑可以在控制器550中被硬编码。专用集成电路、可编程逻辑器件(例如现场可编程门阵列或FPGA)等等可以用于这些目的。在下面的讨论中,凡是使用“软件”或“编码”的地方,都可以在其中使用功能上可比较的硬编码逻辑。系统控制软件558可以包括用于控制时序、气体混合物、气体流量、室和/或站压力、室和/或站温度、晶片温度、目标功率水平、RF功率水平、衬底基座、卡盘和/或感测器位置以及由处理工具500执行的特定处理的其他参数。系统控制软件558可以以任何适当的方式来配置。例如,可以写入各种处理工具组件子例程或控制对象来控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件558可以用任何合适的计算机可读编程语言编码。
在一些实施方式中,系统控制软件558可以包括用于控制上述各种参数的输入/输出控制(IOC)排序指令。在一些实施方式中,可以采用存储在与系统控制器550相关联的大容量存储装置554和/或存储装置556上的其他计算机软件和/或程序。用于此目的的程序或程序段的例子包括衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的程序代码,其用于将衬底装载到基座518上并控制衬底与处理工具500的其他部分之间的隔离。
工艺气体控制程序可以包括用于控制气体组成(例如,如本文所述的含硅气体、含氧气体和吹扫气体)和流率的代码,并且可选地用于使气体在沉积之前流入一个或多个处理站以稳定处理站中的压力。压力控制程序可以包括用于通过调节例如处理站的排放系统中的节流阀、进入处理站的气流等来控制处理站中的压力的代码。
加热器控制程序可以包括用于控制流向用于加热衬底的加热单元的电流的代码。或者,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。
根据本文的实施方式,等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率水平的代码。
根据本文的实施方式,压力控制程序可以包括用于保持反应室中的压力的代码。
在一些实施方式中,可以存在与系统控制器相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
在一些实施方式中,由系统控制器550调节的参数可涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器550可以提供用于实现上述沉积过程的程序指令。程序指令可以控制诸如DC功率水平、RF偏置功率水平、压力、温度等各种处理参数。根据本文描述的各种实施方式,指令可以控制参数以操作膜堆叠的原位沉积。
系统控制器550通常将包括一个或多个存储器装置以及被配置为执行指令的一个或多个处理器,使得该装置将执行根据所公开的实施方式的方法。包含根据所公开的实施方式的用于控制处理操作的指令的机器可读介质可以耦合到系统控制器550。
在一些实施方案中,系统控制器550是系统的一部分,该系统的一部分可以是上述实施方式的一部分。这样的系统可以包括半导体处理装置,半导体处理装置包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动系统等)。这些系统可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型,系统控制器550可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制工艺气体的输送、温度的设置(例如,加热和/或冷却)、压力的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置、流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定系统的或与特定系统接口的装载锁的传送。
从广义上讲,系统控制器550可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是与各种单个的设置(或程序文件)形式的系统控制器550通信的指令,该设置定义在半导体晶片上或用于半导体晶片或向系统进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、硅氧化物、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,系统控制器550可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,系统控制器550可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机传送到系统。在一些实例中,系统控制器550接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,系统控制器550被配置成连接或控制该工具类型。因此,如上所述,系统控制器550可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器550可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILMDEPOSITION”的美国专利申请No.13/084,399(现为美国专利8,728,956)和在2011年4月11日提交的名称为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084,305中进一步讨论和描述了用于执行本文公开的方法的适当装置,这些专利文件分别全部并入本文。
本文描述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如用于制造或制备半导体器件、显示器、LED、光伏板等。典型地,但不必需地,这样的工具/工艺将被用于或者在共同的制造设施中一起进行。光刻图案化膜通常包括以下操作中的一些或全部,每种操作可用多个可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见或UV或X射线光;(4)使抗蚀剂显影以选择性地去除抗蚀剂,从而使用诸如湿台之类的工具对其进行图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
本文描述的蚀刻操作(诸如用于蚀刻对间隔物材料有选择性的核心材料)可以在任何合适的处理室中执行。在一些实施方式中,可以在感应耦合等离子体(ICP)反应器中蚀刻衬底,如下面关于图6所述。
现在描述在某些实施方式中可以适用于蚀刻操作的电感耦合等离子体(ICP)反应器。这种ICP反应器也在2013年10月12日提交的题为“IMAGE REVERSAL WITH AHM GAP FILLFOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中描述,为了所有目的通过引用将其全部并入本文。尽管在此描述了ICP反应器,但是在一些实施方式中,应该理解,也可以使用电容耦合等离子体反应器。
图6示意性地示出了适合于实施本文的某些实施方式的电感耦合等离子体集成蚀刻和沉积装置600的截面图,其示例是由加利福尼亚州弗里蒙特的Lam Research公司生产的KiyoTM反应器。电感耦合等离子体装置600包括由室壁601和窗口611在结构上限定的整个处理室。室壁601可以由不锈钢或铝制成。窗口611可以由石英或其他介电材料制成。可选的内部等离子体栅格650将整个处理室划分为上部子室602和下部子室603。在大多数实施方式中,可以移除等离子体栅格650,由此利用由子室602和603构成的室空间。卡盘617位于底部内表面附近的下部子室603内。卡盘617构造成接收并保持在其上执行蚀刻和沉积工艺的半导体晶片619。卡盘617可以是用于支撑晶片619(存在时)的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘617,并且当晶片619存在于卡盘617上方时具有与晶片619的顶表面大致平坦的上表面。卡盘617还包括用于夹持和解除夹持晶片的静电电极。可以为此提供滤波器和DC钳位电源(未示出)。还可以提供用于将晶片619从卡盘617提起的其他控制系统。卡盘617可以使用RF电源623充电。RF电源623通过连接件627连接到匹配电路621。匹配电路621通过连接件625连接到卡盘617。以这种方式,RF电源623连接到卡盘617。
用于等离子体产生的元件包括定位在窗口611上方的线圈633。在一些实施方式中,在所公开的实施方式中不使用线圈。线圈633由导电材料制成并且包括至少一个完整的匝。图6所示的线圈633的例子包括三匝。线圈633的横截面用符号表示,具有“X”的线圈旋转地延伸到页面中,而具有“●”的线圈旋转地延伸出页面。用于等离子体产生的元件还包括配置成向线圈633供应RF功率的RF电源641。一般而言,RF电源641通过连接件645连接到匹配电路639。匹配电路639通过连接件643连接到线圈633。以这种方式,RF电源641连接到线圈633。可选的法拉第屏蔽件649位于线圈633和窗口611之间。法拉第屏蔽件649保持为相对于线圈633间隔开。法拉第屏蔽件649设置在窗口611的正上方。线圈633、法拉第屏蔽件649和窗口611均被配置为彼此基本平行。法拉第屏蔽件可以防止金属或其他物质沉积在等离子体室的电介质窗口上。
工艺气体可以通过位于上室中的一个或多个主气流入口660和/或通过一个或多个侧气流入口670流入处理室。同样,尽管未明确示出,类似的气流入口可用于将工艺气体供应到电容耦合等离子体处理室。可以使用真空泵(例如,一级或两级机械干泵和/或涡轮分子泵640)将工艺气体抽出处理室601并保持处理室601内的压力。例如,泵可以用于抽空室601。可以使用阀控管道将真空泵流体连接到处理室,以便选择性地控制真空泵提供的真空环境的施加。这可以在操作等离子体处理期间采用闭环控制的流动限制装置(诸如节流阀(未示出)或摆动阀(未示出))来完成。同样地,也可以采用与电容耦合的等离子体处理室流体连接的真空泵和受控阀。
在装置的操作期间,可以通过气流入口660和/或670供应一种或多种工艺气体。在某些实施方式中,工艺气体可以仅通过主气流入口660供应,或者仅通过侧气流入口670供应。在一些情况下,图中所示的气流入口可被替换为更复杂的气流入口,例如一个或更多个喷头。法拉第屏蔽649和/或可选栅格650可以包括允许将工艺气体输送到室的内部通道和孔。法拉第屏蔽649和可选的栅格650中的任一个或两个可以充当用于输送工艺气体的喷头。在一些实施方式中,液体汽化和输送系统可以位于室601的上游,使得一旦液体反应物汽化,就将汽化的反应物经由气流入口660和/或670引入到室中。
从RF电源641向线圈633供应射频功率以使得RF电流流过线圈633。流过线圈633的RF电流在线圈633周围产生电磁场。电磁场在上部子室602内产生感应电流。各种产生的离子和自由基与晶片619物理和化学相互作用而选择性地蚀刻晶片上的特征并沉积层在晶片上。
如果使用等离子体栅格使得存在上部子室602和下部子室603两者,则感应电流作用于存在于上部子室602中的气体以在上部子室602中产生电子-离子等离子体。可选的内部等离子体栅格650限制下部子室603中的热电子的量。在一些实施方式中,装置被设计和操作成使得存在于下部子室603中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体都可以包含正离子和负离子,但离子-离子等离子体将具有更大比例的负离子与正离子。挥发性蚀刻和/或沉积副产物可以通过端口622从下部子室603移除。本文公开的卡盘617可以在约10℃和约850℃之间范围的升高的温度下操作。温度取决于工艺操作和具体配方。
当安装在洁净室或制造设施中时,室601可以连接到设施(未示出)。设施包括提供工艺气体、真空、温度控制和环境颗粒控制的管道。当安装在目标制造设施中时,这些设施被耦合到室601。另外,室601可以连接到传送室,传送室允许机械手使用典型的自动化系统将半导体晶片进出室601进行传送。
在一些实施方式中,系统控制器630(其可以包括一个或多个物理或逻辑控制器)控制处理室的一些或全部操作。系统控制器630可以包括上面关于系统控制器550描述的任何一个或多个特性。
图7描绘了具有与真空传输模块738(VTM)接口的各种模块的半导体工艺集群架构。传送模块在多个存储设施和处理模块之间“传送”晶片的布置可以被称为“集群工具架构”系统。在VTM 738中示出了也称为装载锁或传输模块的气闸730,其具有四个处理模块720a-720d,四个处理模块720a-720d可被单独优化以执行不同制造工艺。作为示例,处理模块720a-720d可以被实现为执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其他半导体工艺。在一些实施例中,在相同模块中执行ALD和选择性蚀刻。在一些实施方式中,在同一工具的不同模块中执行ALD和选择性蚀刻。根据所公开的实施方式,一个或多个衬底蚀刻处理模块(720a-720d中的任一个)可以如本文所公开的那样实现,即,用于沉积保形膜、通过ALD选择性地沉积膜、蚀刻图案以及其他合适的功能。气闸730和处理模块720可以被称为“站”。每个站具有将站连接到VTM 738的端面736。在每个端面内,传感器118被用于检测晶片726的在相应站之间移动时的通过。
机械手722在站之间传送晶片726。在一个实施方式中,机械手722具有一个臂,并且在另一个实施方式中,机械手722具有两个臂,其中每个臂具有末端执行器724以拾取诸如晶片726之类的晶片以用于运输。在大气传送模块(ATM)740中的前端机械手732被用于将来自装载端口模块(LPM)742中的盒或前开口式统一盒(FOUP)734的晶片726传送到气闸730。在处理模块720内的模块中心728是用于放置晶片726的一个位置。ATM 740中的对准器744用于对准晶片。
在示例性处理方法中,将晶片放置在LPM 742中的FOUP 734中的一个中。前端机械手732将晶片从FOUP 734传送到对准器744,对准器744使得晶片726在蚀刻或处理之前能适当地居中。在对准之后,晶片726被前端机械手732移动到气闸730中。由于气闸模块具有匹配ATM和VTM之间的环境的能力,所以晶片726能够在两个压力环境移动而没有被损坏。从气闸模块730,晶片726被机械手722通过VTM 738移动到处理模块720a-720d中的一个中。为了实现这种晶片移动,机械手722在其每个臂上使用末端执行器724。一旦晶片726已经被处理,其就由机械手722从处理模块720a-720d移动到气闸模块730。从这里,晶片726可以被前端机械手732移动到FOUP 734中的一个或者移动到对准器744。
应该注意的是,控制晶片移动的计算机可以相对于集群架构是本地的、或者可以位于制造楼层中的集群架构的外部、或者位于远程位置并且经由网络连接到集群架构。上面关于图5描述的控制器可以用图7中的工具来实现。
实验
进行实验以将填隙氧化硅材料沉积到深宽比为4:1且开口为30nm的间隙中,并且在衬底上的图案化核心材料上方更大。以下操作的多个沉积循环针对三个衬底中的每一个进行:含硅前体投配,吹扫,具有等离子体的氧气/氩气,吹扫。第一衬底在50℃沉积,第二衬底在200℃沉积,第三衬底在400℃沉积。所有三种衬底在相应的温度下表现出良好的填充能力,这表明使用填隙材料具有广泛的适用性。膜密度在不同温度下变化,在较高沉积温度下膜密度较高。
结论
尽管为了清楚理解的目的已经相当详细地描述了前述实施方式,但是显然可以在所附权利要求的范围内实施某些改变和修改。应该注意的是,实现这些实施方式的工艺、系统和装置有很多替代方式。因此,本文的实施方式被认为是说明性的而不是限制性的,并且实施方式并不限于这里给出的细节。

Claims (15)

1.一种处理衬底的方法,所述方法包括:
提供具有目标层、位于目标层上方的图案化核心材料、与所述图案化核心材料的侧壁相邻的侧壁间隔物以及位于所述侧壁间隔物之间的间隙的衬底,其中所述图案化核心材料的顶表面被暴露;
通过原子层沉积将包含单一材料的填隙材料保形地沉积在所述衬底上,使得所述填隙材料沉积在所述衬底上的所述间隙中;
在沉积所述填隙材料之后,平坦化所述衬底以形成包括所述填隙材料、侧壁间隔物和所述图案化核心材料的平坦表面,其中平坦化所述衬底导致所述侧壁间隔物对称成形,从而形成对称形状的侧壁间隔物;
相对于所述图案化的核心材料和所述侧壁间隔物选择性地蚀刻所述填隙材料;以及
选择性地蚀刻所述图案化的核心材料以留下对称形状的侧壁间隔物以用作蚀刻所述目标层的掩模,其中所述对称形状的侧壁间隔物具有与所述对称形状的侧壁间隔物的顶面成90°±5°取向的侧壁, 其中所述对称形状的侧壁间隔物具有平坦的顶部轮廓。
2.根据权利要求1所述的方法,其中所述填隙材料沉积持续足够在所述衬底上填充所述间隙的持续时间。
3.根据权利要求1所述的方法,其中所述填隙材料选自由氧化硅、氮化硅和碳化硅构成的组。
4.根据权利要求1所述的方法,其中所述间隙具有小于x nm的开口,并且所述填隙材料被沉积持续足以将所述填隙材料沉积至介于0.5×x nm之间的厚度的持续时间。
5.根据权利要求4所述的方法,其中x是50。
6.根据权利要求4所述的方法,其中x小于50。
7.根据权利要求1所述的方法,其中所述对称形状的侧壁间隔物包括所述填隙材料。
8.根据权利要求1所述的方法,其中所述对称形状的侧壁间隔物用于双或四图案化技术。
9.根据权利要求1所述的方法,其中,所述图案化核心材料选自由旋涂碳、类金刚石碳以及填隙可灰化硬掩模构成的组。
10.根据权利要求1所述的方法,其中沉积所述填隙材料包括将所述衬底暴露于含硅前体和氧化等离子体的交替脉冲。
11.根据权利要求1所述的方法,其中所述填隙材料被沉积以使得在所述衬底上的所述填隙材料中形成接缝。
12.如权利要求1所述的方法,其中所述填隙材料的沉积持续时间足以在所述衬底上形成覆盖层。
13.如权利要求1所述的方法,其中所述填隙材料是氧化钛。
14.根据权利要求1所述的方法,其中在沉积所述填隙材料之前所述图案化核心材料中的间隙具有大于20:1的深宽比。
15.一种处理衬底的方法,包括:
提供具有目标层、位于所述目标层上方的图案化核心材料以及所述图案化核心材料之间的间隙的衬底;
在所述图案化核心材料上共形地沉积包含单一材料的填隙材料,使得所述填隙材料沉积在所述衬底上的所述间隙中,其中所述填隙材料被沉积,使得在所述衬底上的所述填隙材料中形成接缝;
在沉积所述填隙材料之后,通过化学机械平坦化来平坦化所述衬底以形成包括所述填隙材料和所述图案化核心材料的平坦表面,其中平坦化所述衬底导致所述填隙材料对称成形并具有水平的平坦顶部轮廓,从而形成包含所述填隙材料的对称形状的间隔物,其中所述对称形状的侧壁间隔物具有与所述对称形状的侧壁间隔物的顶面成90°±5°取向的侧壁;以及
选择性地蚀刻所述图案化的核心材料以形成所述对称形状的间隔物,其中所述对称形状的间隔物用作掩模以蚀刻所述目标层。
CN201711112653.9A 2016-11-11 2017-11-13 采用ald填隙间隔物掩模的自对准多重图案化处理流程 Active CN108183071B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/349,746 US10832908B2 (en) 2016-11-11 2016-11-11 Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US15/349,746 2016-11-11

Publications (2)

Publication Number Publication Date
CN108183071A CN108183071A (zh) 2018-06-19
CN108183071B true CN108183071B (zh) 2022-10-04

Family

ID=62106389

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711112653.9A Active CN108183071B (zh) 2016-11-11 2017-11-13 采用ald填隙间隔物掩模的自对准多重图案化处理流程

Country Status (6)

Country Link
US (1) US10832908B2 (zh)
JP (1) JP2018085504A (zh)
KR (1) KR102514839B1 (zh)
CN (1) CN108183071B (zh)
SG (1) SG10201709240QA (zh)
TW (1) TW201833992A (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
CN109216163A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN111630203A (zh) * 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP2019204815A (ja) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN110828301B (zh) * 2018-08-09 2023-02-28 长鑫存储技术有限公司 用于形成图形的方法
US10796969B2 (en) * 2018-09-07 2020-10-06 Kla-Tencor Corporation System and method for fabricating semiconductor wafer features having controlled dimensions
US11069528B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11551930B2 (en) * 2018-12-12 2023-01-10 Tokyo Electron Limited Methods to reshape spacer profiles in self-aligned multiple patterning
TWI736820B (zh) * 2018-12-27 2021-08-21 力晶積成電子製造股份有限公司 半導體元件的製造方法
US11145509B2 (en) 2019-05-24 2021-10-12 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
CN110459465B (zh) * 2019-08-30 2022-03-04 上海华力微电子有限公司 自对准双层图形的形成方法
TWI730821B (zh) * 2020-06-22 2021-06-11 力晶積成電子製造股份有限公司 多重圖案化方法
US11482411B2 (en) 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101304001A (zh) * 2007-03-15 2008-11-12 应用材料股份有限公司 在含有电介质材料的硅形成中改进的空隙填充沉积
TW201320170A (zh) * 2011-09-30 2013-05-16 Tokyo Electron Ltd 由交替之原子層沉積製程形成之多層圖案
CN103972076A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种自对准双层图形的形成方法
CN104752199A (zh) * 2013-11-07 2015-07-01 诺发系统公司 用于先进图案化的软着陆纳米层压层
CN105917445A (zh) * 2014-01-13 2016-08-31 应用材料公司 具有空间原子层沉积的自对准式双图案化
CN105977141A (zh) * 2016-05-10 2016-09-28 上海格易电子有限公司 一种自对准双重图形化的方法

Family Cites Families (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CN1175470C (zh) 1999-11-02 2004-11-10 东京威力科创股份有限公司 多个工件的超临界处理的方法和装置
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
IL152376A0 (en) 2000-04-25 2003-05-29 Tokyo Electron Ltd Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100583105B1 (ko) * 2003-12-24 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 화학적 기계적 연마 공정의 종말점 검출 방법
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) * 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8268727B2 (en) * 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8138097B1 (en) * 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) * 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) * 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
US8883649B2 (en) * 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
CN103635605B (zh) 2011-04-07 2017-03-08 皮考逊公司 具有等离子体源的原子层沉积
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20150251917A1 (en) * 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) * 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) * 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
WO2016022518A1 (en) * 2014-08-08 2016-02-11 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) * 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) * 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
CN106298519A (zh) * 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) * 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
CN108292591A (zh) * 2015-09-24 2018-07-17 东京毅力科创株式会社 形成用于亚分辨率衬底图案化的刻蚀掩模的方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
US10103032B2 (en) 2015-11-20 2018-10-16 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101304001A (zh) * 2007-03-15 2008-11-12 应用材料股份有限公司 在含有电介质材料的硅形成中改进的空隙填充沉积
TW201320170A (zh) * 2011-09-30 2013-05-16 Tokyo Electron Ltd 由交替之原子層沉積製程形成之多層圖案
CN104752199A (zh) * 2013-11-07 2015-07-01 诺发系统公司 用于先进图案化的软着陆纳米层压层
CN105917445A (zh) * 2014-01-13 2016-08-31 应用材料公司 具有空间原子层沉积的自对准式双图案化
CN103972076A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种自对准双层图形的形成方法
CN105977141A (zh) * 2016-05-10 2016-09-28 上海格易电子有限公司 一种自对准双重图形化的方法

Also Published As

Publication number Publication date
JP2018085504A (ja) 2018-05-31
SG10201709240QA (en) 2018-06-28
CN108183071A (zh) 2018-06-19
KR102514839B1 (ko) 2023-03-27
TW201833992A (zh) 2018-09-16
US10832908B2 (en) 2020-11-10
US20180138040A1 (en) 2018-05-17
KR20180053238A (ko) 2018-05-21

Similar Documents

Publication Publication Date Title
CN108183071B (zh) 采用ald填隙间隔物掩模的自对准多重图案化处理流程
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
CN112368804A (zh) 含金属硬掩模薄膜的选择性生长
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
US20230154754A1 (en) Loss prevention during atomic layer deposition
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant