CN111819659A - 基于蚀刻残渣的抑制剂的选择性处理 - Google Patents

基于蚀刻残渣的抑制剂的选择性处理 Download PDF

Info

Publication number
CN111819659A
CN111819659A CN201980018132.8A CN201980018132A CN111819659A CN 111819659 A CN111819659 A CN 111819659A CN 201980018132 A CN201980018132 A CN 201980018132A CN 111819659 A CN111819659 A CN 111819659A
Authority
CN
China
Prior art keywords
region
sacrificial material
deposition
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980018132.8A
Other languages
English (en)
Inventor
卡希什·沙玛
特塞翁格·金姆
萨曼莎·坦
丹尼斯·M·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111819659A publication Critical patent/CN111819659A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Abstract

可以进行牺牲材料在半导体衬底上的选择性沉积,所述衬底具有有多个衬底材料区域的表面,所述多个衬底材料区域对于所述牺牲材料具有不同的选择性,使得在所述衬底表面的第一区域上发生所述牺牲材料的实质性沉积,而在所述衬底表面的第二区域上没有发生实质性沉积。然后可以在所述衬底上进行非牺牲材料的沉积,使得在所述第二区域上发生所述非牺牲材料的实质性沉积,并且在所述第一区域上没有发生所述非牺牲材料的实质性沉积。接着可以去除所述牺牲材料,使得仅在所述第二区域上实质性发生所述非牺牲材料的净沉积。

Description

基于蚀刻残渣的抑制剂的选择性处理
相关申请的交叉引用
根据35U.S.C.§119(e),本申请要求于2018年1月16日提交的名称为“SELECTIVEPROCESSING WITH ETCH RESIDUE-BASED INHIBITORS”的美国临时申请No.62/617,616的优先权利益,通过引用将其全文并入本文并用于所有目的。
背景技术
已经证明了使用常规气相沉积处理(ALD、CVD、PEALD、PECVD)进行的选择性沉积可用于多种膜/衬底系统,但在所有情况下,选择性都会随时间推移而丧失。解决选择性损失的一种方法是合并周期性回蚀或重置步骤,从而将两个表面(希望沉积的表面和不希望沉积的表面)恢复到重新获得选择性的状态。
发明内容
提供了在半导体衬底上进行沉积的方法和装置。该方法包括:在半导体衬底上选择性地沉积牺牲材料,所述衬底具有有多个衬底材料区域的表面,所述多个衬底材料区域对于所述牺牲材料具有不同的选择性,使得在所述衬底表面的第一区域上发生所述牺牲材料的实质性沉积,而在所述衬底表面的第二区域上没有发生实质性沉积。然后可以在所述衬底上进行非牺牲材料的沉积,使得在所述第二区域上发生所述非牺牲材料的实质性沉积,并且在所述第一区域上没有发生所述非牺牲材料的实质性沉积。接着可以去除所述牺牲材料,使得仅在所述第二区域上实质性发生所述非牺牲材料的净沉积。
在多种实施方案中,在周期性回蚀/重置操作期间发生所述牺牲材料的所述选择性沉积,在所述周期性回蚀/重置操作期间,所产生的蚀刻残渣为所述选择性沉积提供所述牺牲材料中的一些或全部。
在多种实施方案中,所述非牺牲材料的所述沉积的所述选择性可以基于所述第一区域的衬底材料和所述第二区域的衬底材料的电特性的差异。
在多种实施方案中,所述牺牲材料的沉积可以通过非共价键合发生在所述衬底表面的第一区域上,并且所述非牺牲材料的沉积可以通过共价键合发生在所述衬底表面的所述第二区域上。
在多种实施方案中,所述非牺牲材料在所述第二区域上的所述实质性沉积以及所述非牺牲材料在所述衬底的所述第一区域上的所述牺牲材料上的非实质性沉积可以具有化学基础。
在多种实施方案中,所述第一区域和所述第二区域可以分别是电介质和金属,或者分别是不同的电介质,所述不同的电介质具有不同的介电常数。所述第一区域的所述介电常数可以低于所述第二区域的所述介电常数,例如低至少3/4。在多种实施方案中,所述第一区域的所述电介质是SiO2或SiN,并且所述第二区域的所述电介质是ZrO2
在多种实施方案中,所述牺牲材料是碳氟化合物(CFx)或硼氧化物(BOxCly)。
在多种实施方案中,所述非牺牲材料可以是金属。例如Cu、Al、W、Co或Ti。在多种实施方案中,所述非牺牲材料的沉积是均厚沉积(blanket deposition)。
在多种实施方案中,在周期性回蚀/重置操作期间发生所述牺牲材料的所述选择性沉积,在所述周期性回蚀/重置操作期间,所产生的蚀刻残渣为所述选择性沉积提供所述牺牲材料中的一些或全部。
在多种实施方案中,提供了一种用于处理衬底的装置,该装置包括:一个或多个处理室,每个处理室具有卡盘;进入所述处理室和相关的流量控制硬件的一个或多个进气口;和具有处理器和存储器的控制器,其中所述处理器和所述存储器相互通信地连接,所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以通过以下方式至少控制所述流量控制硬件:在半导体衬底上进行沉积,包括在半导体衬底上选择性地沉积牺牲材料,所述衬底具有有多个衬底材料区域的表面,所述多个衬底材料区域对于所述牺牲材料具有不同的选择性,使得在所述衬底表面的第一区域上发生所述牺牲材料的实质性沉积,而在所述衬底表面的第二区域上没有发生实质性沉积;在所述衬底上沉积非牺牲材料,使得在所述第二区域上发生所述非牺牲材料的实质性沉积,并且在所述第一区域上没有发生所述非牺牲材料的实质性沉积;以及去除所述牺牲材料,使得仅在所述第二区域上实质性发生所述非牺牲材料的净沉积。
下面至少部分地参考附图进一步详细描述本公开的这些和其他方面。
附图说明
图1是描述根据所公开的实施方案的方法中的操作的工艺流程图。
图2是描述用于执行所公开的实施方案的示例性工艺的工艺流程图。
图3是描述用于执行所公开的实施方案的示例性工艺的工艺流程图。
图4是描述用于执行所公开的实施方案的示例性工艺的工艺流程图。
图5是原子层沉积处理站的实施方案的示意图。
图6是原子层蚀刻和沉积的示例的示意图。
图7是用于执行所公开的实施方案的示例处理室的示意图。
图8是用于执行所公开的实施方案的示例性处理装置的示意图。
图9是用于执行所公开的实施方案的另一示例性处理装置的示意图。
图10是用于执行所公开的实施方案的示例处理集群架构的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实践本发明所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。此外,虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是,这些具体的实施方案并不意在限制所公开的实施方案。
本发明提供的方法涉及选择性沉积工艺(例如ALD)与受控蚀刻(例如ALE)的组合,以在选择性沉积工艺期间保持生长选择性并改善缺陷消除性能。在一些实施方案中,在半导体衬底上的选择性沉积可以通过在ALD和ALE工艺之间周期性地交替来完成。
选择性沉积通过例如从其底部向上构建晶片来实现各种三维(3D)架构的连续器件小型化和制造。选择性沉积的其他优点包括但不限于:简化的集成流程(即,不需要单独的光刻和/或蚀刻工艺)、增强的特征密度和/或缩放(即,使得附加的器件特征可以包括在较小的横截面面积中)和图案化(即改进的覆盖)。
然而,目前可用的选择性沉积方法通常是材料和/或系统特定的,因此不存在根据意愿选择性沉积金属和/或介电材料的通用方法。以其他方式与选择性沉积相关的其他挑战是缺陷的产生以及对于相对狭窄的工艺窗的需求。由于典型的沉积工艺在评估成核延迟的能力方面是有限的和/或无法选择性生长材料,所以沉积选择性也可能随着沉积的进行而劣化。此外,沉积选择性的这种劣化通过选择沉积使得相对较厚的膜生长相对困难。
一种解决选择性损失的方法是采用周期性回蚀或重置步骤,从而将两个表面(希望沉积的表面和不希望沉积的表面)恢复到重新获得选择性的状态。
目前,使用循环沉积-蚀刻步骤的选择性处理主要在沉积步骤期间使用共价连接的化学抑制剂来实现选择性。该工艺的蚀刻步骤在功能上仅是凹陷步骤,并且在增强沉积步骤期间的选择性方面不发挥重要作用。同样,由于化学过程对特定表面官能团的固有选择性,抑制方法主要是通过共价键合进行的。
另一种方法是在选择性工艺的蚀刻步骤期间使用产生的残渣或产生的此类残渣作为选择性沉积的牺牲材料。通过适当修改此工艺的回蚀步骤,由于仅在膜沉积被抑制所在的表面上沉积了基于蚀刻残渣类型的抑制剂(例如碳氟化合物(CFx)或硼氧化物(BOxCly)),因此可以提高后续的沉积选择性。
已经观察到这些残渣(有时称为“聚合物”)选择性沉积在某些衬底表面材料上,而没有沉积在其他材料上;例如,电介质而不是金属(例如SiO2,但不是Cu),或一种电介质,但又不是另一种电介质(例如,SiO2和SiN,但不在ZrO2上)。这些选择性沉积的牺牲材料(其可以在净沉积工艺中的定期回蚀/重置操作期间生成和沉积)可以非共价附着到衬底表面,然后在灰化步骤中去除,例如可以由Lam Research Corporation提供的GammaTM模块执行。
适用于某些实施方案的(非牺牲性)膜沉积工艺条件包括:0.5-10托,总流量1-20slm,温度在50至400℃之间,RF功率(如果使用)在100至1000W/300mm晶片,时序:1-5秒前体暴露,中间间隔1-5秒。
合适的抑制剂(牺牲性材料)沉积可以使用以下条件进行:CFx或BOx前体(包括CxFy(即CF4、C4F8))或BH3、BCl3、O2,压强10-500毫托,总流量10-1000sccm,温度0-120℃,RF功率10-1000W,RF偏置0-100V。蚀刻残渣通常是CFx聚合物或BOx聚合物(例如,TeflonTM是CFx聚合物),它可能完全或几乎完全来自原料气体;被蚀刻的材料也可以混合其中。
图1提供了一种用于执行根据所公开的实施方案的方法中的操作的工艺流程图。图1中的操作可以在例如约1毫托与约100托之间的室压强下进行,例如约1毫托和约1托之间的室压强下进行。图1所示的方法通常涉及在半导体衬底上进行沉积。具体而言,如操作102,将由多种不同衬底材料组成或以其他方式包括多种不同衬底材料的半导体衬底提供给处理室。
参照操作102中在其中提供半导体衬底的室,该室可以是在多室装置或单室装置中。半导体衬底可以是硅晶片,例如200mm晶片,300mm晶片或450mm晶片,包括上面沉积有一层或多层材料(例如电介质、导电或半导电材料)的晶片。在一些实施方案中,半导体衬底包括硅覆盖层,诸如非晶硅覆盖层,或包括锗覆盖层。半导体衬底也可以包括预先在半导体衬底上沉积并图案化的图案化掩模层,例如,非晶硅的掩模层。
在一些实施方案中,衬底上的层可以被图案化。衬底可以具有诸如通孔或接触孔的“特征”,其可以通过狭窄和/或凹入开口,特征内的收缩部或高深宽比中的一个或多个来表征。该特征可以形成在上述层中的一个或多个中。特征的一个示例是半导体衬底中的孔或通孔或衬底上的层中的孔或通孔。另一个示例是衬底或层中的沟槽。在多种实施方案中,特征可以具有下层,诸如阻挡层或粘附层。下层的非限制性示例包括介电层和导电层,例如氧化硅层、氮化硅层、碳化硅层、金属氧化物层、金属氮化物层、金属碳化物层和金属层。
在操作104处,例如如下面参考图6所述,将牺牲材料选择性地沉积到半导体衬底的第一区域上。衬底具有有多个衬底材料区域的表面,该多个衬底材料区域对牺牲材料具有不同选择性,从而在衬底表面的第一区域上发生了牺牲材料的实质性沉积,而在衬底表面的第二区域上没有发生实质性沉积。例如,牺牲材料可以是蚀刻残渣聚合物,例如碳氟化合物(CFx)或硼氧化物(BOxCly)。在一些实施方案中,牺牲材料的沉积通过非共价键合发生在衬底表面的第一区域上。
可以例如通过CVD、ALD或经修改的ALE来沉积牺牲材料,其中作为蚀刻半导体衬底的替代或补充,将蚀刻残渣沉积在半导体衬底上。例如通过如下所述的ALD沉积牺牲材料导致共价连接。但是通过CVD沉积(例如使用CxFy化合物)可产生类似薄PTFE的膜,其主要通过与表面的非共价相互作用而被吸附。合适的CVD条件包括压强:1-10Torr,前体气体流量:1-20slm,温度100-400℃。
ALE是一种使用顺序自限制反应去除薄的材料层的技术。通常,可以使用任何合适的技术来执行ALE。原子层蚀刻技术的示例在于2014年11月11日授权的美国专利No.8,883,028以及于2014年8月19日授权的美国专利No.8,808,561中描述,为了描述示例性原子层蚀刻和蚀刻技术,通过引用将这两个专利并入本文。在各种实施方案中,ALE可以用等离子体执行,或者可以热执行。
如图1所示的操作104可以在多个循环中进行。“ALE循环”的概念与本文中各种实施方案的讨论相关。通常,ALE循环是用于执行蚀刻工艺一次(例如蚀刻单层)的最小操作集合。一个循环的结果是蚀刻衬底表面上的膜层的至少一些。通常,ALE循环包括形成反应层的改性操作,接着是去除操作以去除或仅蚀刻该改性层。该循环可包括某些辅助操作,例如扫除反应物或副产物中的一种。通常,循环包含唯一操作序列的一个实例。例如,ALE循环可以包括以下操作:(i)输送反应物气体,(ii)从室中清除反应物气体,(iii)输送去除气体和任选的等离子体,以及(iv)清扫所述室。在一些实施方案中,蚀刻可以非共形地进行。
通常,ALD是一种利用顺序自限性反应沉积薄材料层的技术。ALD可以使用任何合适的技术来执行。在多种实施方案中,ALD可以用等离子体执行,或者可以热执行。而且,操作104可以循环执行,即在本文中被称为“ALD循环”。ALD循环的构思与本文多种实施方案的讨论相关。通常,ALD循环是用于一次执行表面沉积反应的最小操作集合。例如,一个成功的ALD循环的结果是在期望的衬底表面(例如操作104的第一衬底材料)上产生至少部分含硅膜层。典型地,ALD循环包括一些操作,这些操作用以输送和吸附至少一种反应物到衬底表面,然后使吸附的反应物与位于衬底表面上的一种或多种反应物反应以形成例如至少一部分膜层。ALD循环可以包括某些辅助操作,例如清扫反应物或副产物之一和/或处理所沉积的部分膜。通常,循环包含唯一操作序列的一个示例。作为示例,ALD循环可以包括以下操作:(i)输送/吸附含硅前体,(ii)从室清扫含硅前体,(iii)输送第二反应物和等离子体,以及(iv)从室清扫等离子体。
图6示出了ALE循环的两个示例性示意图。图671a-671e示出了通用ALE循环。在671a中,提供衬底。在671b中,使衬底的表面改性。在671c中,准备下一步骤。在671b中,蚀刻改性层。在671e中,去除改性层。类似地,图672a-672e示出了用于蚀刻硅膜的ALE循环的示例。在672a中,提供了包括许多硅原子的硅衬底。在672b中,将反应物气体氯引入到衬底以使衬底的表面改性。作为示例,672b中的示意图示出了一些氯被吸附到衬底的表面上。尽管在图1B中描述了氯,但是可以使用任何含氯化合物或合适的反应物。在672c中,从室中清除反应物气体氯。在672d中,用如Ar+等离子体物质和箭头所示的定向等离子体引入去除气体氩,并且执行离子轰击以去除衬底的改性表面。在该操作期间,向衬底施加偏置以朝向衬底吸引离子。在672e中,室被清扫并且副产物被去除。
在一些实施方案中,在操作104中,四氟甲烷(CF4)可经由ALD操作和/或循环沉积在各种所讨论的衬底上,这些衬底包括(但不限于)以下:氧化硅(SiO2)、氮化硅(Si3N4)、碳化硅(SiC)、氧化铝(AlO3)和氮化铝(AlN)。而且,CF4可以单独地和/或组合地沉积在诸如铪(Hf),锆(Zr)和氧化锡(SnO2)等通用高K介电层和/或诸如钨(W)、铜(Cu)、钴(Co)、铝(Al)、钛(Ti)、硅(Si)和碳(C)等导电膜上。
图6显示了用于沉积氧化硅(SiO2)的ALD循环的示例性示意图。图表682a-682e显示通用ALD循环。在682a中,提供了包括许多硅原子的硅衬底。在682b中,将氧作为氧自由基引入衬底,从而使衬底的表面改性。这可能是反应物和等离子体的输送。作为示例,注意到一些氧自由基被吸附到衬底的表面上。在682c中,氧自由基从室中清除。在682d中,引入含硅前体或硅源,并且硅源与吸附在衬底表面上的氧自由基反应。在682e中,清扫室并去除副产物,留下沉积的SiO2层。
在一些实施方案中,通过ALD沉积的膜可以是高度共形的。可以通过台阶覆盖率(step coverage)来测量膜的共形性。可以通过比较特征的底部、侧壁或顶部上的沉积的膜的平均厚度与特征的底部、侧壁或顶部上的沉积的膜的平均厚度来计算台阶覆盖率。例如,可以通过将在侧壁上的沉积膜的平均厚度除以在该特征的顶部的沉积的膜的平均厚度并将其乘以100以获得百分比来计算阶梯覆盖率。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应逐层地沉积膜。在ALD工艺的一个实施例中,包含表面活性位点群的衬底表面暴露于按剂量提供到容纳衬底的处理室的气相分布的第一前体,如含硅前体。该第一前体的分子被吸附在衬底表面,包含第一前体的化学吸附物质和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的吸附层可包含含硅前体以及含硅前体的衍生物。在某些实施方案中,ALD前体的剂量部分地充满衬底的表面。在一些实施方案中,在使前体接触衬底以均匀地充满表面之前,结束ALD循环的投配阶段。典型地,在这时将前体流关断或转移,并且仅仅清扫气体流动。通过在这种亚饱和状态下工作,ALD工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限的,因此被吸附的前体浓度在整个衬底表面可以略有变化。在亚饱和状态操作ALD工艺的实施例在2013年10月23日提交的、名称为“SUB-SATURATED ATOMIC LAYER DEPOSITIONAND CONFORMAL FILM DEPOSITION,”的美国专利申请No.14/061,587中被提供,该专利文件通过引用整体并入本发明。在第一前体投配之后,将反应器抽空以除去保持在气相的任何第一前体,使得仅吸附物质保留。将第二反应物如含氧或含氮气体引入反应器,以使这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二前体立即与吸附的第一前体反应。在其他实施方案中,第二前体仅在临时施加激活源之后才起反应。然后可以将反应器再次抽空以去除未结合的第二前体分子。额外的ALD循环可以用于建立膜厚度。
在一些实现方式中,所述ALD方法包含等离子体活化。例如当第二反应物被输送到室时。如本文所述,本文所述的ALD方法和装置可以是共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084399(现在的美国专利No.8728956)和2011年4月11日提交的名称“SILICONNITRIDE FILMS AND METHODS”的美国专利申请No.13/084305中,这些专利文件通过引用整体并入本文。在Puurunen,“Surface chemistry of atomic layer deposition:for thetrimethylaluminum/water process”,97J.Applied Physics 12301(2005)中描述了ALD工艺的另外的示例,该申请通过引用并入本文以提供对合适的ALD工艺的描述。
此外,在操作104中,在一些实施方案中,可以连续地使诸如N2、Ar、Ne、He及其组合之类的载气流动。载气可以用作清扫气体。可以提供惰性气体以协助处理室的压强和/或温度控制、液体反应物的蒸发、更迅速地输送反应物和/或作为打扫气体用于从处理室和/或处理室管道去除工艺气体。
在操作106,将非牺牲材料沉积在衬底上。本文提供可在操作106中进行的吸附和第二反应物输送操作的实例。在ALD循环的吸附操作中,上面预期进行ALD的衬底可暴露于膜前体,例如四甲基乙基锆或四(乙基甲基氨基)锆(Zr(NEtMe)4),以使其吸附到衬底表面上。在一些实施方案中,膜前体可以是含硅前体。在一些实施方案中,膜前体,例如Zr(NEtMe)4,可以被吸附到约60%的衬底表面上。在多种实施方案中,当膜前体流到室中时,该膜前体吸附在衬底的表面上的活性位点上,从而在该表面上形成薄的膜前体层。在多种实施方案中,该层可以小于一个单层。
在吸附之后,室可被任选地清扫以除去气相中的未吸附到衬底表面的过量的前体。清扫会涉及打扫气体,打扫气体可以是在其它操作中使用的载气,或者可以是不同的气体。在一些实施方案中,清扫可包括抽空该室。
在ALD循环的第二反应物输送操作中,衬底可暴露于第二反应物和任选的等离子体。在多种实施方案中,第二反应物是氧(O2)或氮(N2)或其组合。在沉积氧化硅层的一些实施方案中,氧被用作第二反应物。在一些实施方案中,第二反应物流和等离子体两者都接通。在一些实施方案中,可以在接通等离子体之前接通第二反应物流,以例如允许第二反应物流稳定。
在一些实施方案中,可选的等离子体是原位等离子体,使得等离子体直接形成在室中的衬底表面上方。在多种实施方案中,等离子体可以是电感耦合等离子体或电容耦合等离子体。电感耦合等离子体可以设置在约S0W和约2000W之间的等离子体中。在一些实施方案中,可以施加在约0V与约500V之间的偏压。在输送第二反应物期间,关断膜前体,例如Zr(NEtMe)4。衬底可暴露于第二反应物和任选的等离子体持续超过等离子体与吸附在衬底表面上的所有前体相互作用的时间,从而在衬底表面顶上形成连续膜。
在第二反应物输送操作之后,室可以被清扫,例如通过引入载气或惰性气体进行清扫。该操作的条件可以是上述用于清扫工艺的那些条件中的任何一种。
在多种实施方案中,可以重复ALD循环。例如,ALD的操作可以在沉积的材料膜和/或材料层的大量生长之前进行约5至约70个循环,甚至达到300个循环。因此,可以包括和/或执行任何合适数量的沉积循环来沉积期望的沉积的膜的膜厚度。在一些实施方案中,ALD循环可以每循环沉积约1埃。取决于操作的暴露时间,每个循环可以沉积厚度在约5埃和约70埃之间的膜,例如氧化锆或膜。在一些实施方案中,可以每分钟执行约2至约3个ALD循环。在一些实施方案中,可以每分钟执行多于约3个循环,诸如在具有定位为更靠近衬底的入口的室中。
在一些实施方案中,ALD操作产生能够保护特征免受可以在同一室中进行的后续ALE工艺中的横向蚀刻的共形膜。在一些实施方案中,ALD被集成以在衬底上选择性地沉积膜,例如以在特征的角上沉积膜以保护它们免受蚀刻工艺期间的侵蚀。在一些实施方案中,操作104和106中的至少一个是自限性反应。在一些实施方案中,操作104和106中的至少一个尽可能地作为自限性反应。例如,在一些实施方案中,只有操作106是自限性过。在一些实施方案中,只有操作104是自限性过程。在一些实施方案中,操作104和106都是自限性的。在多种实施方案中,操作104和106可以被顺序地执行。顺序执行这些操作的示例在下面参考图2进一步描述。
在操作108中,然后去除牺牲材料。可以通过各种方式来完成去除,各种方式包括灰化或对牺牲材料具有选择性的单独蚀刻。净效应是非牺牲材料的沉积实质上仅在第二区域上发生,而在第一区域上实质上没有沉积。
图2是用于本文公开的方法的示例实施方案的工艺流程图。该工艺可以在如本文所公开的处理室内进行。在操作200中,在处理室中存在具有第一区域201和第二区域202的衬底,第一区域是氧化硅,第二区域202是铜。由于氧化硅的化学性质,它将具有暴露的羟基206。铜将具有暴露的氢原子204。第一区域201的表面化学具有许多可用于反应的羟基,而第二区域202则没有。氧化硅和铜之间的表面化学差异允许沉积掩蔽层时的化学选择性。
在步骤210,将掩蔽分子212引入室。在一些实施方案中,掩蔽分子212可以是酰氯(RCOCl)。酰氯与第一区域201的羟基206反应,形成单层214。酰氯对于R基团可以具有多种非反应性化学性质。酰氯不与第二区域202的氢原子相互作用,从而使其暴露以进行进一步处理。可以使用能与羟基(而非氢原子)选择性反应的任何掩蔽分子,包括硅氯化物(RySiClx)或硅酰胺(RySi(NR’2)x)。
在步骤220,在第二区域202上方沉积氧化锆层225。可以通过包括CVD或ALD在内的多种技术来沉积氧化锆层。合适的ALD条件如下:Zr前体和水的交替暴露,中间有N2清扫;每个步骤的时间为1-5秒;前体流量10-100sccm;清扫气体流量为1-10slm;压强1-3托;温度=100℃至300℃。单层214的作用是抑制氧化锆在第一区域201上的沉积。
在步骤230,示出氧化锆层235被沉积在第一区域201和第二区域202上。在该描绘中,单层214已经通过沉积工艺被去除,因此第一区域201暴露于沉积工艺。在其他实施方案中,单层214可以不被去除,但是可以被降解,使得沉积选择性降低。如果沉积工艺使用等离子体,并且单层是有机层,则可能将其除去。如果沉积工艺是热的,则其不会被去除。替代地,可以在诸如ALE之类的校正步骤中去除单层,该ALE也可以使用等离子体。合适的ALE条件如下:压强10-500mTorr,总流量10-1000sccm,温度0-120℃;RF功率10-1000W;RF偏置0-100V。
回到图2,第二区域202被氧化锆235覆盖。由于氧化锆中的氧分子,第一区域201和第二区域202都具有羟基234。因此,两个区域对于还原反应都显示相同的选择性。因为酰氯与羟基反应,所以它不再只能用于在第一区域201上形成单层,因为它也会在第二区域202上反应并形成单层。
一种缺乏化学选择性的解决方案是沉积对氧化硅而不是氧化锆具有选择性的掩蔽层。在步骤240,将氧化锆回蚀至形状245以仅覆盖第二区域202。例如,八氟环丁烷(C4F8)可以用于将氧化锆蚀刻成形状245。此外,在第一区域201上沉积C4F8层。C4F8对氧化硅(相比于氧化锆)具有选择性,因此在第一区域201上沉积由C4F8组成的聚合物216层,而在第二区域202上不沉积层。
在步骤250,继续沉积氧化锆,直到达到规定的层厚。在多种实施方案中,可以重复步骤230-250,以回蚀氧化锆层并沉积额外的聚合物216。
在步骤260,去除聚合物216。去除可以通过包括灰化在内的各种技术来完成。合适的灰化条件如下:温度0-200□C;总流量1-10slm;压强1-3托;每300mm晶片面积500-2000W功率。净效果是材料没有沉积在第一区域201上,而在第二区域202上实现氧化锆255的所需沉积。
图3是本文公开的用于在氧化锆上沉积铜的方法的另一示例性实施方案。在步骤300中,室接纳衬底,该衬底具有由氧化硅制成的第一区域301和为氧化锆的第二区域302。与上面的图2相似,氧化硅和氧化锆由于其氧原子而具有对酰氯反应具有相似的化学选择性的电介质。然而,由于它们各自的介电常数(对于氧化硅为约4,对于氧化锆为约20),本文公开的聚合物将仅与氧化硅选择性地形成非共价键。
因此,在操作310中,将硼烷(BH3)、三氯化硼(BCl3)和氧气(O2)引入腔室并形成BOxCly聚合物。BOxCly通过与氧化硅形成非共价键而选择性地沉积在第一区域301上,但不沉积在第二区域302上,使其暴露。BOxCly形成聚合物316,其抑制在第一区域301上的沉积。
在操作320中,将铜沉积到衬底上以形成层322。可以通过多种技术来沉积铜,多种技术包括溅射、ALD、CVD、电镀、化学沉积和PVD。聚合物316抑制了铜在第一区域301上的沉积,因此铜层322实质上仅在第二区域302上沉积在氧化锆上。
最后,在操作330中,通过包括灰化在内的各种技术去除聚合物316。上面描述了合适的沉积、蚀刻和灰化条件。操作300-330的净效果是实质上仅将铜沉积在氧化锆上。
图4展示了本文公开的在氧化硅和氮化硅存在下在金属上沉积铜的方法的实施方案。在步骤400中,室接纳衬底,该衬底具有由氧化硅和氮化硅制成的第一区域401和由金属制成的第二区域402。可以与本文公开的方法一起使用的金属包括铜、铝、钨、钴或钛。与上面的图2相似,氧化硅和氮化硅可以表现出化学选择性,从而允许使用酰氯在第一区域401上进行选择性沉积而没有在第二区域402上进行沉积。但是,在一些实施方案中,仅使用聚合物来选择性地沉积牺牲材料。
因此,在操作410中,将硼烷(BH3)、三氯化硼(BCl3)和氧气(O2)引入室并形成BOxCly。BOxCly通过与氧化硅和氮化硅形成非共价键而选择性地沉积在第一区域401上,但不沉积在第二区域402上,使其暴露。BOxCly形成聚合物416,其抑制在第一区域401上的沉积。
在操作420中,将铜沉积到衬底上以形成层422。可以通过多种技术来沉积铜,多种技术包括溅射、ALD、CVD、电镀、无电沉积和PVD。聚合物416抑制铜在第一区域401上的沉积,因此铜层422实质上仅聚集在第二区域402中的金属层上。
最后,在操作430中,通过包括灰化在内的各种技术去除聚合物416。上面描述了合适的沉积、蚀刻和灰化条件。操作400-430的净效果是实质上仅在金属层上沉积铜。
图5是说明本文公开的蚀刻残留化合物的蚀刻和沉积效果的图。使用气体成分BCl3/Ar(条件A)和Cl2/O2(条件B),根据上述蚀刻条件对氧化锆进行蚀刻。然而,氮化硅和氧化硅在相同条件(即沉积效果)下具有负蚀刻速率。因此,通过使用所公开的蚀刻化学过程,可以选择性地在氮化硅和氧化硅上沉积牺牲抑制层,而未覆盖氧化锆以用于额外的蚀刻或选择性沉积。
另一方面涉及一种用于处理衬底的装置,该装置包括:一个或多个处理室,每个处理室具有卡盘;进入所述处理室和相关的流量控制硬件的一个或多个进气口;和具有处理器和存储器的控制器,其中所述处理器和所述存储器相互通信地连接,所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以通过以下方式至少控制所述流量控制硬件:在半导体衬底上进行沉积,包括在半导体衬底上选择性地沉积牺牲材料,所述衬底具有有多个衬底材料区域的表面,所述多个衬底材料区域对于所述牺牲材料具有不同的选择性,使得在所述衬底表面的第一区域上发生所述牺牲材料的实质性沉积,而在所述衬底表面的第二区域上没有发生实质性沉积;在所述衬底上沉积非牺牲材料,使得在所述第二区域上发生所述非牺牲材料的实质性沉积,并且在所述第一区域上没有发生所述非牺牲材料的实质性沉积;以及去除所述牺牲材料,使得仅在所述第二区域上实质性发生所述非牺牲材料的净沉积。
装置
图7绘出了具有用于保持低压环境的处理室主体702的原子层沉积(ALD)处理站700的一实施方案的示意图,该低压环境适于进行本发明所述的选择性沉积,其包括周期性蚀刻/残渣形成和选择性沉积。多个ALD处理站700可以包含在通常低压处理工具环境中。例如,图8绘出了多站式处理工具800的一实施方案。在一些实施方案中,ALD处理站700的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器750以编程方式调节。
ALD处理站700与反应物输送系统701a流体连通,以将处理气体输送至分配喷头706。反应物输送系统701a包含混合容器704,混合容器704用于混合和/或调节处理气体以输送至喷头706,处理气体如氨基硅烷前体气体、或氧化剂气体(例如臭氧)、或氨气和/或氮气。一个或一个以上的混合容器入口阀420可以对处理气体导入至混合容器704进行控制。氮等离子体和/或氨等离子体也可被输送到喷头706或可在ALD处理站700中产生。
举例而言,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的液体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些实施例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有增大的温度分布,在混合容器704处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点703下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器704。在另一个方案中,液体喷射器可以直接装载到喷头706。
在一些实施方案中,可以在汽化点703上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站700的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管来执行。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。
在一些实施方案中,基座708可以升高或降低以暴露衬底712给衬底712和喷头706之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器750通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方案中,调节基座708的高度可以使得等离子体密度在过程中的等离子体活化循环期间内改变。在处理阶段结束时,基座708可以在另一衬底传送阶段被降低以使得衬底712能从基座708移走。
在一些实施方案中,基座708可通过加热器710进行温度控制。在一些实施方案中,基座708可被加热到至少约250℃的温度,或者在一些实施方案中,小于约300℃的温度,例如,如公开的实施方案中所述,在沉积氮化硅膜的过程中,被加热到约250℃。在一些实施方案中,基座设定在约50℃与约300℃之间的温度下,例如在约200℃与约275℃之间的温度下。在一些实施方案中,基座设定在约50℃和约300℃之间的温度下。在一些实施方案中,基座设定在约200℃和约275℃之间的温度下。
此外,在一些实施方案中,对于处理站700的压力控制可以由蝶形阀718提供。如在图7的实施方案中所示,蝶形阀718对由下游真空泵(图中未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站700的压力控制还可以通过改变引入至处理站700的一种或多种气体的流率来调节。
在一些实施方案中,喷头706的位置可以相对于基座708调节以改变衬底712和喷头706之间的体积。此外,应当理解的是,基座708和/或喷头706的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包含用于旋转衬底712的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器750以编程方式执行。
在如上所述可以使用等离子体的一些实施方案中,喷头706和基座708电连接射频(RF)功率源714和匹配网络716来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例为约150W至约6000W。在氧化硅上相对于氮化硅选择性沉积氧化硅之前,在处理氮化硅表面期间可以使用等离子体。RF功率源414可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源414可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器750的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置惰性气体和/或氨和/或氮反应物气体的流率的指令,用于设定载气(例如氩)的流率的指令,用于点燃等离子体的指令以及用于第一配方阶段的时间延迟指令。第二配方阶段可以包括用于设定惰性前体气体的流率的指令、用于设定载气(诸如氩气)的流率的指令;以及用于第二配方阶段的时延指令。接下来的第三配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时延指令。第四配方阶段可以包含用于调节氧化剂气体(例如臭氧)的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时延指令。随后的第五配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第五配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方案中,控制器750可以包括下面关于图8的系统控制器850所描述的任何特征。
如上所述,一个或一个以上的处理站可以包含在如图8所示的多站处理工具800中。在一些实施方案中,多站处理工具可以来自Lam Research(Fremont,CA)的工具和/或产品的
Figure BDA0002673029660000191
系列产品,并且执行或以其他方式协助本文所描述的选择性沉积工艺。图8示出了多站式处理工具800的实施方案的概要视图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。处于大气压的机械手806被配置为将晶片从通过舱808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图8中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室814包含4个处理站,图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室814可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室814包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
在一些实施方案中,图8所示出的可以与用于在处理室814内传输晶片的晶片搬运系统相互作用和/或以其他方式接合。在一些实施方案中,晶片搬运系统可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了采用来控制处理工具800的处理条件和硬件状态的系统控制器850的实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器850控制处理工具800的所有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备856、并由处理器852执行的系统控制软件858。可替代地,控制逻辑可以在控制器850中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件858可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器850关联的、存储在海量存储设备854和/或存储器设备856的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,如本文所述的氨基硅烷气体、和氧化剂气体、氨、氮气、载气和/或清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器850可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器850将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器850。
在一些实现方式中,系统控制器850是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器850可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器850可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器850的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器850可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器850可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器750接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器750被配置成连接或控制该工具类型。因此,如上所述,系统控制器750可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器850可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积”的美国专利申请No.13/084399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法”的美国专利申请No.13/084305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
现在参考图9,在某些实施方案中可适用于原子层蚀刻(ALE)操作和/或原子层沉积(ALD)操作的电感耦合等离子体(ICP)反应器现在进行描述。这种ICP反应器也在于2013年12月10日提交的名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLEPATTERNING”的美国专利申请公开No.2014/0170853中提出,通过引用将其整体并入本文并用于所有目的。尽管这里描述了ICP反应器,但在一些实施方案中,应当理解,也可以使用电容耦合等离子体(CCP)反应器。
图9示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体集成蚀刻和沉积装置900的横截面图,其示例是KiyoTM反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。在一些实施方案中,如参考本文所述的净选择沉积工艺呈现和描述的ALE可主要在KiyoTM反应器上进行。所述感应耦合等离子体装置900包括由室壁901和在室壁901之间延伸的窗911在结构上限定的总处理室。室壁901可以由不锈钢或铝制成。窗911可以由石英或其他介电材料制成。任选的内部等离子体栅格950将总处理室分为上副室902和在其下面的下副室903。此外,在一些实施方案中,等离子体栅格950可以被移除,从而创建和/或利用由副室902和903两者构成的室空间。卡盘917定位在下副室903中在底部内表面附近。卡盘817被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片919。卡盘917可以是当晶片919存在时用于支撑晶片919的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘917,并具有大致与晶片919(当晶片存在于卡盘917上方时)的顶面在同一平面的上表面。在一些实施方案中,卡盘917还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(在图中未示出)用于此目的。也可以提供其他的控制系统用于提升晶片919使其离开卡盘917。卡盘917可以用RF电源923充电。RF电源923通过连接件927被连接到匹配电路821。匹配电路921通过连接件925连接到卡盘917。以这种方式,RF电源923被连接到卡盘917上。
用于等离子体产生的元件包括位于窗911上方的线圈933。在一些实施方案中,所公开的实施方案中未使用线圈。线圈933由导电材料制成,并包括至少一整匝。在图8中所示的线圈933的示例包括三匝。线圈933的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈933的RF电源941。一般地,RF电源941通过连接件845被连接到匹配电路839。匹配电路839通过连接件943连接到线圈933。以这种方式,RF电源941被连接到线圈933。任选的法拉第屏蔽件949被定位在线圈933和窗911之间。法拉第屏蔽件949以相对于线圈933成隔开的关系被保持。法拉第屏蔽件949被设置在窗811的正上方。线圈933、法拉第屏蔽件949和窗911各自被配置为基本上彼此平行,例如在图8所示的配置中。法拉第屏蔽件可以防止金属或其它物质沉积在等离子体室的介电窗上。
处理气体(例如氯气、氩气、四氯化硅、氧气、氮气等)可以通过位于上室中的一个或多个主气体流入口960和/或通过一个或多个侧气体流入口970流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体(CCP)处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵940,可用于将处理气体从处理室924抽出并维持处理室900内的压强。例如,该泵可用于在ALD清扫操作过程中排空室901。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(图9中未示出)或钟摆阀(图9中未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体(CCP)处理室上的真空泵和/或阀。
在ICP装置900的操作过程中,一种或多种处理气体可通过气体流入口960和/或970供给。在某些实施方案中,处理气体可以仅通过主气体流入口960供给,或者仅通过侧气体流入口970供给。在一些情况下,在图中所示的气体流入口可以例如由较复杂的气体流入口替代和/或由一个或多个喷头替代。法拉第屏蔽件949和/或任选的栅格950可以包括使处理气体能输送至室的内部通道和孔。法拉第屏蔽件949和任选的栅格950中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于室901的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口960和/或970引入到室中。示例性的液体前体包括SiCl4和硅酰胺。
射频功率从RF电源941供给到线圈933以使RF电流流入和/或流过线圈933。流过线圈933的RF电流产生围绕线圈933的电磁场,该电磁场产生在上副室902内的感应电流。所生成的各离子和自由基与晶片919的物理和化学相互作用选择性地蚀刻晶片的特征并在晶片上沉积层,例如,在图6所示的ALE和/或ALD工艺所讨论和描述的。
如果使用等离子体栅格使得存在上副室902和下副室903二者,则感应电流作用于存在于上副室902中的气体上以在上副室902中产生电子-离子等离子体。任选的内部等离子体栅格950限制下副室903中的热电子的量。在一些实施方案中,设计和操作所述装置使得存在于下副室903中的等离子体是“离子-离子”等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口922从下副室903去除。本文所公开的卡盘917可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
室901当安装在超净室或制造厂中时可耦合到设施(图9未示出)。这样的设施包括管道,管道提供处理气体、真空、温度控制和/或环境微粒控制。这些设施当安装在目标制造厂时耦合到室901。此外,室901可耦合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进出室901。
在一些实施方案中,系统控制器930(其可以包括一个或多个物理或逻辑控制器)控制处理室的操作中的一些或全部。系统控制器930可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施例中,该装置可具有高达约500ms或高达约750ms的切换时间。例如,切换时间可以取决于流动化学物质组成、配方选择、反应器架构以及各种其他因素。
在一些实现方式中,系统控制器或控制器930是系统的一部分,该系统可以是上述示例的一部分或以其他方式与上述示例集成。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以统称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,控制器830可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,控制器930可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅(SiO2)、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器930可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”和/或“云”式计算机网络中或在晶片厂(fab)主机系统的全部或至少一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器930接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器930可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
图10描绘了具有与真空传输模块1038(VTM)接口的各种模块的半导体处理集群架构。在多个存储设施和处理模块之间“传输”晶片的传输模块的布置可以被称为“群集工具架构”系统。气闸1030(也称为”装载锁”或传输模块)被显示在具有四个处理模块1020a-1020d的VTM 1038中,四个处理模块1020a-1020d可以被单独优化以执行各种制造工艺。例如,处理模块1020a-1020d可以被实现为执行衬底沉积、蚀刻、灰化、离子注入、晶片清洗、溅射和/或其它半导体相关的工艺。在一些实施方案中,ALD和ALE在同一模块中执行。在一些实施方案中,ALD和ALE在相同工具的不同模块中执行。一个或多个衬底蚀刻处理模块(1020a-1020d中的任何一个)可以如本文所公开的那样实现,即用于沉积共形膜、选择性通过ALD沉积膜、蚀刻图案以及根据所公开的实施方案的其他合适的功能。气闸1030和处理模块1020可以被称为“站”。每个站具有将站与VTM 1038接口的小平面1036。在每个小平面内,传感器1-18用于当晶片1026在各个站之间移动时检测晶片1026的通过。
机械手1022在站之间传输晶片1026。在一个实施方案中,机械手1022具有一个臂,并且在另一个实施方案中,机械手1022具有两个臂,其中每个臂具有末端执行器1024以拾取晶片(例如晶片1026)用于运输。在大气传输模块(ATM)1040中,前端机械手1032用于将晶片1026从装载端口模块(LPM)1042中的盒或正面开口标准盒(FOUP)1034传输到气闸1030。处理模块1020a和/或1020b内的模块中心1028是用于放置晶片1026的合适位置。ATM 1040中的对准器1044用于对准晶片。
在示例性处理方法中,晶片被放置在LPM 1042中的FOUP 1034中的一个内。前端机械手1032将晶片从FOUP 1034传输到对准器1044,这使得晶片1026能够在被蚀刻或处理之前适当地居中。在对准之后,晶片1026被前端机械手1032移动到气闸1030中。由于气闸模块具有匹配ATM和VTM之间的环境的能力,所以晶片1026能够在两个压力环境之间移动而不被损坏。从气闸模块1030,晶片1026由机械手1022经由VTM 1038移动到处理模块1020a-1020d中的一个内。具体而言,机械手1022使用定位于其每个臂上的端部执行器1024,例如以抓取晶片1026以将其如所描述的那样移动,即通过VTM 1038将其移动到处理模块1020a-1020d中的一个中。一旦晶片1026已经被处理,其就被机械手1022从处理模块1020a-1020d移动到气闸模块1030。从这里,晶片1026可以由前端机械手1032移动到FOUP 1034中的一个或移动到对准器1044。
应当注意,控制晶片移动的计算机可以在集群架构本地,或者可以位于制造车间中的集群架构外部或者位于远程位置,并且经由网络连接到集群架构。此外,在一些实施方案中,上面关于图8-9描述的控制器可以利用图10中的工具来实现。
此外,在本文所示出和描述的一些实施方案中,即通过ALD进行的选择性沉积(包括涉及残渣形成和选择性沉积的周期性蚀刻)可以在图7-10中所示和讨论的装置中的任何一个或多个中实践和/或以其他方式进行。
优选地,在一些实施方案中,ALD通过等离子体增强化学气相沉积(PECVD)产品来执行,例如通过可从Lam Research Corporation(Fremont,CA)得到的
Figure BDA0002673029660000301
组产品中的那些来执行。此外,Lam Research开发的其他混合工具可以在公共平台上包括
Figure BDA0002673029660000302
和KiyoTM产品和/或工具两者,还可以选择与其他工具(例如GammaTM灰化产品)一起使用,适用于在完成沉积工艺时,去除牺牲性抑制剂材料。具体而言,这样的平台可以包括针对完成在
Figure BDA0002673029660000303
产品上的ALD程序、在KiyoTM产品上的ALE程序和在GammaTM产品进行的灰化的配置,其中
Figure BDA0002673029660000304
KiyoTM和Gamm产品在操作上集成在公共集群中。这种公共集群可以允许本文所述的ALD和/或ALE和/或灰化程序在没有真空破坏或者没有破坏真空的情况下完成,因此在真空沉积和/或蚀刻室内和/或在真空沉积和/或蚀刻室之间提供传统工艺循环的有用替代。
此外,在图7-10中示出和讨论ALD和/或ALE程序的一个或多个(或全部)的任意组合和/或可以在没有另外包括衬底表面完整性的情况下完成。此外,涉及
Figure BDA0002673029660000311
和KiyoTM产品和/或工具的整合的实施方案可能导致始终最佳,而不是仅试图完成例如在KiyoTM产品上讨论的ALD和/或ALE程序。此外,在一些实施方案中,在同一集群中的
Figure BDA0002673029660000312
产品和KiyoTM产品的放置(其中在
Figure BDA0002673029660000313
产品上执行ALD并且在KiyoTM上执行ALE)可以消除或显著减少关于脱靶沉积的生长缺陷。
结论
虽然上述实施例已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (20)

1.一种在半导体衬底上进行沉积的方法,该方法包括:
在半导体衬底上选择性地沉积牺牲材料,所述衬底包括具有多个衬底材料区域的表面,所述多个衬底材料区域对于所述牺牲材料具有不同的选择性,使得在所述衬底表面的第一区域上发生所述牺牲材料的实质性沉积,而在所述衬底表面的第二区域上没有发生实质性沉积;
在所述衬底上沉积非牺牲材料,使得在所述第二区域上发生所述非牺牲材料的实质性沉积,并且在所述第一区域上的牺牲材料上没有发生所述非牺牲材料的实质性沉积;以及
去除所述牺牲材料,使得仅在所述第二区域上实质性发生所述非牺牲材料的净沉积。
2.根据权利要求1所述的方法,其中所述非牺牲材料的所述沉积的所述选择性基于所述第一区域的衬底材料和所述第二区域的衬底材料的电特性的差异。
3.根据权利要求1所述的方法,其中所述牺牲材料的沉积通过非共价键合发生在所述衬底表面的第一区域上,并且所述非牺牲材料的沉积通过共价键合发生在所述衬底表面的所述第二区域上。
4.根据权利要求1所述的方法,其中所述非牺牲材料在所述第二区域上的所述实质性沉积以及所述非牺牲材料在所述衬底的所述第一区域上的所述牺牲材料上的非实质性沉积具有化学基础。
5.根据权利要求1-4中任一项所述的方法,其中,所述第一区域和所述第二区域分别是电介质和金属。
6.根据权利要求1-4中任一项所述的方法,其中,所述第一区域和所述第二区域分别是不同的电介质。
7.根据权利要求6所述的方法,其中,所述不同的电介质具有不同的介电常数。
8.根据权利要求7所述的方法,其中,所述第一区域的所述介电常数低于所述第二区域的所述介电常数。
9.根据权利要求8所述的方法,其中,所述第二区域的介电常数比所述第一区域的介电常数大至少三倍。
10.根据权利要求8所述的方法,其中,所述第一区域的所述电介质是SiO2或SiN,并且所述第二区域的所述电介质是ZrO2
11.根据权利要求1或10所述的方法,其中,所述牺牲材料是碳氟化合物(CFx)或硼氧化物(BOxCly)。
12.根据权利要求1所述的方法,其中,所述非牺牲材料是金属。
13.根据权利要求12所述的方法,其中,所述金属选自由Cu、Al、W、Co和Ti组成的组。
14.根据权利要求1所述的方法,其中,所述非牺牲材料的沉积是均厚沉积。
15.根据权利要求1所述的方法,其中在周期性回蚀/重置操作期间发生所述牺牲材料的所述选择性沉积,在所述周期性回蚀/重置操作期间,所产生的蚀刻残渣为所述选择性沉积提供所述牺牲材料中的一些或全部。
16.一种用于处理衬底的装置,该装置包括:
一个或多个处理室,每个处理室具有卡盘;
进入所述处理室和相关的流量控制硬件的一个或多个进气口;和
具有处理器和存储器的控制器,其中
所述处理器和所述存储器相互通信地连接,
所述至少一个处理器至少与所述流量控制硬件操作性地连接,并且
所述存储器存储计算机可执行指令,所述计算机可执行指令用于控制所述至少一个处理器以通过以下方式至少控制所述流量控制硬件:
在半导体衬底上进行沉积,包括在半导体衬底上选择性地沉积牺牲材料,所述衬底具有有多个衬底材料区域的表面,所述多个衬底材料区域对于所述牺牲材料具有不同的选择性,使得在所述衬底表面的第一区域上发生所述牺牲材料的实质性沉积,而在所述衬底表面的第二区域上没有发生实质性沉积;
在所述衬底上沉积非牺牲材料,使得在所述第二区域上发生所述非牺牲材料的实质性沉积,并且在所述第一区域上没有发生所述非牺牲材料的实质性沉积;以及
去除所述牺牲材料,使得仅在所述第二区域上实质性发生所述非牺牲材料的净沉积。
17.根据权利要求16所述的装置,其中,所述计算机可执行指令还提供:所述非牺牲材料的所述沉积的所述选择性基于所述第一区域的衬底材料和所述第二区域的衬底材料的电特性的差异。
18.根据权利要求16或17所述的装置,其中,所述计算机可执行指令还提供:所述牺牲材料的沉积通过非共价键合发生在所述衬底表面的第一区域上,并且所述非牺牲材料的沉积通过共价键合发生在所述衬底表面的所述第二区域上。
19.根据权利要求16所述的装置,其中,所述计算机可执行指令还提供:所述非牺牲材料在所述第二区域上的所述实质性沉积以及所述非牺牲材料在所述衬底的所述第一区域上的所述牺牲材料上的非实质性沉积具有化学基础。
20.根据权利要求17或19所述的装置,其中在周期性回蚀/重置操作期间发生所述牺牲材料的所述选择性沉积,在所述周期性回蚀/重置操作期间,所产生的蚀刻残渣为所述选择性沉积提供所述牺牲材料中的一些或全部。
CN201980018132.8A 2018-01-16 2019-01-15 基于蚀刻残渣的抑制剂的选择性处理 Pending CN111819659A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862617616P 2018-01-16 2018-01-16
US62/617,616 2018-01-16
PCT/US2019/013640 WO2019143608A1 (en) 2018-01-16 2019-01-15 Selective processing with etch residue-based inhibitors

Publications (1)

Publication Number Publication Date
CN111819659A true CN111819659A (zh) 2020-10-23

Family

ID=67302449

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980018132.8A Pending CN111819659A (zh) 2018-01-16 2019-01-15 基于蚀刻残渣的抑制剂的选择性处理

Country Status (4)

Country Link
US (2) US11823909B2 (zh)
KR (1) KR20200101466A (zh)
CN (1) CN111819659A (zh)
WO (1) WO2019143608A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7118099B2 (ja) * 2020-01-15 2022-08-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN116065139A (zh) * 2021-11-02 2023-05-05 东京毅力科创株式会社 成膜方法和成膜装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US7223694B2 (en) 2003-06-10 2007-05-29 Intel Corporation Method for improving selectivity of electroless metal deposition
US7348280B2 (en) * 2005-11-03 2008-03-25 International Business Machines Corporation Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions
US20100055422A1 (en) * 2008-08-28 2010-03-04 Bob Kong Electroless Deposition of Platinum on Copper
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
US10950433B2 (en) * 2017-11-18 2021-03-16 Applied Materials, Inc. Methods for enhancing selectivity in SAM-based selective deposition

Also Published As

Publication number Publication date
KR20200101466A (ko) 2020-08-27
US11823909B2 (en) 2023-11-21
US20210098257A1 (en) 2021-04-01
US20240038539A1 (en) 2024-02-01
WO2019143608A1 (en) 2019-07-25

Similar Documents

Publication Publication Date Title
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10903071B2 (en) Selective deposition of silicon oxide
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US10629435B2 (en) Doped ALD films for semiconductor patterning applications
JP6038975B2 (ja) 半導体基板を処理する方法
US9797042B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US20180138040A1 (en) Self-aligned multi-patterning process flow with ald gapfill spacer mask
KR20160061890A (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
CN111886689A (zh) 无倒角通孔集成方案
US20210395885A1 (en) Throughput improvement with interval conditioning purging
WO2021092197A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination