KR20160061890A - 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법 - Google Patents

암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법 Download PDF

Info

Publication number
KR20160061890A
KR20160061890A KR1020150163065A KR20150163065A KR20160061890A KR 20160061890 A KR20160061890 A KR 20160061890A KR 1020150163065 A KR1020150163065 A KR 1020150163065A KR 20150163065 A KR20150163065 A KR 20150163065A KR 20160061890 A KR20160061890 A KR 20160061890A
Authority
KR
South Korea
Prior art keywords
plasma
silicon
substrate
hydrogen
silicon nitride
Prior art date
Application number
KR1020150163065A
Other languages
English (en)
Other versions
KR102446502B1 (ko
Inventor
존 헨리
데니스 엠. 하우스만
셰인 탕
제임스 에스. 심스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160061890A publication Critical patent/KR20160061890A/ko
Application granted granted Critical
Publication of KR102446502B1 publication Critical patent/KR102446502B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

디실란과 같은 할로겐-프리, N-H-결합-프리 및 탄소-프리 실리콘-함유 전구체로의 노출, 전구체의 퍼징, 질소 플라즈마로의 노출 및 저온에서 플라즈마의 퍼징에 의해 원자층 증착을 사용하여 컨포멀 실리콘 나이트라이드 막들을 증착하는 방법들이 본 명세서에 제공된다. 적어도 13.56 MHz 또는 적어도 27 MHz의 주파수를 갖는 플라즈마와 같은, 고주파수 플라즈마가 사용된다. 방법들은 트렌치들 또는 피처들 내와 같은, 반도체 디바이스들 내에서의 증착 또는 메모리 캡슐화에 적합한 실질적으로 순수한 컨포멀 실리콘 나이트라이드 막들을 산출한다.

Description

암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법{METHOD OF DEPOSITING AMMONIA FREE AND CHLORINE FREE CONFORMAL SILICON NITRIDE FILM}
실리콘 나이트라이드 (SiN) 박막들은 고유한 물리적 특성, 화학적 특성 및 기계적 특성을 가지고, 따라서 다양한 애플리케이션들, 특히 반도체 디바이스들, 예를 들어, 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 캡슐화 층들, 트랜지스터들 내에서 변형된 막들, 등에 사용된다. 종래의 SiN 막들은 상대적으로 고온, 예컨대 FEOL (Front End of Line) 애플리케이션들에서 증착되었다. 예를 들어, SiN 막들은 통상적으로 디클로로실란 및 암모니아를 사용하여 750 ℃보다 높은 온도의 반응기 내에서 CVD (chemical vapor deposition) 에 의해 증착되었다. 그러나, SiN 막들이 말기 반도체 제조 프로세스들에서 사용되기 때문에, 그리고 치수들이 계속해서 축소됨에 따라, SiN 막들이 보다 낮은 온도, 예를 들어, 600 ℃ 미만에서 형성되는 것에 대한 요구가 증가하고 있다.
실리콘 나이트라이드 막을 증착하는 방법들이 본 명세서에 제공된다. 일 양태는, (a) 기판 표면 상에 실리콘-함유 전구체의 흡착된 층의 형성을 허용하는 조건들 하에서 실리콘-함유 전구체에 기판을 노출시키는 단계, 및 (b) 실리콘 나이트라이드 막을 형성하도록 흡착된 층을 질소 (N2) 플라즈마에 노출시키는 단계를 포함하고, 실리콘-함유 전구체는 N-H 결합-프리인, 챔버 내에서 기판 상에 실리콘 나이트라이드 막을 증착하는 방법을 포함한다. 일부 실시예들에서, 실리콘-함유 전구체는 할로겐-프리이다. 다양한 실시예들에서, 캐리어 가스는 (a) 내지 (b) 동안 내내 흐른다. 일부 실시예들에서, 실리콘-함유 전구체는 탄소-프리이다. 일부 실시예들에서, 캐리어 가스는 수소-프리이다.
일부 실시예들에서, 실리콘-함유 전구체는 실란, 디실란, 트리실란, 테트라실란, 및 트리실릴아민으로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, 실리콘-함유 전구체는 약 12:4 내지 약 12:5의 수소에 대한 실리콘 비를 갖는다. 다양한 실시예들에서, 프로세스 온도는 약 250 ℃ 미만이다.
방법은 또한 (c) 단계 (a) 및 (b) 를 반복하는 단계, 및 (d) 기판을 수소-함유 플라즈마에 주기적으로 노출시키는 단계를 포함할 수도 있다. 기판은 약 0.05 초 내지 약 60 초의 시간 동안 수소-함유 플라즈마에 노출될 수도 있다.
일부 실시예들에서, 기판을 수소-함유 플라즈마에 노출시키는 단계는, 기판을 수소-함유 가스에 노출시키는 것 및 플라즈마를 점화하는 것을 포함하고, 수소-함유 가스는 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택된다.
다양한 실시예들에서, 플라즈마의 주파수는 적어도 약 13.56 ㎒이다. 일부 실시예들에서, 플라즈마의 주파수는 약 27 ㎒이다.
일부 실시예들에서, 실리콘-함유 전구체는 기판 표면의 약 60 % 미만에 흡착된다. 단계 (a) 에서 기판 표면 상의 흡착된 층은 약 0.5 Å 미만의 두께일 수도 있다.
또다른 양태는, (a) 기판 표면 상에 실리콘-함유 전구체의 흡착된 층의 형성을 허용하는 조건들 하에서 실리콘-함유 전구체에 기판을 노출시키는 단계, 및 (b) 실리콘 나이트라이드 막을 형성하도록 흡착된 층을 질소 (N2) 플라즈마에 노출시키는 단계를 포함하고, 실리콘-함유 전구체는 적어도 약 75 %의 무극성 공유 결합들을 포함하는, 챔버 내에서 기판 상에 실리콘 나이트라이드 막을 증착하는 방법을 포함한다. 일부 실시예들에서, 실리콘-함유 전구체는 트리실릴아민이다.
방법은 또한 (c) 단계 (a) 및 (b) 를 반복하는 단계, 및 (d) 기판을 수소-함유 플라즈마에 주기적으로 노출시키는 단계를 포함할 수도 있다. 기판은 약 0.05 초 내지 약 60 초의 시간 동안 수소-함유 플라즈마에 노출될 수도 있다.
일부 실시예들에서, 기판을 수소-함유 플라즈마에 노출시키는 단계는, 기판을 수소-함유 가스에 노출시키는 것 및 플라즈마를 점화하는 것을 포함하고, 수소-함유 가스는 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택된다. 다양한 실시예들에서, 단계 (a) 에서 기판 표면 상에 흡착된 층은 약 0.5 Å 미만의 두께이다.
이들 및 다른 양태들이 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시예들에 따른 방법의 동작들을 도시하는 프로세스 흐름도이다.
도 2는 개시된 실시예들에 따른 방법의 사이클들의 예를 도시하는 타이밍 시퀀스도이다.
도 3은 개시된 실시예들을 수행하기 위한 예시적인 프로세스 스테이션의 개략도이다.
도 4는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 5는 실험 데이터로부터 막들의 푸리에 편환 적외선 스펙트럼이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
실리콘 나이트라이드는 반도체 디바이스 제조시 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화층들로서 사용될 수도 있다. 특히, 일부 반도체 디바이스들에서, 탄소층은 가열될 때 개질되는 상변화층 상에 증착될 수도 있다. 가열될 때, 대미지를 입은 상변화층은 상들이 변화되지 않을 수도 있다. 이 상변화층은 또한 광에 민감할 수도 있다. 상변화층에 대한 임의의 대미지를 방지하기 위해, 실리콘 나이트라이드일 수도 있는, 컨포멀 메모리 캡슐화층이 상변화층 상에 증착된다. 메모리 캡슐화는 다른 화합물들에 어떠한 오염도 시키지 않고 디바이스에 대미지를 주는 것을 회피하기 위해 저온에서 증착된다. 컨포멀 실리콘 나이트라이드층들은 또한 다른 애플리케이션들에 사용될 수도 있다.
본 명세서에서 고분자량, 할로겐-프리, 탄소-프리 실리콘-함유 전구체들, 및 질소 플라즈마를 사용하여 ALD (atomic layer deposition) 에 의해 컨포멀 실리콘 나이트라이드를 증착하는 방법들이 제공된다. 일부 실시예들에서, 전구체들은 또한 N-H 결합 프리이다. 본 명세서에 사용된 바와 같이 용어 "질소 플라즈마"는 리모트 플라즈마 생성기 또는 인-시츄 플라즈마 생성기를 사용하여 질소 (N2) 가스를 점화함으로써 생성된 플라즈마를 의미하는 것으로 이해되어야 한다. 증착된 실리콘 나이트라이드 막들은 탄소 오염 및 할로겐 오염을 갖지 않는다.
증착된 막들은 매우 컨포멀할 수도 있다. 막들의 컨포멀성은 단차 커버리지에 의해 측정될 수도 있다. 단차 커버리지는 피처의 하단부, 또는 측벽, 또는 상단부 상에 증착된 막의 평균 두께로 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께를 비교함으로써 산출될 수도 있다. 예를 들어, 단차 커버리지는 피처의 상단부에 증착된 막의 평균 두께로 측벽 상에 증착된 막의 평균 두께를 나누고 백분율을 얻기 위해 100을 곱함으로써 산출될 수도 있다.
본 명세서에 제공된 방법들은 ALD에 의한 증착을 수반한다. CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층-단위 기준 (layer-by-layer basis) 으로 막들을 증착하기 위해 표면-매개된 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는, 기판 표면은 기판을 하우징하는 프로세스 스테이션으로 제공된 도즈 내의, 실리콘-함유 전구체와 같은, 가스 상 분포의 제 1 전구체에 노출된다. 이 제 1 전구체의 분자들은 제 1 전구체의 화학 흡착된 종 및/또는 물리흡착된 분자들을 포함하는 기판 표면 상에 흡착된다. 화합물이 본 명세서에 기술된 바와 같이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것을 이해해야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 특정한 실시예들에서, ALD 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 전구체가 표면을 균일하게 포화시키기 위해 기판에 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이 지점에서 턴오프되거나 방향 전환되고, 퍼지 가스만이 흐른다. 이 반-포화 (sub-saturation) 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 처리량을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은, 본 명세서에 전체가 참조로서 인용된, 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인, 2013년 10월 23일 출원된 미국 특허 출원번호 제 14/061,587 호에 제공된다. 제 1 전구체 도즈 후에, 이어서 반응기는 흡착된 종만 남도록 가스 상으로 남아 있는 모든 제 1 전구체를 제거하기 위해 배기된다. 질소-함유 반응물질과 같은, 제 2 반응 물질은 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 반응기 내로 도입된다. 일부 프로세스들에서, 제 2 전구체는 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시예들에서, 제 2 전구체는 활성화 소스가 인가된 후에만 일시적으로 반응한다. 이어서 반응기는 결합되지 않은 제 2 전구체 분자들을 제거하기 위해 다시 배기될 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하기 위해 사용될 수도 있다.
일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법 및 장치들은 대체로, 전체가 참조로서 본 명세서에 인용된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"이고 2011년 4월 11일 출원된 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호) 및 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 2011년 4월 11일 미국 특허 출원 제 13/084,305 호에 기술된, CFD (conformal film deposition) 방법들일 수도 있다.
개시된 실시예들은 저온에서 질소 플라즈마와 반응하도록 충분히 반응성인 실리콘 전구체의 흡착된 층을 형성하는 방법들을 포함한다. 방법들은 실리콘 전구체가 기판 표면 상에 흡착되는, ALD (atomic layer deposition) 프로세스들을 포함한다. 많은 실시예들에서, 개시된 방법들은 약 250 ℃ 미만, 예컨대 약 200 ℃의 온도에서 수행될 수도 있다. 일부 실시예들에서, 페데스탈은 약 250 ℃ 미만의 온도로 설정된다. 일부 실시예들에서, 방법은 보다 높은 온도, 예컨대 약 250 ℃ 초과, 또는 300 ℃ 초과에서 수행된다. 일반적으로, 보다 높은 증착 온도는 보다 높은 단차 커버리지를 발생시키지만, 온도는 디바이스 상에 임의의 존재하는 층들에 대미지를 주는 것을 회피하도록 디바이스에 의해 제한될 수도 있다. 다양한 실시예들에서, 방법들은 약 0.1 Torr 내지 약 20 Torr의 압력으로 수행될 수도 있다. 이하의 예에서, 플로우 레이트들은 다수의 프로세스 스테이션들을 포함할 수도 있는 180 L 챔버에 대해 제공된다. 일부 경우들에서, 반응기 구성에 따라, 플로우 레이트들은 상이한 볼륨들을 수용하도록 스케일링될 수도 있다. 본 명세서에 기술된 실시예들은 또한, 보다 낮은 습식 에칭 레이트로 실리콘 나이트라이드 막을 형성하는 것을 돕는, 보다 높은 주파수의 플라즈마들에서 동작할 수도 있다. 많은 실시예들에서, 실리콘 나이트라이드 막들은 본 명세서에 기술된 바와 같이 ALD 사이클들에서 증착된다.
도 1은 개시된 실시예들에 따른 방법들을 수행하기 위한 동작들을 도시하는 프로세스 흐름도의 예이다. 도 2는 개시된 실시예들에 따른 예시적인 펄스들의 타이밍 시퀀스도이다. 도 2는 캐리어 가스 플로우, 실리콘-전구체 플로우, 플라즈마, 및 질소 플로우와 같은 다양한 프로세스 파라미터들에 대한 예시적인 ALD 프로세스 (200) 의 페이즈들을 도시한다. 도 2에서, 예시적인 캐리어 가스로서 아르곤이 나타나고, 디실란은 실리콘-전구체의 예를 나타낸다. 선들은 플로우 또는 플라즈마가 턴온 및 턴오프된 때를 나타낸다. 예시적인 프로세스 파라미터들은, 이로 제한되는 것은 아니지만, 불활성 종 및 반응 종에 대한 플로우 레이트들, 플라즈마 전력 및 주파수, 기판 온도, 및 프로세스 스테이션 압력을 포함한다. 도 1 및 도 2는 함께 이하에서 기술될 것이다.
도 1의 동작 101에서, 기판이 프로세스 스테이션에 제공된다. 기판은 실리콘 웨이퍼, 예를 들어, 유전체 재료, 도전 재료, 또는 반도전 재료가 그 위에 증착된 하나 이상의 재료의 층들을 갖는 웨이퍼들을 포함하는, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 기판은 하나 이상의 좁고 및/또는 재차 들어간 개구부들, 피처 내의 압축들, 및 고 종횡비들 중 하나 이상에 의해 특징화될 수도 있는, 비아 또는 콘택트 홀들과 같은 "피처들"을 가질 수도 있다. 피처는 하나 이상의 상기 기술된 층들 내에 형성될 수도 있다. 피처의 일 예는 반도체 기판 또는 기판 상의 층 내의 비아 또는 홀이다. 또다른 예는 기판 또는 층 내의 트렌치이다. 다양한 실시예들에서, 피처는 배리어층 또는 접착층과 같은, 하부층 (under-layer) 을 가질 수도 있다. 하부층들의 비한정적인 예들은 유전체층들 및 도전층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속층들을 포함한다.
일부 실시예들에서, 피처는 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 10:1, 이상의 종횡비를 가질 수도 있다. 피처는 개구에 가까운 치수, 예를 들어, 약 10 ㎚ 내지 500 ㎚, 예를 들어 약 25 ㎚ 내지 300 ㎚의 개구 직경 또는 선 폭을 가질 수도 있다. 개시된 방법들은 약 150 ㎚ 미만의 개구를 갖는 피처들을 갖는 기판들 상에서 수행될 수도 있다. 피처 비아 또는 트렌치는 충진되지 않은 피처 또는 피처로서 참조될 수도 있다. 재차 들어간 프로파일을 가질 수도 있는 피처는, 하단의, 폐쇄된 단부, 또는 피처의 내부로부터 피처 개구부로 좁아진다.
도 1의 동작들 103 내지 109 동안, 불활성 가스가 흐를 수도 있다. 다양한 실시예들에서, 불활성 가스는 캐리어 가스로서 사용된다. 예시적인 캐리어 가스들은 아르곤 (Ar), 헬륨 (He), 및 네온 (Ne) 을 포함한다. 일부 실시예들에서, 캐리어 가스는 수소가 증착된 실리콘 나이트라이드 막에 거의 포함되지 않거나 전혀 포함되지 않도록 수소가 아니다. 일부 실시예들에서, 수소-함유 캐리어 가스가 사용될 수도 있다. 도 2의 예시적인 시퀀스는 전체 프로세스 동안 계속해서 흐르는 예시적인 캐리어 가스로서 아르곤을 사용한다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어로 액체 반응물질의 기화, 반응물질의 보다 신속한 전달을 보조하기 위해 및/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다. 일부 실시예들에서, 질소는 동작들 103 내지 109 내내 흐를 수도 있고, 이하에 기술되는 바와 같이 동작 107에서 질소 플라즈마로서 점화된다.
도 1의 동작 103에서, 기판은 기판 표면 상에 흡착된 실리콘-함유 전구체에 노출된다. 이 동작은 ALD 사이클의 일부일 수도 있다. ALD "사이클"의 개념은 본 명세서의 다양한 실시예들의 논의와 관련된다. 일반적으로 사이클은 표면 증착 반응을 한번 수행하도록 사용된 동작들의 최소 세트이다. 1 사이클의 결과는 기판 표면 상의 적어도 부분적인 실리콘 나이트라이드 막층의 생성이다. 통상적으로, ALD 사이클은 적어도 하나의 반응물질을 기판 표면으로 전달하고 흡착시키고, 이어서 부분적인 막층을 형성하도록 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작을 포함한다. 사이클은 반응물질들 또는 부산물들 중 하나의 스윕핑 및/또는 증착된 부분적인 막의 처리와 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 예로서, 사이클은 다음의 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 스테이션으로부터 실리콘-함유 전구체의 퍼지, (iii) 질소 플라즈마의 전달, 및 (iv) 스테이션으로부터 플라즈마의 퍼지를 포함할 수도 있다.
임의의 적합한 수의 증착 사이클들이 목표된 막 두께의 실리콘 나이트라이드를 증착하기 위해 ALD 프로세스에 포함될 수도 있다. 도 2의 타이밍 시퀀스는 2개의 증착 사이클들, 210A 및 210B로 도 1의 다양한 동작들을 도시한다. 도시된 바와 같이, 사이클 각각에서, 기판은 도 1의 동작 103에 대해 상기 기술된 바와 같이 디실란에 노출된다. 예를 들어, 증착 사이클 210A 동안, 기판은 디실란 노출 페이즈 220A 동안 디실란에 노출되고, 증착 사이클 210B 동안, 기판은 디실란 노출 페이즈 220B 동안 디실란에 노출된다. 디실란 노출 페이즈들 220A 및 220B 동안, 플라즈마는 턴오프되고, 질소가 스테이션으로 흐르지 않고, 그리고 아르곤과 같은 캐리어 가스는 계속해서 흐른다는 것을 주의한다. 기판은 플로우 레이트 및 기판 표면적에 따라, 약 0.2초 내지 약 6초의 시간 동안 실리콘-함유 전구체에 노출될 수도 있다.
도 1로 돌아가서, 동작 103 동안, 기판은 흡착된 층을 형성하기 위해 실리콘-함유 전구체가 기판 표면 상에 흡착되도록 실리콘-함유 전구체에 노출된다. 일부 실시예들에서, 실리콘-함유 전구체는, 일단 활성 사이트들이 실리콘-함유 전구체에 의해 점유되면, 부가적인 실리콘-함유 전구체가 기판 표면 상에 거의 또는 전혀 흡착되지 않도록, 자기-제한 방식으로 기판 표면 상에 흡착된다. 예를 들어, 실리콘-함유 전구체들은 기판 표면의 약 60 %에 흡착될 수도 있다. 다양한 실시예들에서, 실리콘-함유 전구체가 스테이션으로 흐를 때, 실리콘-함유 전구체는 기판의 표면 상의 활성 사이트들 상에 흡착되고, 표면 상에 실리콘-함유 전구체의 박층을 형성한다. 다양한 실시예들에서, 이 층은 모노레이어보다 작을 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다. 본 명세서에 제공된 방법들은 약 450 ℃ 미만의 온도로 수행될 수도 있다. 약 450 ℃보다 높은 프로세스 온도들에서, 일부 실리콘-함유 전구체들은 실리콘층을 형성하도록 분해될 수도 있다.
CVD 또는 CVD-유사 프로세스와 달리, 실리콘-함유 전구체는 실리콘층을 형성하도록 분해되지 않는다. 다양한 실시예들에서, 동작 103은 모든 활성 사이트들이 실리콘-함유 전구체에 의해 점유되지 않도록 수행된다.
일부 실시예들에서, 실리콘-함유 전구체는 어떠한 N-H 결합들도 포함하지 않고, 1차 아민 또는 2차 아민을 포함하지 않는다. 일부 실시예들에서, 실리콘-함유 전구체는 NH3 기들을 갖지 않는다. 다양한 실시예들에서, 실리콘-함유 전구체는 할로겐-프리이다. 일부 실시예들에서, 실리콘-함유 전구체는 탄소-프리이다. 일부 실시예들에서, 실리콘-전구체는 N-H 결합 프리이다. 실리콘-함유 전구체는 또한 약 12:3 내지 약 12:5의 실리콘에 대한 수소의 원자비를 가질 수도 있다.
일반적으로, 본 명세서에 기술된 방법들에 사용된 바와 같은 실리콘-함유 전구체들은 전자-공여기 또는 전자-유인기를 갖지 않는다. 특정한 이론에 메이지 않고, 전자-공여기 또는 전자-유인기를 갖지 않는 실리콘-함유 전구체들은 실리콘-질소 결합들을 형성하도록 보다 반응성일 수도 있다. 일부 실시예들에서, 실리콘-함유 전구체는 고도의 극성 결합들은 포함하지 않는다. 기판의 흡착된 표면층은 플라즈마와 반응하기 위해 기판 표면으로부터 연장하는 무극성 공유 결합들을 포함한다. 다양한 실시예들에서, 실리콘-함유 전구체는 약 75 %보다 많은 무극성 공유 결합들을 포함한다. 예를 들어, 트리실릴아민은 3개의 극성 공유 Si-N 결합들 및 9개의 비극성 공유 Si-H 결합들을 포함한다. 일부 실시예들에서, 실리콘-함유 전구체의 말단들의 결합에서 2개의 원자들 사이의 전기음성도 차는 Pauling 스케일로 0.5미만이다.
개시된 실시예들에 따른 용도에 적합한 예시적인 실리콘-함유 전구체들은 실란, 디실란, 트리실란, 테트라실란 및 트리실릴아민과 같은 폴리실란들 (H3Si-(SiH2)n-SiH3) 을 포함하고, 여기서 n ≥ 1이다:
Figure pat00001
도 1로 돌아가면, 동작 105에서, 프로세스 스테이션은 기판의 표면 상에 흡착되지 않은 가스 상의 과도한 실리콘-함유 전구체를 제거하기 위해 선택적으로 퍼지된다. 퍼지는 다른 동작들에서 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다. 도 1의 동작 105는 디실란 플로우가 턴오프되고, 플라즈마가 점화되지 않고, 그리고 질소가 스테이션으로 공급되지 않는, 도 2의 퍼지 페이즈 240A 또는 퍼지 페이즈 240B에 대응할 수도 있다. 아르곤과 같은, 캐리어 가스는 스테이션으로부터 과도한 디실란을 모두 퍼지하도록 계속해서 흐른다. 일부 실시예들에서, 퍼지 페이즈 240A는 프로세스 스테이션을 배기하기 위해 하나 이상의 배기 서브페이즈를 포함할 수도 있다. 대안적으로, 퍼지 페이즈 240A는 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 퍼지 페이즈 240A는 약 0 초 내지 60 초, 또는 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 스윕핑 가스들의 플로우 레이트를 증가시키는 것은 퍼지 페이즈 240A의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 퍼지 페이즈 240A의 지속기간을 수정하기 위해 다양한 반응물질 열역학 특징들 및/또는 프로세스 스테이션 및/또는 프로세스 스테이션 플럼빙의 기하학적 특징들에 따라 조정될 수도 있다. 일 비한정적인 예에서, 스윕핑 페이즈의 지속기간은 스윕핑 가스 플로우 레이트를 수정함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 처리량을 개선할 수도 있다. 퍼지 후에, 실리콘-함유 전구체들은 기판 표면 상에 흡착된 채로 남는다.
일부 실시예들에서, 기판은 피처의 상단부 근방의 증착을 선택적으로 억제하기 위해 선택적으로 주기적으로 수소-함유 플라즈마에 노출될 수도 있다. 이러한 노출은 증착된 막의 컨포멀성을 개선할 수도 있다. 수소-함유 플라즈마로의 노출은 약 0.05 초 내지 약 60 초 지속될 수도 있다. 원자층 증착시 컨포멀성을 튜닝하기 위한 수소-함유 억제제의 사용 방법은, 전체가 본 명세서에 참조로서 인용된, 명칭이 "SELECTIVE INHIBITION IN ATOMIC LAYER DEPOSITION OF SILICON-CONTAINING FILMS"인 2014년 11월 24일 출원된 미국 특허 출원 제 14/552,011 호 (대리인 관리 번호 3520-1/LAMRP148) 에 기술된다.
도 1의 동작 107에서, 기판은 질소 플라즈마에 노출된다. 따라서, 도 2에서, 질소 플로우 및 플라즈마 양자는 증착 사이클들 210A 및 210B 각각에 대한 260A 및 260B의 질소 플라즈마 노출 페이즈 동안 턴온된다. 일부 실시예들에서, 질소 플로우는 플라즈마를 턴온하기 전, 예를 들어, 질소 플로우로 하여금 안정화하게 하도록 턴온될 수도 있다. 디실란 플로우는 플라즈마 노출 페이즈들 동안 턴오프되고 캐리어 가스로서 아르곤이 계속해서 흐른다는 것을 주의한다. 기판은 약 0.1 초 내지 약 6 초의 지속기간 동안 질소 플라즈마에 노출될 수도 있다. 일부 실시예들에서, 질소 플라즈마 노출 페이즈 260A 또는 260B는 기판 표면의 최상단에 연속적인 막을 형성하는, 기판 표면 상에 흡착된 모든 전구체들과 상호작용하는 시간을 초과하는 지속기간을 가질 수도 있다.
다양한 실시예들에서, 플라즈마는 플라즈마가 스테이션 내에서 기판 표면 바로 위에 형성되도록 인-시츄 플라즈마이다. 인-시츄 플라즈마는 약 0.2122 W/㎠ 내지 약 2.122 W/㎠의 기판 면적당 전력으로 점화될 수도 있다. 예를 들어, 전력은 4개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버에 대해 약 600 W 내지 약 6000 W의 범위일 수도 있다. 예를 들어, ALD 프로세스들을 위한 플라즈마는 2개의 용량 결합 플레이트들을 사용하여 가스에 RF (radio frequency) 장을 적용함으로써 생성될 수도 있다. RF 장에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화시키고, 플라즈마 방전 영역에 자유 전자들을 생성한다. 이들 전자들은 RF 장에 의해 가속화되고 가스 상 반응물질 분자들과 충돌할 수도 있다. 이들 전자들의 반응물질 분자들과의 충돌은 증착 프로세스에 참여하는 라디컬 종을 형성할 수도 있다. RF 장은 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 전극들의 비한정적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 RF 장의 가스로의 용량성 커플링 이외의 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 플라즈마는 질소가 스테이션의 리모트 플라즈마 생성기 업스트림에서 점화되고, 이어서 기판이 하우징된 스테이션으로 전달되도록 리모트 플라즈마이다.
동작 107 동안, 플라즈마 에너지는 실리콘-함유 전구체의 흡착된 층과 반응하는, 이온들 및 라디컬들로 질소 가스를 활성화하도록 제공된다. 예를 들어, 플라즈마는 질소 라디컬들 또는 이온들을 형성하도록 질소 가스 상 분자들을 직접적으로 또는 간접적으로 활성화할 수도 있다. 질소 라디컬들은, 비록 단수명이지만, 기판의 표면 상의 흡착된 층과 반응하기 위해 기판 내의 트렌치 또는 피처에 들어갈 수도 있다. 그러나, 단차 커버리지는 일부 질소 라디컬들이 트렌치 또는 비아에 들어간 후 비활성화될 수도 있기 때문에 단일 사이클에서 100 %가 아닐 수도 있다. 그럼에도 불구하고, 질소 플라즈마를 사용하는 것은 증착된 컨포멀 실리콘 나이트라이드 막 내에서의 오염을 감소시킨다.
특정한 이론에 메이지 않고, 보다 높은 주파수 플라즈마들은 이온들보다 보다 많은 라디컬들을 생성할 수도 있어서, 라디컬들과 실리콘-함유 전구체 간의 보다 높은 반응성으로 인한 실리콘 나이트라이드의 증착을 개선한다. 따라서 이 동작 동안 목표된 라디컬 밀도는 플라즈마 주파수에 의존한다. 다양한 실시예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 이용된다. 일부 실시예들에서, 보다 높은 이온 밀도를 갖는 것은 막의 컨포멀성을 감소시키지만, 컨포멀성은 본 명세서에 기술된 방법들을 사용하여 개선될 수도 있다.
일단 플라즈마가 질소 가스를 활성화하면, 질소 라디컬들 및 이온들은 기판의 표면 상에 흡착된 실리콘-함유 전구체와 반응하고, 실리콘-질소 결합들 및 실리콘 나이트라이드의 박막을 형성한다. 이렇게 발생되는 막은 이들 막들을 증착하기 위해 사용된 화학물질에 탄소들이 결여되기 때문에 탄소-프리이고 할로겐-프리이다.
도 1로 돌아가면, 동작 109에서, 프로세스 스테이션은 퍼지된다. 도 2에 도시된 바와 같이, 동작 109는 디실란 플로우가 턴오프되고, 플라즈마가 점화되지 않고, 그리고 질소가 스테이션으로 공급되지 않는, 퍼지 페이즈 280A 또는 퍼지 페이즈 280B에 대응할 수도 있다. 퍼지는 동작 105에 대해 상기 기술된 것들 중 어느 하나일 수도 있는, 캐리어 가스를 흘림으로써 수행될 수도 있다. 많은 실시예들에서, 동작 105 및 109에서 사용된 캐리어 가스는 동일한 가스이고, 일부 실시예들에서, 캐리어 가스는 도 2에 도시된 바와 같이, 이들 동작들 동안 연속적으로 흐른다.
도 1의 동작들 103 내지 109를 수행하는 것은 도 2의 증착 사이클 210A, 또는 증착 사이클 210B과 같은, 사이클을 구성할 수도 있다. 동작들의 노출 시간에 따라, 사이클 각각은 약 0.05 Å 내지 약 2 Å의 두께를 갖는 실리콘 나이트라이드 막을 증착할 수도 있다. 예를 들어, 전구체로서 디실란을 사용하여 약 250 ℃에서의 증착은 사이클 당 약 0.5 Å을 증착할 수도 있다. 따라서, 프로세스들은 수 나노미터 초과의 두께로 막들을 증착할 때 시간 소모적일 수도 있다. 또한, 일부 반응물질들은 또한 웨이퍼 처리량 시간을 감소시킬 수도 있는, 컨포멀막을 증착하기 위해 긴 노출 시간들을 가질 수도 있다.
동작 113에서, 막이 적절한 두께로 증착되었는지 여부가 결정된다. 그렇지 않다면, 동작들 103 내지 109는 반복될 수도 있다. 일부 반복된 사이클들에서, 수소-함유 억제제로의 노출은 사이클 각각에서 또는 선택된 수의 사이클들에서 증착을 억제하고 증착된 실리콘 나이트라이드층들의 컨포멀성을 개선하기 위해 사용될 수도 있다. 도 1 및 도 2에 도시된 방법들은 탄소를 함유하지 않은 화합물들이 프로세스에서 사용되기 때문에, 탄소-프리인 컨포멀 실리콘 나이트라이드 막들을 생성한다. 이들 화합물들은 사용된 실리콘-함유 전구체들이 할로겐들을 함유하지 않기 때문에, 또한 할로겐-프리이다. 일부 실시예들에서 수소는 캐리어 가스로서 사용되지 않고, 또한 실리콘 나이트라이드 막 내의 수소의 포함도 적다. 개시된 방법들을 사용하여 증착된 막들은 이들의 낮은 오염도로 인해 메모리 캡슐화막들의 증착과 같은, 많은 반도체 프로세싱 애플리케이션들에 사용하기에 적합하다. 본 명세서에 기술된 바와 같은 실리콘 나이트라이드 막들은 또한 희석 수소 플루오라이드 (HF) 습식 에칭 레이트를 억제하고 알려진 메모리 캡슐화층 애플리케이션들에 사용된 보다 낮은 온도에서의 본질적인 밀도 손실로 인해, 습식 에칭 레이트 열화를 보상하기 위한 층으로서 사용될 수도 있다.
장치
도 3은 저압 환경을 유지하기 위한 프로세스 챔버 바디 (302) 를 갖는 ALD 프로세스 스테이션 (300) 의 실시예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (300) 이 공통 저압 프로세스 툴 환경에 포함될 수도 있다. 예를 들어, 도 4는 멀티-스테이션 프로세싱 툴 (400) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의되는 것들을 포함하는, ALD 프로세스 스테이션 (300) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (350) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (300) 은 분배 샤워헤드 (306) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (301a) 과 유체로 연통한다. 반응물질 전달 시스템 (301a) 은 분배 샤워헤드 (306) 로의 전달을 위해 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (304) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브들 (320) 은 혼합 용기 (304) 내로의 프로세스 가스들의 도입을 제어할 수도 있다.
예로서, 도 3의 실시예는 혼합 용기 (304) 로 공급될 액체 반응물질을 기화하기 위해 기화 지점 (503) 을 포함한다. 일부 실시예들에서, 기화 지점 (303) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 처리량을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (303) 의 전달 파이프 다운스트림에서 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (304) 가 또한 열 추적될 수도 있다. 비한정적인 일 예에서, 기화 지점 (303) 의 파이프 다운스트림은 혼합 용기 (304) 에서 대략 100 ℃ 내지 대략 150 ℃로 연장하는 증가하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림으로 액체 반응물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 보다 고압으로부터 보다 저압으로 액체를 플래싱함으로써 반응물질을 기화할 수도 있다. 또다른 예에서, 액체 주입기는 가열된 전달 파이프에서 나중에 기화되는 확산된 미세액적들로 원자화할 수도 있다. 보다 작은 액적들은 보다 큰 액적들보다 보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이에 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (303) 으로부터 파이프 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (304) 에 바로 장착될 수도 있다. 또다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (306) 에 바로 장착될 수도 있다.
일부 실시예들에서, 프로세스 스테이션 (300) 으로의 전달 및 기화를 위한 액체의 대량의 플로우를 제어하기 위해 기화 지점 (303) 의 업스트림에 LFC (liquid flow controller) 가 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열 MFM (mass flow meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브는 MFM과 전기 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하기 위해 1초 이상이 걸릴 수도 있다. 이는 액체 반응물질을 도즈하기 위한 시간을 연장시킬 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센싱 튜브를 디스에이블함으로써 수행될 수도 있다.
분배 샤워헤드 (306) 는 프로세스 가스들을 기판 (312) 을 향해 분배한다. 도 3에 도시된 실시예에서, 기판 (312) 은 분배 샤워헤드 (306) 아래에 위치되고 페데스탈 (308) 상에 놓인 것으로 도시된다. 분배 샤워헤드 (306) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (312) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 마이크로볼륨 (307) 이 분배 샤워헤드 (306) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 개시된 실시예들을 실시하는 것은 반응물질 노출 시간 및 퍼지 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스들의 노출을 제한하는, 등을 할 수도 있다. 예시적인 마이크로볼륨 크기들은, 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적을 포함한다. 이는 또한 생산성 처리량에 영향을 준다. 일부 실시예들에서, 개시된 실시예들은 마이크로볼륨 내에서 수행되지 않는다.
일부 실시예들에서, 페데스탈 (308) 은 기판 (312) 을 마이크로볼륨 (307) 에 노출시키기 위해 및/또는 마이크로볼륨 (307) 의 체적을 가변시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (308) 은 마이크로볼륨 (307) 내에서 기판 (312) 을 포지셔닝하도록 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (307) 은 고 플로우 임피던스를 생성하기 위해 기판 (312) 뿐만 아니라 페데스탈 (308) 의 부분을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (308) 은 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 부분들 프로세싱 동안 마이크로볼륨 (307) 내에서 하강되고/되거나 상승될 수도 있다. 프로세스 챔버 바디 (302) 가 프로세싱 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (308) 을 하강시키는 것은 마이크로볼륨 (307) 으로 하여금 배기되게 할 수도 있다. 예시적인 프로세스 챔버 볼륨에 대한 마이크로볼륨의 비들은, 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적비들을 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (350) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또다른 시나리오에서, 페데스탈 (308) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 프로세스에 포함된 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 프로세스 페이즈의 완료시, 페데스탈 (308) 은 페데스탈 (308) 로부터 기판 (312) 의 제거를 허용하도록 또다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변형들이 높이-조정가능한 페데스탈 (308) 을 참조하지만, 일부 실시예들에서, 분배 샤워헤드 (306) 의 위치는 마이크로볼륨 (307) 의 체적을 가변하기 위해 페데스탈 (308) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (308) 및/또는 분배 샤워헤드 (306) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (308) 은 기판 (312) 의 배향을 회전시키기 위한 회전축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (350) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
상기 논의된 바와 같기 플라즈마가 사용될 수도 있는 일부 실시예들에서, 분배 샤워헤드 (306) 및 페데스탈 (308) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (314) 및 매칭 네트워크 (316) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (314) 및 매칭 네트워크 (316) 는 목표된 조성의 라디컬 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (314) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (314) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 또는 27 ㎒ 초과, 또는 40 ㎒ 초과, 또는 60 ㎒ 초과의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비한정적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy sensors) 에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특징들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (350) 를 위한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 디실란과 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 제 1 레시피 페이즈에서 사용된 가스 (예를 들어, 질소와 같은 플라즈마 반응물질) 와 동일하거나 상이할 수도 있는 불활성 및/또는 반응물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 단계에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고/있거나 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 페데스탈 (308) 은 히터 (310) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (300) 에 대한 압력 제어는 버터플라이 밸브 (318) 에 의해 제공될 수도 있다. 도 3의 실시예에 도시된 바와 같이, 버터플라이 밸브 (318) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (300) 의 압력 제어는 또한 프로세스 스테이션 (300) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 4는 인바운드 로드록 (402) 및 아웃바운드 로드록 (404) 를 갖는 멀티-스테이션 프로세싱 툴 (400) 의 실시예의 개략도를 도시하고, 로드록들 중 하나 또는 양자는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (406) 은 포드 (408) 를 통해 로딩된 카세트로부터 대기 포트 (410) 를 통해 인바운드 로드록 (402) 내로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼가 로봇 (406) 에 의해 인바운드 로드록 (402) 내의 페데스탈 (412) 상에 위치되고, 대기 포트 (410) 가 폐쇄되고, 로드록은 펌프 다운된다. 인바운드 로드록 (402) 이 리모트 플라즈마 소스를 포함하는 경우, 웨이퍼는 프로세싱 챔버 (414) 내로 도입되기 전에 로드록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드록 (402) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (414) 로의 챔버 수송 포트 (416) 가 개방되고, 또다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 위치시킨다. 도 4에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션으로 웨이퍼의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (414) 는 도 4에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 418로 도시됨) 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이하거나 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드 및 PEALD (plasma-enhanced ALD) 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (414) 는 ALD 및 PEALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (414) 가 4개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수도 있다.
도 4는 프로세싱 챔버 (414) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템은 다양한 프로세스 스테이션들 간에서 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비한정적인 예들은 웨이퍼 캐로절들 및 웨이퍼 핸들링 로봇들을 포함한다. 도 4는 또한 프로세스 툴 (400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (450) 의 실시예를 도시한다. 시스템 제어기 (450) 는 하나 이상의 메모리 디바이스들 (456), 하나 이상의 대용량 저장 디바이스들 (454), 및 하나 이상의 프로세서들 (452) 을 포함할 수도 있다. 프로세서 (452) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (450) 는 프로세스 툴 (400) 의 모든 액티비티들을 제어한다. 시스템 제어기 (450) 는 대용량 저장 디바이스 (454) 에 저장되고, 메모리 디바이스 (456) 로 로딩되고, 프로세서 (452) 상에서 실행되는 시스템 제어 소프트웨어 (458) 를 실행한다. 대안적으로, 제어 로직은 제어기 (450) 내에 하드코딩될 수도 있다. 이들 목적들을 위해 ASIC들 (Applications Specific Integrated Circuits), PLD들 (Programmable Logic Devices) (예를 들어, FPGA들 (field-programmable gate arrays)) 등이 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용되는 모든 경우에, 기능적으로 비슷한 하드코딩된 로직이 그 위치에 사용될 수도 있다. 시스템 제어 소프트웨어 (458) 는 타이밍, 가스들의 혼합, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (400) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (458) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (458) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서 시스템 제어기 (450) 와 연관된 대용량 저장 디바이스 (454) 및/또는 메모리 디바이스 (456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (418) 상에 기판을 로딩하고 기판과 프로세스 툴 (400) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 TMA, 암모니아, 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 전극들을 프로세스하기 위해 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (450) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (450) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비한정적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (450) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (400) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (450) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (450) 는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 개시된 실시예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (450) 와 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (450) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 동안, 및 후에 이들의 동작을 제어하기 위한 전자제품들에 통합될 수도 있다. 이 전자제품들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들 (subpart) 을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 프로세싱 조건들 및/또는 시스템의 타입에 따라, 시스템 제어기 (450) 는 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 내로/외부로의 웨이퍼 이송 및 특정한 시스템과 연결되거나 인터페이스된 다른 이송 툴들 및/또는 로드록들을 포함하는 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (450) 는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (450) 로 또는 시스템으로 전달되는 인스트럭션들일 수 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (450) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 시스템 제어기 (450) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (450) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (450) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (450) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (450) 는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적절한 장치는, 각각 전체가 본 명세서에 인용된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 2011년 4월 11일 출원된 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호) 및 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 2011년 4월 11일 출원된 미국 특허 제 13/084,305 호에서 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이, LED들, 광전 패널 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 동작들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 또는 x-선 광에 포토레스지트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작의 일부 또는 전부를 포함한다.
실험
실험 1
실험들은 디실란 및 암모니아 플라즈마를 사용하여 실리콘 나이트라이드의 증착을 테스트하도록 수행되었다. 실험들은 250 ℃에서 2 Torr의 압력으로 수행되었다. 사이클 각각은 디실란의 0.25 L 도즈, 퍼지, 가변하는 전력에서 2.5 초 동안 플라즈마를 사용하는 암모니아의 1 L 노출, 및 또다른 퍼지를 포함한다. 일 시험에서, 500 사이클들이 수행되었고; 다른 시험들에서 200 사이클들이 수행되었다. 시험 각각에 대해 비균일도 및 굴절률이 측정되었다. 결과들을 이하의 표 1에 나타낸다.
표 1. 디실란 및 암모니아 플라즈마를 사용한 실리콘 나이트라이드 증착
시험 1 시험 2 시험 3 시험 4 시험 5 시험 6
전력 (W) 200 200 400 600 1000 1000
사이클들 200 200 200 200 200 500
평균 두께 (Å) 24 24 37 38 46 43
범위 두께 (Å) 12 12 38 8 27 15
NU ( %1s) 5.6379 6.6751 30.8954 3.0193 10.146 5.5156
RI 2.1053 2.0769 1.8397 1.7683 1.7577 1.8342
RI NU ( %1s) 11.0532 8.8029 22.3947 14.8023 9.2469 8.8487
증착 레이트 (Å/cyc) 0.12 0.12 0.18 0.19 0.23 0.09
사이클들의 수 및 전력이 증가할 수록 (시험 6) 평균 두께에서 변화를 거의 나타내지 않고, 이는 막의 초기 43 Å이 막 성장이 아니라, 실리콘 표면 질화로 인한 것이라는 것을 암시한다. 따라서, 표 1에 나타낸 바와 같이, 기판의 표면 상에 실리콘 나이트라이드 성장이 거의 없거나 전혀 없고, 증착은 매우 낮지만, 나타낸 두께는 실리콘 표면 질화로 인한 것일 수도 있다. 이 실험은 디실란 및 암모니아 플라즈마만으로는 실리콘 나이트라이드 막들을 증착하기에 불충분하다는 것을 암시한다.
실험 2
개시된 실시예들을 사용하여 증착된 실리콘 나이트라이드 막 대 종래의 아미노실란 전구체를 사용하여 증착된 실리콘 나이트라이드 막을 비교하기 위해 실험이 수행되었다. 먼저, 실리콘 나이트라이드 막은 ALD를 사용하여 증착되었다. 비스(디에틸)아미노실란이 아르곤 캐리어 가스를 사용하여 기판이 하우징된 스테이션 또는 챔버로 도입된다. 이어서 스테이션은 퍼지된다. 질소 플라즈마는 27 ㎒의 주파수 및 300 W의 전력으로 2.5 초 동안 점화된다. 스테이션은 다시 퍼지된다. 증착된 막은 사이클 당 약 0.46 Å의 SiN으로 증착된다.
다음에, 도 1에 상기 기술된 방법을 사용하여 실리콘 나이트라이드 막이 ALD를 사용하여 또다른 기판 상에 증착된다. 디실란은 아르곤 캐리어 가스를 사용하여 제 2 기판이 하우징된 스테이션으로 도입된다. 이어서 스테이션은 퍼지된다. 질소 플라즈마는 27 ㎒의 주파수 및 300 W의 전력으로 2.5 초 동안 점화된다. 스테이션은 다시 퍼지된다. 막 증착 레이트는 사이클 당 약 0.55 Å이다. 두 기판들은 x-선 광전 분광법에 의해 평가된다. 아미노실란을 사용하여 증착된 막은 12 % 탄소를 갖는다. 디실란을 사용하여 증착된 막은 0 % 탄소를 갖는다.
두 막들의 조성들을 비교하기 위해 푸리에 변환 분광법이 사용되었다. 도 5는 FTIR 스펙트럼을 도시한다. 곡선 503은 아미노실란 전구체를 사용하여 증착된 막을 나타내고, 이는 507에서 Si-H 피크를 나타내고, 일부 탄소는 509에서 피크를 갖는다. 곡선 501은 디실란 전구체를 사용하여 증착된 막을 나타내고, 이는 Si-N 결합에 대해 강한 피크 505를 나타낸다. 곡선 503은 Si-N 결합에 대해 보다 작은 피크를 갖고, 곡선 501은 Si-H에 대해 대응하는 피크를 갖지 않는다는 것을 주의한다. 이들 결과들은 디실란 전구체를 사용하는 것이 보다 많은 Si-N 결합들 및 교차 결합을 갖는, 본질적으로 탄소 오염되지 않고, 수소가 거의 포함되지 않은 막을 발생시킨다는 것을 암시한다.
상기 기술된 막들에 대한 데이터를 막 1 (아미노실란 전구체) 및 막 3 (디실란 전구체) 으로 이하의 표 2에 나타내었다. 부가적인 막들이 가변하는 주파수들 및 전력들에서 증착되었다. 특히, 비스(디에틸)아미노실란 전구체는 13.56 ㎒의 주파수 및 300 W의 전력으로 SiN 막을 증착하도록 사용되었고, 그 결과는 이하의 표 2의 막 2로 나타난다. 디실란은 300 W 및 13.56 ㎒, 뿐만 아니라 200 W 및 13.56 ㎒에서의 증착을 위한 전구체로서 사용되었다. 이들 막들 각각에 대해, 증착 레이트, 균일도, RI, Si-H의 피크 높이 (수소의 포함을 나타냄), Si-N의 피크 높이 (Si-N 결합들의 존재를 나타냄), 및 단차 커버리지가 평가되었다. 일부 막들에 대해, 일부 특성들은 측정되지 않고 데이터 없음에 대해 라벨 "N/D"로 나타내었다. 전체적으로, 단차 커버리지는 비스(디에틸)아미노실란 (28 %, 33 %) 및 디실란 (27 %) 에 의해 증착된 막들 사이에서 유사하다. 디실란을 사용하여 증착된 막들은 개선된 RI 및 보다 우수한 막 품질 (보다 적은 Si-H, 보다 많은 Si-N, 보다 균일) 을 갖는다.
2. 비스(디에틸)아미노실란 및 디실란에 의해 증착된 실리콘 나이트라이드 막들
막 # 1 2 3 4 5
전구체 비스(디에틸)아미노실란 디실란
전력 (W) 300 300 200
주파수
(㎒)
27 13.56 27 13.56 13.56
증착 레이트
(Å/cyc)
0.44 0.5 0.55 0.60 0.55
균일도
(1σ %)
3.5 % 2.5 % 1.0 % 1.0 % 1.4 %
굴절률 1.89 1.83 1.98 1.89 1.95
피크 높이 Si -H 3.4E-05 2.7E-05 0 N/D N/D
피크 높이 Si-N 7.4E-05 6.6E-05 1.3E-04 N/D N/D
단차 커버리지 28 % 33 % N/D 27 % N/D
실험 3
암모니아 플라즈마에 의해 증착된 막들 대 질소 플라즈마에 의해 증착된 막들을 비교하기 위한 실험이 수행되었다. 제 1 막은 실리콘 전구체로서 트리실릴아민 및 13.56 ㎒의 RF 주파수에서 암모니아 플라즈마를 사용하여 400 ℃에서 증착되었다. 제 2 막은 도 1에서 상기 기술된 방법에 따라, 실리콘 전구체로서 트리실릴아민 및 13.56 ㎒의 RF 주파수에서 질소 플라즈마를 사용하여 400 ℃에서 증착되었다. 제 3 막은 실리콘 전구체로서 트리실릴아민 및 13.56 ㎒의 RF 주파수에서 암모니아 플라즈마를 사용하여 250 ℃에서 증착되었다. 제 4 막은도 1에서 상기 기술된 방법에 따라, 실리콘 전구체로서 트리실릴아민 및 13.56 ㎒의 RF 주파수에서 질소 플라즈마를 사용하여 250 ℃에서 증착되었다. 증착 레이트들 및 RI 값들은 모든 막들에 대해 평가되고, 2개의 질소 플라즈마 막들에 대한 단차 커버리지가 또한 측정되었다. 결과들은 표 3에 요약되었다.
도시된 바와 같이, 막 7은 60 % 단차 커버리지를 갖지만 250 ℃에서 증착된 막 9는 30 % 단차 커버리지를 갖는 것으로 보다 높은 온도는 보다 높은 단차 커버리지를 발생시킨다. 또한, 플라즈마 내 수소의 존재는 증착을 억제한다. 400 ℃에서, 막 6은 질소 플라즈마를 사용하여 증착된 막 7보다 보다 낮은 증착 레이트를 갖는다. 동일한 패턴이 막 8 및 막 9에 대해 나타나고, 막 9는 질소 플라즈마가 사용될 때 보다 높은 증착 레이트를 갖는다. 이들 결과들은 질소 플라즈마를 사용하는 것이 암모니아 플라즈마와는 반대로 실리콘 나이트라이드 막의 증착을 증가시킨다는 것을 암시한다.
3. 암모니아 플라즈마 vs. 질소 플라즈마
막 # 6 7 8 9
전구체 트리실릴아민 트리실릴아민
주파수
(㎒)
13.56 13.56
온도
(℃)
400 400 250 250
플라즈마 반응물질 암모니아 (NH3) 질소 (N2) 암모니아 (NH3) 질소 (N2)
증착 레이트
(Å/cyc)
0.21 0.45 0.06 0.27
굴절률 1.89 1.93 1.88 1.93
단차 커버리지 N/D 60 % N/D 30 %
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (20)

  1. 챔버 내에서 기판 상에 실리콘 나이트라이드 막을 증착하는 방법에 있어서,
    상기 방법은,
    (a) 상기 기판 표면 상에 실리콘-함유 전구체의 흡착된 층의 형성을 허용하는 조건들 하에서 상기 실리콘-함유 전구체에 상기 기판을 노출시키는 단계; 및
    (b) 상기 실리콘 나이트라이드 막을 형성하도록 상기 흡착된 층을 질소 (N2) 플라즈마에 노출시키는 단계를 포함하고,
    상기 실리콘-함유 전구체는 N-H 결합-프리 (bond-free) 이고 할로겐-프리 (halogen-free) 인, 실리콘 나이트라이드 막을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘-함유 전구체는 탄소-프리인, 실리콘 나이트라이드 막을 증착하는 방법.
  3. 제 1 항에 있어서,
    프로세스 온도는 약 250 ℃ 미만인, 실리콘 나이트라이드 막을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘-함유 전구체는 실란, 디실란, 트리실란, 테트라실란, 및 트리실릴아민으로 구성된 그룹으로부터 선택되는, 실리콘 나이트라이드 막을 증착하는 방법.
  5. 제 1 항에 있어서,
    (c) 상기 단계 (a) 및 (b) 를 반복하는 단계; 및
    (d) 상기 기판을 수소-함유 플라즈마에 주기적으로 노출시키는 단계를 더 포함하는, 실리콘 나이트라이드 막을 증착하는 방법.
  6. 제 5 항에 있어서,
    상기 기판을 상기 수소-함유 플라즈마에 노출시키는 단계는, 상기 기판을 수소-함유 가스에 노출시키는 단계 및 플라즈마를 점화하는 단계를 포함하고,
    상기 수소-함유 가스는 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 실리콘 나이트라이드 막을 증착하는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    캐리어 가스는 (a) 내지 (b) 동안 내내 흐르는, 실리콘 나이트라이드 막을 증착하는 방법.
  8. 제 7 항에 있어서,
    상기 캐리어 가스는 수소-프리인, 실리콘 나이트라이드 막을 증착하는 방법.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 플라즈마의 주파수는 적어도 약 13.56 ㎒인, 실리콘 나이트라이드 막을 증착하는 방법.
  10. 제 9 항에 있어서,
    상기 플라즈마의 주파수는 약 27 ㎒인, 실리콘 나이트라이드 막을 증착하는 방법.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 실리콘-함유 전구체는 상기 기판 표면의 약 60 % 미만에 흡착되는, 실리콘 나이트라이드 막을 증착하는 방법.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 단계 (a) 에서 상기 기판 표면 상의 상기 흡착된 층은 약 0.5 Å 미만의 두께인, 실리콘 나이트라이드 막을 증착하는 방법.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 실리콘-함유 전구체는 약 12:4 내지 약 12:5의 수소에 대한 실리콘 비를 갖는, 실리콘 나이트라이드 막을 증착하는 방법.
  14. 제 5 항에 있어서,
    상기 기판은 약 0.05 초 내지 약 60 초의 시간 동안 상기 수소-함유 플라즈마에 노출되는, 실리콘 나이트라이드 막을 증착하는 방법.
  15. 챔버 내에서 기판 상에 실리콘 나이트라이드 막을 증착하는 방법에 있어서,
    상기 방법은,
    (a) 상기 기판 표면 상에 실리콘-함유 전구체의 흡착된 층의 형성을 허용하는 조건들 하에서 상기 실리콘-함유 전구체에 상기 기판을 노출시키는 단계; 및
    (b) 상기 실리콘 나이트라이드 막을 형성하도록 상기 흡착된 층을 질소 (N2) 플라즈마에 노출시키는 단계를 포함하고,
    상기 실리콘-함유 전구체는 적어도 약 75 %의 무극성 공유 결합들을 포함하는, 실리콘 나이트라이드 막을 증착하는 방법.
  16. 제 15 항에 있어서,
    상기 실리콘-함유 전구체는 트리실릴아민인, 실리콘 나이트라이드 막을 증착하는 방법.
  17. 제 15 항에 있어서,
    (c) 상기 단계 (a) 및 (b) 를 반복하는 단계; 및
    (d) 상기 기판을 수소-함유 플라즈마에 주기적으로 노출시키는 단계를 더 포함하는, 실리콘 나이트라이드 막을 증착하는 방법.
  18. 제 17 항에 있어서,
    상기 기판은 약 0.05 초 내지 약 60 초의 시간 동안 상기 수소-함유 플라즈마에 노출되는, 실리콘 나이트라이드 막을 증착하는 방법.
  19. 제 17 항에 있어서,
    상기 기판을 상기 수소-함유 플라즈마에 노출시키는 단계는, 상기 기판을 수소-함유 가스에 노출시키는 단계 및 플라즈마를 점화하는 단계를 포함하고,
    상기 수소-함유 가스는 암모니아, 수소, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 실리콘 나이트라이드 막을 증착하는 방법.
  20. 제 15 항에 있어서,
    상기 단계 (a) 에서 상기 기판 표면 상에 상기 흡착된 층은 약 0.5 Å 미만의 두께인, 실리콘 나이트라이드 막을 증착하는 방법.
KR1020150163065A 2014-11-24 2015-11-20 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법 KR102446502B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/552,245 US9589790B2 (en) 2014-11-24 2014-11-24 Method of depositing ammonia free and chlorine free conformal silicon nitride film
US14/552,245 2014-11-24

Publications (2)

Publication Number Publication Date
KR20160061890A true KR20160061890A (ko) 2016-06-01
KR102446502B1 KR102446502B1 (ko) 2022-09-22

Family

ID=56010921

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150163065A KR102446502B1 (ko) 2014-11-24 2015-11-20 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법

Country Status (3)

Country Link
US (1) US9589790B2 (ko)
KR (1) KR102446502B1 (ko)
TW (1) TWI695082B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180106933A (ko) * 2017-03-17 2018-10-01 램 리써치 코포레이션 실리콘 나이트라이드의 선택적 성장
KR20190096449A (ko) * 2017-01-13 2019-08-19 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 나이트라이드 필름들을 위한 방법들 및 장치

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6661487B2 (ja) * 2016-07-13 2020-03-11 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) * 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102551237B1 (ko) * 2016-12-22 2023-07-03 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020081397A1 (en) * 2018-10-19 2020-04-23 Lam Research Corporation Method of depositing silicon nitride films
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210017001A (ko) 2019-08-06 2021-02-17 삼성전자주식회사 자기 저항 메모리 소자의 제조 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006080359A (ja) * 2004-09-10 2006-03-23 Toppan Printing Co Ltd 窒化シリコン膜の製造方法及び窒化シリコン膜を用いたパターン形成方法
JP2008306093A (ja) * 2007-06-11 2008-12-18 Tokyo Electron Ltd 成膜方法及び成膜装置

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5230929A (en) * 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
CN102484070B (zh) 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006080359A (ja) * 2004-09-10 2006-03-23 Toppan Printing Co Ltd 窒化シリコン膜の製造方法及び窒化シリコン膜を用いたパターン形成方法
JP2008306093A (ja) * 2007-06-11 2008-12-18 Tokyo Electron Ltd 成膜方法及び成膜装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190096449A (ko) * 2017-01-13 2019-08-19 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 나이트라이드 필름들을 위한 방법들 및 장치
KR20180106933A (ko) * 2017-03-17 2018-10-01 램 리써치 코포레이션 실리콘 나이트라이드의 선택적 성장

Also Published As

Publication number Publication date
KR102446502B1 (ko) 2022-09-22
TWI695082B (zh) 2020-06-01
TW201632650A (zh) 2016-09-16
US9589790B2 (en) 2017-03-07
US20160148806A1 (en) 2016-05-26

Similar Documents

Publication Publication Date Title
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US10903071B2 (en) Selective deposition of silicon oxide
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
US9601693B1 (en) Method for encapsulating a chalcogenide material
KR20160113014A (ko) 초박 원자층 증착 막 정확도 두께 제어
WO2020247548A1 (en) In-situ control of film properties during atomic layer deposition
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right