CN112368804A - 含金属硬掩模薄膜的选择性生长 - Google Patents

含金属硬掩模薄膜的选择性生长 Download PDF

Info

Publication number
CN112368804A
CN112368804A CN201980043731.5A CN201980043731A CN112368804A CN 112368804 A CN112368804 A CN 112368804A CN 201980043731 A CN201980043731 A CN 201980043731A CN 112368804 A CN112368804 A CN 112368804A
Authority
CN
China
Prior art keywords
features
deposition
hard mask
metal
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980043731.5A
Other languages
English (en)
Inventor
大卫·查尔斯·史密斯
乔恩·亨利
丹尼斯·M·豪斯曼
保罗·C·莱曼利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112368804A publication Critical patent/CN112368804A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供用于选择性生长含金属硬掩模的方法和装置。所述方法包括:提供具有分隔特征的图案的衬底,各特征具有顶部水平表面;用含碳材料填充分隔特征之间的空间,以形成具有特征的顶部水平表面和含碳材料的平坦表面;相对于含碳材料在特征的顶部水平表面上选择性地沉积含金属硬掩模;以及相对于含金属硬掩模和特征而选择性地移除含碳材料。

Description

含金属硬掩模薄膜的选择性生长
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
半导体设备制造包括微处理器、逻辑和存储器设备的制造。这些设备可使用各种技术来制造,包括实现多种类型的硬掩模的图案化技术。一些工艺涉及形成包括硅氧化物和硅氮化物的结构。用于形成这些结构的一些技术可能受限于包括蚀刻和沉积两者的图案化技术。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文提供了用于处理衬底的方法和装置。一个方面涉及一种方法,该方法包括:提供图案化半导体衬底,其在待蚀刻的下伏材料上具有分隔开的特征;用可灰化填充物填充所述特征之间的空间,使得所述特征的顶部水平表面暴露,且所述特征的侧壁接触所述可灰化填充物;在填充所述特征之间的所述空间后,相对于所述可灰化填充物在所述特征的所暴露的所述顶部水平表面上选择性地沉积含金属硬掩模;以及相对于所述特征和所述含金属硬掩模移除所述可灰化填充物。
在多种实施方案中,执行所述特征之间的所述填充,以形成平坦表面,所述平坦表面包含所述特征的所述顶部水平表面和所述可灰化填充物。
在一些实施方案中,所述特征之间的所述填充物通过旋涂来完成。所述旋涂可以通过以下方式执行:注入含碳流体混合物至所述图案化半导体衬底上,然后进行热固化。在一些实施方案中,所述特征之间的所述填充通过旋涂后进行平坦化以暴露所述特征的所述顶部水平表面来完成。
在多种实施方案中,特征之间的所述填充通过等离子体增强化学气相沉积来完成。特征之间的所述填充可涉及于等离子体增强化学气相沉积后对图案化半导体衬底进行平坦化。例如,平坦化可通过化学机械平坦化来进行。在一些实施方案中,通过等离子体增强化学气相沉积进行的填充涉及将特征暴露于具有化学式CxHy的烃前体,其中X是2与10之间且包括2和10的整数,Y是2与24之间且包括2和24的整数。例如,烃前体可包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)中的任何一者或更多者。在一些实施方案中,通过等离子体增强化学气相沉积进行的填充还涉及使惰性气体混合物流动,该惰性气体混合物包含例如氩、氮、氦及其组合中的任何一种之类的气体。在一些实施方案中,通过等离子体增强化学气相沉积进行填充还涉及以约100W与约10kW之间的等离子体功率点燃等离子体。
在多种实施方案中,含金属硬掩模的选择性沉积系于约200℃与约400℃之间的衬底温度下进行。
在一些实施方案中,含金属硬掩模的选择性沉积是通过一或更多循环的原子层沉积来执行。例如,一个循环的原子层沉积可包括暴露于含硅前体以及暴露于含钨前体两者。在一些情况下,还在足以沉积含金属硬掩模且不引起反应性物质轰击至图案化半导体衬底的表面上的等离子体功率下点燃等离子体。在一些实施方案中,含硅前体是硅烷。在一些实施方案中,含钨前体是卤化钨。例如,含钨前体可以是六氟化钨、五氯化钨、六氯化钨及其组合中的任一种。在一些实施方案中,原子层沉积的一个循环沉积约
Figure BDA0002864005440000021
Figure BDA0002864005440000022
的含金属硬掩模。
在多种实施方案中,执行选择性沉积持续足够的时间以沉积含金属硬掩模至介于约
Figure BDA0002864005440000023
与约
Figure BDA0002864005440000024
之间的厚度。
该方法还可包括使用特征作为掩模来蚀刻下伏的材料。在一些实施方案中,所沉积的含金属硬掩模的厚度根据用于蚀刻下伏的材料的化学物质来选择。
在多种实施方案中,特征含有介电材料。介电材料的示例是硅氧化物。另一示例性介电材料是硅氮化物。在多种实施方案中,特征含有硅。例如,在一实施方案中,特征含有多晶硅。
在多种实施方案中,含金属硬掩模包含钨。例如,含金属硬掩模可以是钨、钨碳化物、钨碳氮化物及其组合中的任一种。
在多种实施方案中,特征之间的空间具有至少约4:1的深宽比。
在一些实施方案中,多个特征中的一个特征的从顶部水平表面至待蚀刻的下伏的材料表面测得的高度为至少约
Figure BDA0002864005440000031
在多种实施方案中,填充是在至少约150℃的衬底温度下进行的。
在一些实施方案中,移除可灰化填充物包括将图案化半导体衬底暴露于含氧或含氢等离子体。
在多种实施方案中,移除可灰化填充物是在不施加偏压下进行的。
在一些实施方案中,填充是在具有约5毫托(mTorr)与约10托(Torr)之间的室压强的处理室中进行的。
另一方面涉及一种装置,其包括:处理室,其包括喷头和衬底支撑件;等离子体产生器;以及控制器,其具有至少一个处理器和存储器,其中,所述至少一个处理器与所述存储器相互通信连接,所述至少一个处理器至少与流动控制硬件操作性地连接,且所述存储器存储机器可读指令,所述机器可读指令用于:致使含碳沉积前体的流动的导入并且致使第一等离子体的生成;在致使所述含碳沉积前体的导入后,致使所述含碳沉积前体的所述流动的停止;以及在停止所述含碳沉积前体的所述流动后,致使含硅前体流与含钨前体流的时间上分开的脉冲的导入。
下面参考附图进一步详细描述这些和其他方面。
附图说明
图1是描述根据某些所公开的实施方案所执行的操作的工艺流程图。
图2-6是进行某些所公开的实施方案的操作的衬底示意图。
图7是用于执行某些所公开的实施方案的示例处理室的示意图。
图8是用于执行某些所公开的实施方案的示例性处理装置的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实践所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是,其并不意在限制所公开的实施方案。
在半导体处理中,掩模处理方法用于图案化并蚀刻衬底。随着衬底深宽比增加,对高选择性硬掩模的需求增加。诸多图案化方案涉及正型特征的形成,如介电间隔物,以用作用于蚀刻目标层的掩模。然而,一些介电间隔物可能易被侵蚀,尤其是介电间隔物之间的间隙深宽比非常大且间隙深度可能因此大的情况下,这可能导致蚀刻介电间隔物下方目标层的蚀刻持续时间较长。因此,在蚀刻目标层期间,一些介电间隔物可能会腐蚀。
在一些情况下,介电间隔物还包括在介电间隔物上方的附加硬掩模,其可承受用于蚀刻目标层的蚀刻条件,同时保持图案的轮廓。具有高蚀刻选择性且易于移除而不损坏衬底的掩模在处理衬底中是有用的。尤其是,含金属硬掩模在前段工艺技术发展中具有显著的优点。包含金属的硬掩模可承受各种蚀刻化学物质,以在蚀刻目标层期间保护介电间隔物。含金属硬掩模中的一种类型是钛氮化物。然而,在一些情况下,当使用钛氮化物作为硬掩模时,会形成钛氟化物(TiFx),其难以从室部件及衬底的暴露表面移除。
含金属硬掩模的另一类型是含钨硬掩模,例如钨金属、钨碳化物、钨氮化物或钨碳氮化物。当暴露于蚀刻化学物质时,相比于暴露的介电材料,这样的材料具有改进的蚀刻产物挥发性、改善的晶粒尺寸以减小线宽粗糙度、以及具有改善的蚀刻选择性,因而作为有效的硬掩模。例如,当暴露于含氟蚀刻化学物质时,钨金属相对于硅氧化物材料的蚀刻选择性可超过至少约10:1。此外,含钨硬掩模不易形成难以去除的非挥发性材料;反而,当使用含钨硬掩模作为掩模时,硬掩模可形成钨氟化物,钨氟化物在蚀刻的工艺条件下具有挥发性。含钨硬掩模还生长成较大晶粒尺寸,其可使用多种沉积技术和工艺条件形成,并且在一些情况下可改善线弯曲问题。
用于形成含钨硬掩模的一种方法是通过化学气相沉积(CVD),其可以热进行或使用等离子体(等离子体增强化学气相沉积或PECVD)进行。随着设备缩小,对更先进的技术而言,关键尺寸减小,而深宽比增大。在某些情况下,CVD或PECVD技术可能在所期望的厚度下产生将特征封闭的区域,因此导致空隙的形成。虽然通过沉积较薄的膜可避免空隙,但对含钨硬掩模而言,这样的薄膜可能因蚀刻期间的溅射损失而不足以作为硬掩模,且蚀刻期间硬掩模可能劣化,因而使蚀刻轮廓变差。此外,CVD沉积的含钨硬掩模可能减小特征之间的间隙关键尺寸,因而降低深宽比。另外,在图案化特征上进行含钨硬掩模的CVD或PECVD不仅在特征之间的间隙底部导致沉积,其还在特征的侧壁上沉积,因而减小深宽比,并劣化特征轮廓。一些CVD及PECVD技术可能不会相对于特征的侧壁而优先地沉积在特征的顶部水平表面上。
本文提供了用于选择性地在图案化特征上沉积含金属硬掩模的方法和装置。图案化特征可以是介电材料,在图案化特征之间具有高深宽比间隙或空间,各图案化特征具有顶部水平表面,并且含金属硬掩模可相对于衬底上其他暴露表面而选择性地沉积于顶部水平表面上。在一些实施方案中,通过在图案化特征之间形成含碳和/或可灰化材料来实现选择性,其中含金属硬掩模可相对于暴露的含碳表面而选择性地沉积在图案化特征的顶部水平表面上。某些公开实施方案涉及:用可灰化材料填充图案化特征之间的间隙;可选地对衬底进行平坦化,以暴露图案化特征的顶部水平表面上的电介质;以及相对于可灰化材料而选择性地在图案化特征的顶部水平表面上的暴露电介质上沉积含金属硬掩模材料。
某些公开的实施方案使得能够沉积例如含钨硬掩模至足够厚度,以使含钨硬掩模可承受后续蚀刻化学物质,并作为有效的硬掩模,且沉积技术使得图案化特征之间能有足够的特征开口,以对后续的蚀刻操作提供足够的空间。即,在材料沉积于未填充有含碳材料的图案化特征上的一些实施方案中,一些材料可能沉积在特征的侧壁上,从而减小图案化特征之间的开口尺寸。在某些公开的实施方案中,因为特征之间的空间是在沉积含金属硬掩模之前填充有含碳材料,故可维持图案化特征之间的开口,而含碳材料可在沉积含金属硬掩模之后移除,使特征之间的开口尺寸在含金属硬掩模沉积之前与之后基本上相同。
图1是描述根据某些公开实施方案所执行的操作的工艺流程图。操作110-170可在设定为约1毫托(mTorr)与约10托(Torr)之间的室压强的处理室中执行。在一些实施方案中,该处理室包括设置在约75℃与约600℃之间温度的基座。在一些实施方案中,图1中的操作在不同温度下执行。例如,在一些实施方案中,操作130是在不同于操作150的温度下执行。在一些实施方案中,操作150是在介于约75℃与约600℃之间或约50℃与约300℃之间的温度下进行。
在操作110中,提供具有分隔特征的图案化衬底。衬底可以是硅晶片,例如200mm晶片、300mm晶片或450mm晶片,其包括上面沉积有一或更多层材料(例如电介质、导电或半导电材料)的晶片。下层的非限制性示例包括介电层和导电层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。衬底可包括使用分隔特征的图案来蚀刻的目标层。衬底上的图案包括分隔特征。分隔特征可以是衬底上的柱。在多种实施方案中,这些分隔特征为正型特征。
衬底上的特征可以是图案化含硅材料。例如,在一些实施方案中,特征包含硅氧化物材料。在一些实施方案中,特征包括硅氮化物材料。在一些实施方案中,特征包含硅。例如,特征可以是多晶硅。在一些实施方案中,特征包含硅碳化物材料。
某些公开实施方案适用于在各种尺寸特征上进行选择性沉积,且不限于在具有特定高度的特征上进行沉积。
在一些实施方案中,特征之间的空间可以是横跨衬底表面的沟槽。在一些实施方案中,从俯视衬底平面的特征俯视图来看,各特征具有矩形横截面。从朝向整个衬底表面看的特征侧视图来看,特征可具有约
Figure BDA0002864005440000071
Figure BDA0002864005440000072
与约5微米之间的高度,其中高度是从特征的底部至特征的顶部水平表面测得的。特征的底部邻接目标层,由此特征的侧壁以90°±5°与目标层的水平表面相交。特征的顶部水平表面可以90°±5°与特征的侧壁相交。
在多种实施方案中,特征之间的空间具有约2:1与约20:1之间、或至少约4:1、或约4:1的深宽比。特征之间的距离(在此可称为关键尺寸)或特征之间的间隙尺寸可小于约40nm。
图2提供从具有目标层203和分隔特征205的衬底201侧视图来看的示例性示意图,特征之间具有间隙207。间隙可由分隔特征205的相邻侧壁225之间的空间定义。每个分隔特征205可具有顶部水平表面215。
回到图1,在操作130中,用含碳材料填充特征之间的空间。在多种实施方案中,特征之间的空间可填充有“可灰化填充物”,其意指可通过氧或氢等离子体移除的填充物。可通过多种技术中的一种来进行特征之间的空间填充。一示例性技术是旋涂。在旋涂中,通过喷嘴将含碳和/或含碳-硅混合物注入至衬底上,以将液体旋涂至衬底上。在一些实施方案中,在流体流动之后,使衬底在约250℃的温度下固化,这可使沉积的含碳材料收缩并致密化。
另一示例性技术是PECVD。在PECVD中,衬底暴露于含碳沉积前体并点燃等离子体。在PECVD期间,衬底暴露于含碳沉积前体的连续流动,并且原位点燃等离子体。在一些实施方案中,含碳沉积前体在远程等离子体产生器中或在衬底上游的等离子体中点燃,以形成激发物质,其被输送至处理室以沉积至衬底上。
含碳沉积前体可以是烃。烃可以由化学式CxHy定义,其中X为介于2与10之间并且包括2和10的整数,Y为介于2与24之间并且包括2和24的整数。示例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。
在一些实施方案中,可引入二或更多种烃前体。除烃前体外,载气也可用于稀释前体气流。载气可以是任何合适的载气,包括氦(He)、氩(Ar)、氮(N2)、氢(H2)或这些中的任何的组合。在一些实施方案中,烃与氩、氮及氦的混合物一起流动。载气流比烃前体气流的比率可通过用于引入烃前体气体的工具来改变。载气流比烃前体气流的比率取决于气体输送类型、间隔和体积,且可能影响沉积的含碳材料的均匀性和粒子效能。
在一些实施方案中,含碳沉积前体还包括除了碳和氢以外的原子。其他示例性含碳沉积前体包括胺(例如叔丁胺、三乙胺、甲胺等)以及醇(例如乙醇、叔丁醇等)。
前体气体流率取决于特定的沉积室及衬底。用于四个300mm衬底的流率的示例是介于约200sccm与约4,000sccm之间的乙炔、介于约1000sccm与约20,000sccm之间的氢、以及介于约1000sccm与约20,000sccm之间的氦。
在引入含碳沉积前体时,使用包括低频(LF)成分和高频(HF)成分的双RF等离子体源来点燃等离子体。在一些实施方案中,实施方案的方法使用LFRF功率,以产生高能离子轰击。低频RF功率是指频率介于约100kHz与约2MHz之间或约400kHz的RF功率。在一些实施方案中,脉冲频率可能受LF产生器的操作能力限制。在一些实施方案中,LF RF功率具有频率约400kHz的RF功率,例如430kHz的RF功率。在沉积期间,在一些实施方案中,LF功率范围介于约0.001W/cm2与约0.05W/cm2之间,以每cm2衬底表面积的W表示。在一些实施方案中,LF功率范围介于约0与约1.25W/cm2之间。高频RF功率是指频率介于约2MHz与约60MHz之间的RF功率。在一些实施方案中,HF RF功率具有频率为约13.56MHz的RF功率。在沉积期间,在一些实施方案中,每衬底面积的HF功率范围介于约0.001W/cm2与约0.05W/cm2之间。在一些实施方案中,每衬底面积的HF功率范围介于约0.05W/cm2至1.25W/cm2之间。在一些实施方案中,对于4站室,等离子体功率可以介于约100W与约10kW之间。等离子体功率还可取决于室的尺寸。
本文公开的流率及RF功率是用于被配置用于300mm晶片的四站工具。功率电平和流率通常可随着站数和衬底面积而线性缩放。流率和功率可基于每面积表示,例如2500W也可以表示为0.884W/cm2
在操作130期间,沉积工艺在一些实施方案中可以是自限性的。例如,在一些实施方案中,随着空间被填充且沉积在空间中的含碳材料厚度接近特征的高度,含碳材料的沉积会减慢。在一些实施方案中,进行试验以确定持续时间,该持续时间足以沉积足够的含碳材料,以在含碳材料覆盖特征的顶部水平表面之前停止沉积。
在一些实施方案中,在沉积之后,如果特征的一些顶部水平表面被一些含碳材料所覆盖,则可进行清洁或平坦化操作,以暴露特征的顶部水平表面。清洁操作的示例是化学机械平坦化。可通过将衬底暴露于氢等离子体或氧等离子体来进行清洁,以去除特征的顶部水平表面上的含碳材料。在许多实施方案中,氢等离子体因其更容易控制而被采用。氢等离子体清洁条件可取决于工具。在一些实施方案中,氢等离子体清洁期间的基座温度介于约75℃与约600℃之间。在一些实施方案中,氢等离子体清洁期间的室压强可介于约1毫托与约10托之间。在多种实施方案中,在操作130之后的衬底包括横跨衬底的平坦表面,该平坦表面具有特征的顶部水平表面,以及填入分隔特征之间空间的含碳材料。即,平坦表面包括与特征的顶部水平表面齐平的含碳材料。
在一些实施方案中,沉积于空间中的含碳材料是非晶碳层。在一些实施方案中,含碳材料是非晶碳中具有微量氢和氮的非晶碳层。
含碳材料是牺牲材料。在多种实施方案中,沉积含碳材料,使得含碳材料覆盖特征的侧壁,但不覆盖特征的顶部水平表面。在一些实施方案中,沉积含碳材料,以填充特征侧壁之间的空间或间隙,并可进行可选的清洁和/或平坦化操作,以暴露特征的顶部水平表面。在一些实施方案中,含碳材料完全填充图案化、分隔开的特征之间的间隙。在一些实施方案中,可能无需完全填充图案化特征之间的空间,只要特征的顶部水平表面与含碳材料齐平,且沉积含金属硬掩模期间没有特征的侧壁被暴露即可。
图3显示了具有目标层303且具有特征305及含碳材料307(其沉积于特征305之间以填充间隙)的示例性衬底301。图3中所示的含碳材料307沉积至厚度达到特征305的高度,导致平坦表面309,该平坦表面309具有含碳材料307和特征305的暴露顶部水平表面315。
回到图1,在操作150中,将含金属硬掩模相对于含碳材料而选择性地沉积于特征的顶部水平表面上。选择性沉积可以指在一表面上相对于第二表面更快地成核。例如,成核延迟可能导致约10埃与约100埃之间的沉积厚度差。虽然开始沉积时含金属硬掩模在特征与含碳材料上的沉积速率可能相同,但因为在含碳表面上沉积含金属硬掩模的成核延迟大于在特征表面上的成核延迟,因此可实现选择性沉积,从而导致特征表面上相对于含碳表面上有较厚的沉积。
在多种实施方案中,含金属硬掩模材料为含钨材料。含钨材料的示例包括但不限于钨金属、钨碳化物及钨碳氮化物。由于选择性取决于相关材料上的成核延迟,因此调整选择性的一种方法是调整相关材料的组成。在一些实施方案中,调整含钨硬掩模材料中使用的钨含量可调整选择性。此外,钨含量也可调整膜透明度,其在一些实施方案中可能是需要的,以用于在光刻中成像。
在多种实施方案中,含金属硬掩模材料含有铝。例如,含金属硬掩模可以是铝氧化物。在多种实施方案中,含金属硬掩模材料含有锡。
当使用含金属硬掩模作为掩模以蚀刻目标层时,含金属硬掩模的材料和厚度取决于随后暴露的条件。例如,可使用含氯蚀刻化学物质来蚀刻多晶硅目标层,且由于含氯蚀刻化学物质对钨材料有高选择性,因此可使用薄钨硬掩模。在另一示例中,可使用含氟蚀刻化学物质来蚀刻含氧目标层,虽然可选择性地进行蚀刻,以比蚀刻钨硬掩模更快的速率来蚀刻含氧目标层,但钨硬掩模在蚀刻期间可能被蚀刻,故可使用更厚的钨硬掩模。
含金属硬掩模材料以比在含碳材料上更快的沉积速率沉积于特征的顶部水平表面上。因此,在特征的暴露顶部水平表面上观察到较厚的沉积。在多种实施方案中,顶部水平表面的材料为含硅材料。不受限于特定理论,相信用于沉积含金属硬掩模的沉积化学物质不易于在含碳表面上成核,而沉积化学物质在电介质表面上成核,使得能相对于含碳表面而选择性沉积于电介质上。
将含金属硬掩模沉积至约
Figure BDA0002864005440000111
与约
Figure BDA0002864005440000112
之间的厚度。在多种实施方案中,将含金属硬掩模沉积至介于约
Figure BDA0002864005440000113
与约
Figure BDA0002864005440000114
之间的厚度。沉积至厚度大于约
Figure BDA0002864005440000115
的含金属硬掩模可能导致含金属硬掩模在所有方向上生长,因而阻挡特征之间的空间并引起夹断。执行沉积以维持特征之间的间隙关键尺寸。
操作150中的选择性沉积可通过原子层沉积(ALD)来执行。ALD是利用顺序自限性反应来沉积薄层材料的技术。通常,ALD循环包括将至少一种反应物输送并吸附至衬底表面,接着再使吸附的反应物与一或更多种反应物反应以形成部分膜层的操作。作为示例,硅氧化物沉积循环可包括以下操作:(i)含硅前体的输送/吸附,(ii)从室中吹扫硅前体,(iii)含钨反应物的输送,及(iv)从室中吹扫含钨反应物。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应逐层地沉积膜。在ALD工艺的一个示例中,包含表面活性位点群的衬底表面暴露于按剂量提供到容纳衬底的处理室的气相分布的第一前体,如含硅前体。该第一前体的分子被吸附在衬底表面,其包含第一前体的化学吸附物质和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的吸附层可包含含硅前体以及含硅前体的衍生物。在第一前体注入之后,接着抽空室以移除维持于气相中的第一前体中的大部分或全部,从而大部分或仅吸附的物质留下。吹扫室可能涉及使吹扫气体或清扫气体流动,吹扫气体或清扫气体可以是使用在其它操作中之载气或可以是不同气体。在一些实施方案中,吹扫可涉及抽空室。示例性吹扫气体包含氩、氮、氢及氦。在一些实施方案中,操作306可包含用以抽空处理室的一或更多抽空子阶段。在一些实施方式中,室可以未完全抽空。例如,可抽排室,使得呈气相的第一前体的分压足够低以减轻反应。在一些实施方案中,吹扫可以是可选的。
将第二反应物例如含钨反应物引入反应室,以使这些分子中的一些与吸附在表面上的第一前体反应。在一些处理中,第二反应物立即与吸附的第一前体反应。然后可以将室再次抽空以去除未结合的第二反应物分子。如上所述,在一些实施方案中,室可不被完全抽空。可利用额外的ALD循环,以建立膜厚度。一个循环可沉积约
Figure BDA0002864005440000121
的含金属硬掩模材料。
在一些实施方案中,可在引入第二反应物期间点燃可选的等离子体。例如,可使用低功率等离子体,以防止溅射。在一些实施方案中,LFRF功率具有频率约400kHz(如430kHz)的RF功率。在沉积期间,在一些实施方案中,对于四站室中的四个300mm衬底,LF功率范围介于约200W与约3000W之间。高频RF功率是指频率介于约2MHz与约60MHz之间的RF功率。在一些实施方案中,HF RF功率具有频率约13.56MHz的RF功率。在沉积期间,在一些实施方案中,对于四站室中的四个300mm衬底,每一衬底面积的HF功率范围介于约400W与约2500W之间。等离子体功率也可取决于室的尺寸。
本文公开的流率及RF功率系用于配置用于300mm晶片的四站工具。功率电平和流率通常可随着站数及衬底面积而线性缩放。流率及功率可基于每面积表示,例如2500W也可以表示为0.884W/cm2
在某些实施方案中,ALD第一前体的剂量部分地充满衬底的表面。在一些实施方案中,在使前体接触衬底以均匀地充满表面之前,结束ALD循环的投配阶段。典型地,在这时将前体流关断或转移,并且仅仅吹扫气体流动。通过在这种亚饱和状态下工作,ALD工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限的,因此被吸附的前体浓度在整个衬底表面可以略有变化。在亚饱和状态操作ALD工艺的示例在2013年10月23日提交的、名称为“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILMDEPOSITION,”的美国专利申请No.14/061,587(现在的美国专利No.9,355,839)中被提供,该专利文件通过引用整体并入本发明。
如所描述的,在一些实现方式中,所述ALD方法包含等离子体活化。如本文所述,本文所述的ALD方法和装置可以是共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084399(现在的美国专利No.8728956)和2011年4月11日提交的名称“SILICON NITRIDEFILMS AND METHODS”的美国专利申请No.13/084305中,这些专利文件通过引用整体并入本文。
在沉积的含金属硬掩模为钨金属的情况下,一示例性ALD循环可包括:将衬底暴露于含硅反应物(如硅烷),其可形成含硅反应物的吸附层;吹扫容纳衬底的处理室;将衬底暴露于钨卤化物(如六氟化钨),其与含硅反应物的吸附层反应以形成钨:以及吹扫处理室。
在沉积的含金属硬掩模为钨碳化物的情况下,一示例性ALD循环可包括:将衬底暴露于含硅反应物(如硅烷),其可形成含硅反应物的吸附层;吹扫容纳衬底的处理室;将衬底暴露于金属-有机钨前体,其与含硅反应物的吸附层反应以形成钨碳化物;以及吹扫处理室。
在沉积的含金属硬掩模为钨碳氮化物的情况下,一示例性ALD循环可包括:将衬底暴露于含硅反应物(如硅烷),其可形成含硅反应物的吸附层;吹扫容纳衬底的处理室;将衬底暴露于钨前体(例如金属-有机钨前体)以及含氮反应物(例如氮气),其与含硅反应物的吸附层反应以形成钨碳氮化物;以及吹扫处理室。在一些实施方案中,钨前体具有胺或酰胺基。
在沉积的含金属硬掩模为钨氮化物的情况下,一示例性ALD循环可包括:将衬底暴露于含硅反应物(如硅烷),其可形成含硅反应物的吸附层;吹扫容纳衬底的处理室;将衬底暴露于含氮气体与钨前体的混合物中,其与含硅反应物的吸附层反应以形成钨氮化物;以及吹扫处理室。在一些实施方案中,钨前体具有酰胺基。在一些实施方案中,具钨前体的混合物中的含氮气体为氮气。
在一些实施方案中,含氮气体反应物或反应物混合物包含至少一种氮,例如,氨,联氨,胺(带有碳的胺),例如甲胺、二甲胺、乙胺、异丙胺、叔丁胺、二叔丁胺、环丙胺、仲丁胺、环丁胺、异戊胺、2-甲基丁基-2-胺、三甲胺、二异丙胺、二乙基异丙基胺、二叔丁联氨、以及含芳族的胺,例如苯胺、吡啶以及苄胺。胺可以是伯胺、仲胺、叔胺、或季铵(例如,四烷基铵化合物)。含氮反应物可含有氮以外的杂原子,例如,羟基胺、叔丁氧羰基胺以及N-叔丁基羟基胺为含氮反应物。示例性含氮反应物包含氮气、氨及胺。
在含金属的硬掩模的ALD中使用的示例性含硅反应物包括硅烷、卤代硅烷和氨基硅烷。适合根据所公开的实施方案使用的含硅反应物包括其中n≥0的聚硅烷(H3Si-(SiH2)n-SiH3)。硅烷的示例是硅烷(SiH4)、乙硅烷(Si2H6)和有机硅烷,该有机硅烷如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷等。
卤代硅烷包括至少一个卤素基团并且可以包括或不包括氢和/或碳基团。卤代硅烷的示例是碘代硅烷、溴代硅烷、氯代硅烷和氟代硅烷。尽管卤代硅烷特别是氟代硅烷可以在等离子体被激励时形成可以蚀刻硅材料的反应性卤化物物质,但是在一些实施方案中,当等离子体被激励时,不能将卤代硅烷引入室中,因此可能会减少从卤代硅烷形成活性卤化物物质。特定的氯硅烷为四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷(t-butylchlorosilane)、二叔丁基氯硅烷、氯异丙基硅烷、氯代仲丁基硅烷、叔丁基二甲基氯代硅烷、叔己基二甲基氯代硅烷等等。
氨基硅烷包括键合到硅原子上的至少一个氮原子,但也可以包含氢、氧、卤素和碳。氨基硅烷的示例是单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷(分别是H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4))以及经取代的单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷,例如,叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等等。氨基硅烷的另一个示例是三硅烷基胺(N(SiH3))。
在多种实施方案中,操作150是在与操作130期间衬底温度相同的衬底温度下进行。在一些实施方案中,操作150期间的衬底温度不同于操作130的衬底温度。在多种实施方案中,操作150在与操作130期间室压强相同的室压强下进行。在一些实施方案中,操作150期间的室压强与操作130期间的室压强不同。在一些实施方案中,操作130与150在同一室中进行。在一些实施方案中,操作130和150在不破坏真空的情况下进行。在一些实施方案中,操作130和150在不同的室中进行。
含金属硬掩模的选择性沉积维持特征之间的空间,因为暴露于含金属硬掩模沉积前体的表面是具有特征的暴露顶部水平表面的平坦表面,含金属硬掩模沉积前体可在特征的暴露顶部水平表面上快速成核,而其余的平坦表面是含碳材料,含碳材料有较慢的含金属硬掩模沉积前体成核或是不成核。
图4显示了具有目标层403和特征405的示例性衬底401,其在特征405与含金属硬掩模409(其相对于含碳材料407而选择性地沉积于特征405的顶部水平表面上)之间填充有含碳材料407。
回到图1,在操作170中,含碳材料相对于含金属硬掩模和特征而被选择性移除,以留下特征与含金属硬掩模,其接着可用于蚀刻目标层。由于含金属硬掩模对用于蚀刻含碳材料的远程氧等离子体具耐受性(resilient),所以选择性蚀刻得以实现。可通过灰化操作,以移除填充在特征之间的含碳材料。使用远程氧等离子体源去除含碳材料。在一些实施方案中,通过将衬底暴露于氧等离子体或氢等离子体或其两者,以移除含碳材料。在一些实施方案中,等离子体是单频等离子体。等离子体可以是远程等离子体,例如在远程等离子体产生器中被点燃且被递送至容纳衬底的处理室的等离子体。在一些实施方案中,等离子体是原位等离子体,其可在处理室中衬底上方的处理空间中产生。对于原位等离子体实施方案,通过使用低能量等离子体,以减少衬底材料的溅射,例如约50W与约250W之间的等离子体功率(用于四个300mm站),其持续时间介于约1秒与约10秒之间。在许多实施方案中,在移除含碳材料期间不施加偏压。
图5显示了示例性衬底501,其具有目标层503且具有分隔特征505,分隔特征505的顶部水平表面上有含金属硬掩模509。示例性目标层材料包括硅、硅锗、硅碳化物、硅氮化物和硅氧化物。含碳材料已被移除,留下分隔特征505之间的间隙507。由于含金属硬掩模被选择性地沉积于分隔特征505的顶部水平表面上,因此可保持间隙507的关键尺寸。此外,当特征之间的空间填充有含碳材料时,不会有硬掩模材料沉积于特征之间的空间之间,这也有助于保持特征之间的空间并保持关键尺寸。
具有含金属硬掩模509的分隔特征505可经受用于蚀刻目标层503的蚀刻条件,且不会实质上劣化分隔特征505。当目标层503被蚀刻时,含金属硬掩模509降低蚀刻条件中的蚀刻速率。例如,图6示出了一示例衬底601,其具有根据分隔特征605图案的经蚀刻目标层613,分隔特征605的顶部水平表面上具有含金属硬掩模609,其有助于保持图案化衬底的轮廓。
装置
图7绘出了具有用于保持低压环境的处理室主体702的处理站700的一实施方案的示意图。多个处理站700可以包含在通常低压处理工具环境中。例如,图8绘出了多站式处理工具800的一实施方案。在一些实施方案中,处理站700的一个或更多个硬件参数(包含下文详细讨论的那些)可以由一个或更多个的计算机控制器750以编程方式调节。
在多种实施方案中,处理站700可用于通过化学气相沉积(CVD)或等离子体增强化学气相沉积(PECVD)来沉积膜。例如,可使用处理站700来沉积本文所述的含碳材料。
替代地或附加地,处理站700可用于通过ALD或等离子体增强ALD(PEALD)来沉积膜。例如,可使用处理站700,将含金属硬掩模(例如钨硬掩模、钨碳化物硬掩模或钨碳氮化物硬掩模)沉积于衬底上。
处理站700与反应物输送系统701a流体连通,以将处理气体输送至分配喷头706。反应物输送系统701a包含混合容器704,混合容器704用于混合和/或调节处理气体以输送至喷头706,处理气体如含硅反应物气体、或含钨气体。一个或更多个混合容器入口阀720可以对处理气体导入至混合容器704进行控制。
举例而言,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的液体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些实施例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有增大的温度分布,在混合容器704处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点703下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器704。在另一个方案中,液体喷射器可以直接装载到喷头706。
在一些实施方案中,可以在汽化点703上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站700的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管来执行。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。
在一些实施方案中,基座708可以升高或降低以暴露衬底712给衬底712和喷头706之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器750通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方案中,调节基座708的高度可以使得等离子体密度在过程中的等离子体活化期间内改变。在处理阶段结束时,基座708可以在另一衬底传送阶段被降低以使得衬底712能从基座708移走。
在一些实施方案中,基座708可通过加热器710控制温度。在一些实施方案中,在如公开实施方案中所述的硅氮化物膜选择性沉积期间,基座708可加热至介于约25℃与约400℃之间或约200℃与约300℃之间的温度。在一些实施方案中,基座被设置在介于约75℃与约600℃之间、或至少约75℃、或介于约200℃与约400℃之间的温度。
此外,在一些实施方案中,对于处理站700的压力控制可以由蝶形阀718提供。如在图7的实施方案中所示,蝶形阀718对由下游真空泵(图中未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站700的压力控制还可以通过改变引入至处理站700的一种或多种气体的流率来调节。
在一些实施方案中,喷头706的位置可以相对于基座708调节以改变衬底712和喷头706之间的体积。此外,应当理解的是,基座708和/或喷头706的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包含用于旋转衬底712的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器750以编程方式执行。
在如上所述可以使用等离子体的一些实施方案中,喷头706和基座708电连接射频(RF)功率源714和匹配网络716来对等离子体提供功率。例如,在沉积硅氮化物之后,可使用等离子体将有机部分从衬底表面移除。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成等离子体。
等离子体功率被选择为低至防止在衬底表面上的材料溅射。RF电源714可提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器750的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置烃气体的流率的指令、用于点燃等离子体的指令、用于设定载气(例如氩)的流率的指令、以及用于第一配方阶段的时间延迟指令。第二配方阶段可以包括用于设定惰性前体气体和/或含硅前体气体的流率的指令、用于设定载气(诸如氩气)的流率的指令;以及用于第二配方阶段的时延指令。接下来的第三配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时延指令。第四配方阶段可以包含用于调节含钨气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时延指令。随后的第五配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第五配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方案中,控制器750可以包括下面关于图8的系统控制器850所描述的任何特征。
如上所述,一个或更多个处理站可以包含在多站处理工具中。图8示出了多站式处理工具800的实施方案的概要视图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。处于大气压的机械手806被配置为将晶片从通过舱808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于装载锁中的环境。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图8中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室814包含4个处理站,图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室814可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室814包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图8描绘了用于在处理室814内传输晶片的晶片搬运系统890的实施方案。在一些实施方案中,晶片搬运系统890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了采用来控制处理工具800的处理条件和硬件状态的系统控制器850的实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器850控制处理工具800的所有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备856、并由处理器852执行的系统控制软件858。可替代地,控制逻辑可以在控制器850中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件858可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器850关联的、存储在海量存储设备854和/或存储器设备856的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其它部分之间的间隔。
处理气体控工艺序可包含用于控制气体成分(例如,如本文描述的烃气体、含钨前体气体、含硅前体气体、含氮气体、载气、惰性气体和/或吹扫气体)和流率的代码,以及任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器850可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器850将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器850。
在一些实现方式中,系统控制器850是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器850可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器850可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器850的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器850可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器850可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器850接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器850被配置成连接或控制该工具类型。因此,如上所述,系统控制器850可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器850可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积”的美国专利申请No.13/084399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法”的美国专利申请No.13/084305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (10)

1.一种方法,其包括:
提供图案化半导体衬底,其在待蚀刻的下伏材料上具有分隔开的特征;
用可灰化填充物填充所述特征之间的空间,使得所述特征的顶部水平表面暴露,且所述特征的侧壁接触所述可灰化填充物;
在填充所述特征之间的所述空间后,相对于所述可灰化填充物在所述特征的所暴露的所述顶部水平表面上选择性地沉积含金属硬掩模;以及
相对于所述特征和所述含金属硬掩模移除所述可灰化填充物。
2.根据权利要求1所述的方法,其中,执行所述特征之间的所述填充,以形成平坦表面,所述平坦表面包含所述特征的所述顶部水平表面和所述可灰化填充物。
3.根据权利要求1所述的方法,其中,所述特征之间的所述填充物通过旋涂来完成。
4.根据权利要求3所述的方法,其中,所述旋涂通过以下方式执行:注入含碳流体混合物至所述图案化半导体衬底上,然后进行热固化。
5.根据权利要求3所述的方法,其中,所述特征之间的所述填充通过旋涂后进行平坦化以暴露所述特征的所述顶部水平表面来完成。
6.根据权利要求1至5中任一项所述的方法,其中,所述特征之间的所述填充通过等离子体增强化学气相沉积来完成。
7.根据权利要求6所述的方法,其中,所述特征之间的所述填充还包括在所述离子体增强化学气相沉积后对所述图案化半导体衬底进行平坦化。
8.根据权利要求6所述的方法,其中,通过等离子体增强化学气相沉积进行的所述填充包括将所述特征暴露于具有化学式CxHy的烃前体,其中X是介于2与10之间且包括2和10的整数,Y是介于2与24之间且包括2和24的整数。
9.根据权利要求1至5中任一项所述的方法,其中,所述含金属硬掩模的所述选择性沉积通过一或更多个循环的原子层沉积来执行。
10.一种装置,其包括:
处理室,其包括喷头和衬底支撑件;
等离子体产生器;以及
控制器,其具有至少一个处理器和存储器,
其中,所述至少一个处理器与所述存储器相互通信连接,
所述至少一个处理器至少与流动控制硬件操作性地连接,且
所述存储器存储机器可读指令,所述机器可读指令用于:
致使含碳沉积前体的流动的导入并且致使第一等离子体的生成;
在致使所述含碳沉积前体的导入后,致使所述含碳沉积前体的所述流动的停止;以及
在停止所述含碳沉积前体的所述流动后,致使含硅前体流与含钨前体流的时间上分开的脉冲的导入。
CN201980043731.5A 2018-06-28 2019-06-21 含金属硬掩模薄膜的选择性生长 Pending CN112368804A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/022,503 2018-06-28
US16/022,503 US10643846B2 (en) 2018-06-28 2018-06-28 Selective growth of metal-containing hardmask thin films
PCT/US2019/038591 WO2020005776A1 (en) 2018-06-28 2019-06-21 Selective growth of metal-containing hardmask thin films

Publications (1)

Publication Number Publication Date
CN112368804A true CN112368804A (zh) 2021-02-12

Family

ID=68986053

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980043731.5A Pending CN112368804A (zh) 2018-06-28 2019-06-21 含金属硬掩模薄膜的选择性生长

Country Status (4)

Country Link
US (2) US10643846B2 (zh)
KR (1) KR20210016063A (zh)
CN (1) CN112368804A (zh)
WO (1) WO2020005776A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
CN111524780A (zh) * 2019-02-02 2020-08-11 中微半导体设备(上海)股份有限公司 一种用于超深宽比刻蚀的等离子反应器及其刻蚀方法
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
KR20240008230A (ko) * 2021-05-14 2024-01-18 램 리써치 코포레이션 고 선택도 도핑된 하드마스크 막들

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
KR100583105B1 (ko) 2003-12-24 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 화학적 기계적 연마 공정의 종말점 검출 방법
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
KR100712987B1 (ko) 2005-12-22 2007-05-02 주식회사 하이닉스반도체 금속 배선 형성 방법
KR20070066801A (ko) 2005-12-22 2007-06-27 주식회사 하이닉스반도체 커패시터의 스토리지 전극 형성 방법
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN105917445B (zh) 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9768270B2 (en) * 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9728406B2 (en) 2014-08-08 2017-08-08 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
JP2018531506A (ja) 2015-09-24 2018-10-25 東京エレクトロン株式会社 サブ解像度基板パターニングのためのエッチングマスクを形成する方法
CN109075021B (zh) 2016-03-03 2023-09-05 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films

Also Published As

Publication number Publication date
US11107683B2 (en) 2021-08-31
KR20210016063A (ko) 2021-02-10
WO2020005776A1 (en) 2020-01-02
US10643846B2 (en) 2020-05-05
TW202033806A (zh) 2020-09-16
US20200006073A1 (en) 2020-01-02
US20200227260A1 (en) 2020-07-16

Similar Documents

Publication Publication Date Title
CN108630524B (zh) 氮化硅的选择性生长
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
CN111247269B (zh) 介电膜的几何选择性沉积
CN108425100B (zh) 氧化硅的选择性沉积
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
CN105990108B (zh) 超薄原子层沉积膜厚度的精密控制
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US11107683B2 (en) Selective growth of metal-containing hardmask thin films
CN116970921A (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
KR20170021208A (ko) 반도체 디바이스에서 막들을 치밀화하는 방법
US20230154754A1 (en) Loss prevention during atomic layer deposition
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination