CN116970921A - 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长 - Google Patents

在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长 Download PDF

Info

Publication number
CN116970921A
CN116970921A CN202310696264.4A CN202310696264A CN116970921A CN 116970921 A CN116970921 A CN 116970921A CN 202310696264 A CN202310696264 A CN 202310696264A CN 116970921 A CN116970921 A CN 116970921A
Authority
CN
China
Prior art keywords
silicon
causing
gas
substrate
introduction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310696264.4A
Other languages
English (en)
Inventor
大卫·查尔斯·史密斯
丹尼斯·M·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116970921A publication Critical patent/CN116970921A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文提供了用于在硅或金属表面上选择性地沉积对氧化硅或氮化硅材料有选择性的含硅介电材料或含金属介电材料的方法和装置。方法涉及将衬底暴露于可与不期望沉积的氧化硅或氮化硅材料反应的酰氯,以形成阻止在氧化硅或氮化硅材料上沉积的酮结构。在沉积所期望的含硅介电材料或含金属的介电材料之前,进行酰氯的暴露。

Description

在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
本申请是申请号为201880017320.4、申请日为2018年3月9日、发明名称为“在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长”的申请的分案申请。
相关申请的交叉引用
本申请要求2017年3月10日提交的题为“SELECTIVE GROWTH OF SILICON OXIDEOR SILICON NITRIDE ON SILICON SURFACES IN THE PRESENCE OF SILICON OXIDE,”的美国专利申请No.15/456,301的权益,该专利申请通过引用将其全部内容并入本文并用于所有目的。
背景技术
半导体器件制造可能涉及氮化硅膜和氧化硅膜的沉积。这样的膜被用于各种应用中。例如,氮化硅膜可用于扩散阻挡层、栅极绝缘体、侧壁隔离层、封装层、晶体管中的应变膜等等。例如,氧化硅膜可用于自对准双图案化和/或四重图案化或其他制造工艺。用于沉积氧化硅膜和氮化硅膜的常规技术相对于衬底上的其他含硅材料不具有选择性。
发明内容
本发明提供了用于处理衬底的方法和装置。一个方面涉及一种用于选择性地在衬底的暴露的第一表面上沉积含硅介电材料的方法,该方法包括:提供具有所述暴露的第一表面和暴露的第二表面的所述衬底,所述暴露的第一表面具有材料,所述材料诸如多晶硅、非晶硅、金属和具有单个仲胺封端基团的氮化硅中的任何一种,并且所述暴露的第二表面包含含硅材料,所述含硅材料具有表面封端的基团,例如羟基或伯胺;在沉积所述含硅介电材料之前,将所述衬底暴露于与所述暴露的第二表面选择性反应的酰氯,以在所述暴露的第二表面上形成保护基团,所述酰氯具有以下化学结构
其中R1是氢或烷基;并且执行一个或多个热原子层沉积循环以选择性地在所述暴露的第一表面上沉积所述含硅介电材料,每个循环包括:将所述衬底暴露于被选择以吸附到所述衬底的所述暴露的第一表面上的含硅前体,以及将所述衬底暴露于第二反应物以选择性地在所述衬底的所述暴露的第一表面上形成所述含硅介电材料。
在多种实施方案中,所述含硅介电材料是氮化硅。例如,所述第二反应物可以是氨或具有以下化学结构的肼中的任何一种:
其中R2、R3、R4和R5各自为氢或烷基。在一些实施方案中,含硅前体是卤化硅或氨基硅烷。卤化硅的示例包括氯化硅、溴化硅和碘化硅。例如,在一些实施方案中,含硅前体是四氯化硅。在一些实施方案中,含硅前体是四氯化硅。在一些实施方案中,含硅前体是四溴化硅。在一些实施方案中,含硅前体是四碘化硅。
在一些实施方案中,含硅前体是具有以下化学结构的氨基硅烷
其中x是1和3之间并包括1和3的整数,x+y=4,R1和R2各自是氢或烷基配体。
在多种实施方案中,所述含硅介电材料是氧化硅。例如,所述第二反应物可以是弱氧化剂。在一些实施方案中,所述第二反应物是水、过氧化氢和臭氧中的任何一种。
在多种实施方案中,所述酰氯是乙酰氯。
在多种实施方案中,所述的方法还包括:在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氨气和氢气的混合物中并点燃等离子体持续介于约1秒和约10秒之间的时间,以形成包含伯胺基团的所述暴露的第二表面。在一些实施方案中,在所述氨气和氢气的混合物中的氨气的量小于约1体积%。
在多种实施方案中,所述方法还包括:在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氮气和氢气的混合物中并点燃等离子体持续介于约1秒和约10秒之间的时间,以形成包含伯胺基团的所述暴露的第一表面。在一些实施方案中,在所述氮气和氢气的混合物中的氮气的量小于约1体积%。
在一些实施方案中,包含氮化硅的表面封端的伯胺基团的所述暴露的第二表面通过化学气相沉积在大于约500℃的沉积温度下沉积。在多种实施方案中,热原子层沉积的每个循环还包括在将衬底暴露于含硅前体和将衬底暴露于第二反应物之间清扫容纳衬底的室。在多种实施方案中,热原子层沉积的每个循环还包括在将衬底暴露于含硅前体之后清扫容纳衬底的室,并在将衬底暴露于第二反应物之后清扫室。在多种实施方案中,通过将惰性气体输送到容纳衬底的室来执行清扫。惰性气体可以是氦气、氩气、氖气及其组合中的任何一种。
另一方面涉及一种用于选择性地在衬底的暴露的第一表面上沉积含金属介电材料的方法,该方法包括:提供具有所述暴露的第一表面和暴露的第二表面的所述衬底,所述暴露的第一表面具有选自由多晶硅、非晶硅、金属和具有单个仲胺封端基团的氮化硅组成的群组的材料,并且所述暴露的第二表面包含含硅材料,所述含硅材料具有选自羟基或伯胺的表面封端的基团;在沉积所述含金属介电材料之前,将所述衬底暴露于与所述暴露的第二表面选择性反应的酰氯,以在所述暴露的第二表面上形成保护基团,所述酰氯具有以下化学结构
其中R1是氢或烷基;并且执行一个或多个热原子层沉积循环以选择性地在所述暴露的第一表面上沉积所述含金属介电材料。
在多种实施方案中,含金属的介电材料是氧化锆(ZrO2)、氧化钛(TiO2)、氧化锡(SnO2)、氧化铪(HfO2)和氮化钛(TiN)中的任何一种。
在多种实施方案中,所述酰氯是乙酰氯。
所述方法还可以包括:在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氨气和氢气的混合物中并点燃等离子体持续介于约1秒和约10秒之间的时间,以形成包含伯胺基团的所述暴露的第二表面。在一些实施方案中,在所述氨气和氢气的混合物中的氨气的量小于约1体积%。
所述方法还可以包括:在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氮气和氢气的混合物中并点燃等离子体持续介于约1秒和约10秒之间的时间,以形成包含伯胺基团的所述暴露的第一表面。在一些实施方案中,在所述氮气和氢气的混合物中的氮气的量小于约1体积%。
在多种实施方案中,包含氮化硅的表面封端的伯胺基团的所述暴露的第二表面通过化学气相沉积在大于约500℃的沉积温度下沉积。在多种实施方案中,热原子层沉积的每个循环还包括在将衬底暴露于含金属前体和将衬底暴露于第二反应物之间清扫容纳衬底的室。在多种实施方案中,热原子层沉积的每个循环还包括在将衬底暴露于含金属前体之后清扫容纳衬底的室,并在将衬底暴露于第二反应物之后清扫室。在多种实施方案中,通过将惰性气体输送到容纳衬底的室来执行清扫。惰性气体可以是氦气、氩气、氖气及其组合中的任何一种。
在多种实施方案中,第二反应物是氧化剂。在多种实施方案中,第二反应物是含氮气体,例如氨、氮或肼。
另一方面涉及一种用于处理半导体衬底的装置,该装置包括:至少一个处理室,其包括用于保持衬底的基座;用于耦合至真空的至少一个出口;与一个或多个酰氯气体源耦合的一个或多个处理气体入口;与一个或多个含硅前体气体源耦合的一个或多个处理气体入口;与一个或多个第二反应物气体源耦合的一个或多个处理气体入口;和用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:在引入含硅前体气体或第二反应物气体之前,引入酰氯到容纳在所述至少一个处理室中的所述衬底上;以及将以时间上分离的脉冲引入所述含硅前体气体和所述第二反应物气体,以形成含硅介电膜,其中所述含硅前体气体的脉冲和所述第二反应物气体的脉冲构成一个热原子层沉积循环。
在多种实施方案中,含硅前体气体源包括卤化硅气体和氨基硅烷气体中的任何一种。例如,在一些实施方案中,含硅前体气体是氯化硅、或溴化硅、或碘化硅、或其组合。在多种实施方案中,第二反应气体源包括弱氧化剂气体。在多种实施方案中,第二反应气体源包括水蒸气、过氧化氢气体、臭氧气体或其组合。在多种实施方案中,第二反应气体源是含氮气体,例如氮气、氨气、肼气或其组合。在多种实施方案中,一种或多种酰氯气体源包括乙酰氯气体源,并且引入到衬底的酰氯是乙酰氯。
在多种实施方案中,控制器还包括用于在含硅前体气体和第二反应气体的时间上分离的脉冲之间清扫至少一个处理室的指令。在一些实施方案中,该装置还包括与一个或多个惰性气体源耦合的一个或多个气体入口,并且用于清扫的指令包括用于使惰性气体从一个或多个惰性气体源流到容纳所述衬底的至少一个处理室的指令。
另一方面涉及一种用于处理半导体衬底的装置,该装置包括:至少一个处理室,其包括用于保持衬底的基座;用于耦合至真空的至少一个出口;与一个或多个酰氯气体源耦合的一个或多个处理气体入口;与一个或多个含金属前体气体源耦合的一个或多个处理气体入口;与一个或多个第二反应物气体源耦合的一个或多个处理气体入口;和用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:在引入含金属前体气体或第二反应物气体之前,引入酰氯到容纳在所述至少一个处理室中的所述衬底上;以及将以时间上分离的脉冲引入所述含金属前体气体和所述第二反应物气体,以形成含金属介电膜,其中所述含金属前体气体的脉冲和所述第二反应物气体的脉冲构成一个热原子层沉积循环。
在多种实施方案中,第二反应气体源包括弱氧化剂气体。在多种实施方案中,第二反应气体源包括水蒸气、过氧化氢气体、臭氧气体或其组合。在多种实施方案中,第二反应气体源是含氮气体,例如氮气、氨气、肼气或其组合。在多种实施方案中,一种或多种酰氯气体源包括乙酰氯气体源,并且引入到衬底的酰氯是乙酰氯。
在多种实施方案中,控制器还包括用于在含金属前体气体和第二反应气体的时间上分离的脉冲之间清扫至少一个处理室的指令。在一些实施方案中,该装置还包括与一个或多个惰性气体源耦合的一个或多个气体入口,并且用于清扫的指令包括用于使惰性气体从一个或多个惰性气体源流到容纳所述衬底的至少一个处理室的指令。
这些和其它方面将在下面参照相关附图进行说明。
附图说明
图1是描述根据某些公开的实施方案所述的方法的操作的工艺流程图。
图2-9是根据某些公开的实施方案描述的进行操作的衬底的示意图。
图10是显示在根据某些公开的实施方案所述的方法中执行的循环的示例的时序示意图。
图11是用于执行某些公开的实施方案的示例性处理室的示意图。
图12是用于执行某些公开的实施方案的示例性处理工具的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是并不意在限制所公开的实施方案。
半导体制造工艺通常涉及氧化硅、氮化硅、金属氧化物和金属氮化物材料的沉积。在一示例中,氧化硅可以用作间隔物和双图案化、四重图案化以及其他多图案化制造技术。在另一个示例中,氮化硅可作为扩散阻挡层、栅极绝缘体、侧壁间隔层和封装层用于半导体器件制造中。保形氮化硅层也可用于其他应用中,例如,在制造存储器结构期间可以使用。用于沉积氧化硅层和氮化硅层的常规原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、化学气相沉积(CVD)和等离子体增强化学气相沉积(PECVD)技术通常对上面沉积了氧化硅或者氮化硅材料的材料没有选择性。尽管存在一些技术用于相对于金属选择性地在氧化物材料上沉积金属氧化物,并相对于氧化物选择性地在金属材料上沉积金属氧化物,但是这些技术不足以选择性地相对于其他介电材料选择性地沉积介电材料。
本文提供了相对于氧化硅或氮化硅表面在半导体衬底的第一暴露表面上选择性沉积含硅介电材料或含金属介电材料的方法。方法包括在材料沉积期间使用酰氯以选择性地封闭暴露的氧化硅或氮化硅表面。可使用某些公开的实施方案沉积的材料包括:含硅介电材料,例如氧化硅和氮化硅;以及含金属的介电材料,例如金属氧化物和金属氮化物。含金属的介电材料的示例包括氧化锆(ZrO2)、氧化钛(例如TiO2)、氧化锡(例如SnO2)、氧化铪(HfO2)和氮化钛(TiN)。氧化硅、氮化硅或含金属的介电材料选择性地沉积在硅表面上,例如具有Si-H封端表面的多晶硅;在表面上具有仲胺的氮化硅表面(例如,Si-NH封端的表面);或含金属的介电表面。使用选择的沉积前体、沉积技术和沉积工艺条件来沉积氧化硅、氮化硅或含金属的介电材料,以便不损坏或去除暴露的氧化硅或氮化硅表面的封闭区域。封端的氧化硅或氮化硅表面通过使羟基封端的氧化硅或伯胺封端的(Si-NH2)氮化硅表面与酰氯反应以形成一个或多个封端基团(如醛和/或酮)而形成,使得醛和/或酮与沉积前体几乎没有反应性,从而选择性地沉积氧化硅、氮化硅或含金属的介电材料。
本文描述的技术涉及热原子层沉积(ALD)。也就是说,在多种实施方案中,在不点燃等离子体的情况下进行含硅前体和弱氧化剂之间的反应以形成氧化硅。另外,在多种实施方案中,在不点燃等离子体的情况下进行含硅前体和肼之间的反应以形成氮化硅。ALD是一种使用顺序自限制反应沉积薄层材料的技术。通常,ALD循环包括以下操作:输送和吸附至少一种反应物到衬底表面上,然后使被吸附的反应物与一种或多种反应物反应,以形成部分的膜层。举另一示例而言,氧化硅沉积循环可以包括以下操作:(i)输送/吸附含硅前体,(ii)清扫室中的含硅前体,(iii)输送弱氧化剂以及(iv)清扫室中的弱氧化剂气体。举例而言,氮化硅沉积循环可包括以下的操作:(i)输送/吸附含硅前体,(ii)清扫室中的含硅前体,(iii)输送肼气体,和(iv)清扫室中的肼气体。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应以逐层地沉积膜。在ALD工艺的一个实施方案中,包含表面活性位点群的衬底表面暴露于按剂量提供到容纳衬底的室的气相分布的第一前体,如含硅前体。该第一前体的分子被吸附在衬底表面,包含第一前体的化学吸附物质和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的吸附层可包含含硅前体以及含硅前体的衍生物。在第一前体投配之后,接着将室排空,以去除气相中剩余的第一前体的绝大部分或全部,使得主要或仅仅所吸附的物质剩余。在一些实现方式中,室可以不完全排空。例如,室可以排空到使得在气相中的第一前体的局部压强足够低,以减缓反应。将第二反应物(例如肼或弱氧化剂)引入到室,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二反应物与所吸附的第一前体立即反应。然后可将室再次排空以去除未结合的第二反应物分子。如上所述,在一些实施方案中,室可以不被完全排空。可使用附加的ALD循环以构建膜厚。
在某些实施方案中,ALD第一前体的剂量部分地充满(saturate)衬底的表面。在一些实施方案中,在使前体接触衬底以均匀地充满表面之前,结束ALD循环的投配阶段。典型地,在这时将前体流关断或转移,并且仅仅清扫气体流。通过在这种亚饱和状态下工作,ALD工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限的,因此被吸附的前体浓度在整个衬底表面可以略有变化。在亚饱和状态操作ALD工艺的实施方案在2013年10月23日提交的、名称为“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMALFILM DEPOSITION,”的美国专利申请No.14/061,587(现在的美国专利No.9,355,839)中被提供,该专利文件通过引用整体并入本发明。
在一些实现方式中,所述ALD方法可以包括等离子体活化。然而,在本文描述的热ALD工艺中,不点燃等离子体。如本文所述,本文所述的ALD方法和装置可以是共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMALFILM DEPOSITION”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956)和2011年4月11日提交的名称为“SILICON NITRIDE FILMS AND METHODS”的美国专利申请No.13/084,305中,这些专利文件通过引用整体并入本文。
图1是根据某些公开的实施方案执行的方法的示例性操作的工艺流程图。在操作102中,将具有暴露的第一表面和暴露的第二表面的衬底提供到处理室。处理室可以被设定为介于约10毫托(mTorr)与约10托(Torr)之间或约1托与约3托之间的室压强。如本文所述,可以在整个操作102-116中使用这样的室压强。衬底可被加热到介于约25℃与约400℃之间,或介于约200℃与约300℃之间的衬底温度。应该理解的是,如本文所使用的衬底温度是指在保持衬底的基座上所设定的温度,并且在一些实施方案中,在衬底被提供到处理室的基座上时在处理衬底前衬底可以被加热到所期望的衬底温度。如本文所述,在整个操作102-116中,衬底温度可以相同。
所述衬底可以是硅晶片,例如,200mm的晶片、300mm的晶片或450mm的晶片,包括具有一个或更多个材料层的晶片,该材料例如沉积在该晶片上的电介质、导电材料或半导电材料。下层的非限制性实施方案包括介电层和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。在一些实施方案中,衬底包括氧化硅和硅。
如本文所使用的,暴露的第一表面是上面将选择性地沉积材料的表面。该表面可以是氢封端的硅表面,例如硅材料的表面,例如多晶硅或非晶硅的表面。通过将衬底暴露于氢气以氢化衬底表面上的硅原子,可以形成氢封端的硅表面。在另一实施方案中,第一表面可以是伯胺封端的硅表面,例如氮化硅材料的表面。注意,虽然表面可具有伯胺封端的表面,但氮化硅材料的仲胺封端的表面因为其与酰氯反应而不是用于沉积材料的合适的暴露的第一表面。在其他实施方案中,暴露的第一表面是金属表面,例如钛表面、镍表面或铜表面。暴露的第一表面不包含与酰氯高度反应的材料或端基。
如本文所使用的,暴露的第二表面是上面不会沉积材料的表面。也就是说,材料将相对于暴露的第二表面沉积在暴露的第一表面上。本文描述的实施方案适合于相对于暴露的第二表面在暴露的第一表面上沉积含硅介电材料或含金属的介电材料。暴露的第二表面可以是氧化硅或氮化硅。
图2和5示出了可以在图1的操作102期间提供给处理室的示例性衬底。
图2示出了具有暴露的硅表面202的衬底200,其中一些硅原子被氢化而其他硅原子没有被氢化。衬底200还包括暴露的氧化硅203,其中在一些氧键合的基团中具有一些羟基封端的基团。图2的硅表面202是如相对于图1的操作102所描述的半导体衬底的暴露的第一表面的示例。具有羟基封端的基团的氧化硅203是如相对于图1的操作102所描述的半导体衬底的暴露的第二表面的示例。在一些实施方案中,氧化硅表面203可仅包含或主要包含羟基封端的基团。
图5示出了具有暴露的硅表面502的衬底500,其中一些硅原子被氢化而其他硅原子没有被氢化。衬底500还包含暴露的氮化硅305,其具有仲胺二聚体封端的基团(Si-NH)。图5的硅表面502是如相对于图1的操作102所描述的半导体衬底的暴露的第一表面的示例。具有仲胺二聚体封端的基团的氮化硅305是如相对于图1的操作102所描述的半导体衬底的暴露的第二表面的示例。氮化硅305在表面上包括Si-NH二聚体,由此氮原子与相邻的氮原子键合。如果使用化学气相沉积在低温(例如低于500℃)下沉积氮化硅材料,则可以形成这样的表面。然而,这些表面可能不易与酰氯反应,并且不能有效地阻止沉积,以使得能选择性沉积。
因此,在操作104中,任选地预处理衬底以将氮化硅表面的表面上的仲胺二聚体基团转化为伯胺基团。如果氮化硅表面包括Si-NH二聚体,则该操作不是可选的。可以通过将衬底暴露于含氮和含氢气体和/或等离子体来预处理具有Si-NH二聚体的氮化硅表面。例如,在一些实施方案中,将衬底暴露于氨气和氢气的混合物,其中混合物中的氨气的体积小于约1%。在一些实施方案中,将衬底暴露于氮气和氢气的混合物中,其中混合物中的氮气体积小于约1%。在一些实施方案中,通过使含氮和含氢气体流动并点燃等离子体来进行预处理。
如图6所示,当对衬底进行预处理时,将氮化硅表面305上的Si-NH二聚体转化为包含易于与酰氯反应的单个-NHx基团的伯胺基团(在氮化硅表面315上显示的)。本文提及的伯胺基团定义为其中氮原子与硅以及氢键合并且氮原子不与另一个氮原子彼此键合的原子团。氮化硅衬底表面上的伯胺基团可具有Si-NH2结构。这些基团易与酰氯反应。这使得氮化硅表面315能与酰氯反应,由此在随后的操作中阻止氮化硅表面沉积氧化硅、氮化硅或含金属的介电材料,从而使得能在暴露的第一表面上选择性沉积。
返回图1,在操作106中,将衬底暴露于酰氯以封闭衬底的暴露的第二表面。该暴露可以在衬底的暴露的第二表面上形成酮封端的或醛封端的表面,从而阻止对其进行随后的膜沉积。
酰氯可具有以下化学结构:
其中R1是氢或烷基。在一些实施方案中,R1是甲基,使得酰氯是具有以下化学结构的乙酰氯:
酰氯与半导体衬底上的羟基封端的硅表面反应,并且与暴露的第一表面几乎没有反应性,在一些实施方案中,暴露的第一表面可以是氢封端的硅表面,如图4所示。如图4所示,具有通用烷基R的酰氯与图3的羟基封端的表面213反应以形成保护基团223,保护基团223在此处显示为酮基,而相邻的氢封端的硅表面202保持未反应。
在图5-7中提供的其中待封闭的表面是氮化硅表面的替代实施方案中,在氢化硅表面502并将衬底暴露于氨和/或氮等离子体之后,如图6所示的衬底500包括伯胺封端的(-NH2)硅表面315和氢封端的硅表面502。在图7中,图6的衬底500暴露于酰氯,使得酰氯与伯胺封端的氮化硅表面315反应,以形成保护基团325,而相邻的氢封端的硅表面502保持未反应。虽然保护基团325下面的下伏材料是氮化硅,但是图7中的表面上的结构类似于图4中的表面上的结构,图4中的下伏材料是氧化硅。
选择酰氯使得酰氯与待封闭的暴露的第一表面反应,并且与待沉积材料的表面(暴露的第二表面)不反应或基本上不太反应。例如,用于使酰氯与氢封端的硅表面反应的活化能可以显著低于用于使酰氯分别与伯胺封端的或羟基封端的氮化硅或氧化硅表面反应的活化能。因此,图1的操作106选择性地封闭不需要沉积的表面,同时使其它表面暴露以用于随后的沉积。在选择性地在衬底上沉积氧化硅或氮化硅之前执行操作106。
在一些实施方案中,载气可以在操作106期间流动。载气可以是惰性气体,例如氩气、氢气、氦气、氖气及其组合。可以转移(divert)载气,使得载气用于将氨和/或氮气输送到处理室。在一些实施方案中,可以提供载气以辅助对处理室的压力和/或温度控制。在一些实施方案中,载气用于确保更快速地将气体输送到处理室。在多种实施方案中,在将含硅介电材料或含金属介电材料沉积在衬底上之前执行操作106一次。
在操作108中,将衬底暴露于含硅前体以使其吸附到暴露的第一表面上。操作108、110、111和114可以构成原子层沉积循环。类似地,操作108、110、112和114也可以构成原子层沉积循环。尽管这里提供的示例涉及在暴露的第一表面上沉积诸如氧化硅或氮化硅之类的含硅膜,但是应当理解,诸如含金属的介电材料之类的其他材料也可以在暴露的第一表面上沉积,只要沉积条件和前体使得被封闭的第二表面不会被沉积条件或前体劣化或损坏即可。
在操作108期间使用的含硅前体在一些实施方案中可以是氨基硅烷。本文提及的氨基硅烷包括氨基硅烷(例如双(叔丁基)氨基硅烷)和甲硅烷基胺(例如三甲硅烷基胺(trisilylamine))。在一些实施方案中,氨基硅烷分子可以吸附在硅表面和氮化硅表面或氧化硅表面两者上,但是如下面参照操作110所述,氧化硅选择性地形成在暴露的第一表面(例如硅表面)上而不是在暴露的第二表面(如氮化硅表面)上。在各种实施方案中,如下文参照操作108和112所述的后续清扫操作可从暴露的第二表面除去吸附的氨基硅烷。
在一些实施方案中,在衬底表面上的吸附可以在衬底的大部分或全部表面上形成氨基硅烷薄层。该薄层可以小于单层,并且可以具有介于约0.2埃与约0.4埃/>之间的厚度。
在操作108期间,惰性气体可以流动。惰性气体可以是任何惰性气体,例如上面参照操作106所列出的那些。可以提供惰性气体以辅助处理室的压强和/或温度的控制、液体反应物的蒸发、更快速的反应物输送。
在操作108中使用的氨基硅烷具有如下化学式:
其中x是介于1和3之间且包括1和3的整数,x+y=4,并且R1和R2中的每一个是氢原子或烷基配体。例如,在一些实施方案中,氨基硅烷是单氨基硅烷,其具有化学结构:
H3Si-NR1R2
其中R1和R2中的每一个是氢或烷基配体。
在一些实施方案中,氨基硅烷可以是单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷及其组合中的任一种。这些示例的化学结构如下:
如上所述,R1和R2可以是任何烷基配体。在一个示例中,氨基硅烷可以是N,N'-二甲基硅烷二胺,其具有以下结构:
其他含硅前体包括硅醇盐(silicon alkoxides)和卤化硅,其可用于一些实施方案中。示例性的卤化硅包括但不限于氯化硅、碘化硅和溴化硅。
在操作110中,可选地清扫处理室以除去未吸附到衬底表面上的氨基硅烷。清扫室会涉及使清扫气体或打扫气体流动,清扫气体或打扫气体可以是用于其它操作的载气,或者可以是不同的气体。在一些实施方案中,清扫会涉及排空室。在一些实施方案中,清扫气体可以是惰性气体。清扫气体可以用作打扫气体,以用于从处理室和/或处理室管道中去除处理气体。示例性的打扫气体包括氩气、氮气、氢气、氦气、氖气及其组合。在一些实施方案中,操作110可以包括用于排空处理室的一个或多个排空子阶段。替代地,应理解,在一些实施方案中可以省略操作110。操作110可以具有任何合适的持续时间,诸如介于约0秒和约60秒之间的持续时间,例如约0.01秒的持续时间。在一些实施方案中,增加一种或多种清扫气体的流率可以减少操作110的持续时间。例如,可以根据各种反应物热力学特性和/或处理室和/或处理室管道的几何特性来调节吹清扫气体流率,以改变操作110的持续时间。在一个非限制性示例中,清扫阶段的持续时间可以通过调节清扫气体流率来调节。这可以减少沉积循环时间,这可以提高衬底的吞吐量。在清扫之后,氨基硅烷分子保持吸附在衬底表面上。在一些实施方案中,氨基硅烷前体以介于约1000sccm和约5000sccm之间的流率流入容纳衬底的室。
在图1中,如果要在衬底上选择性地沉积氧化硅,则在操作111期间,在没有等离子体的情况下将衬底暴露于弱氧化剂,以在暴露的第一表面上选择性地形成氧化硅。选择弱氧化剂使得弱氧化剂不与衬底的被封闭的第二表面反应。在该操作过程中不使用等离子体,以便不去除被封闭的第二表面的表面上的保护基团(例如,酮基封端的基团)。当将弱氧化剂提供给衬底时,吸附的前体与弱氧化剂反应,在暴露的第一表面的表面上形成氧化硅。相反,由于被封闭的第二表面在表面上包含庞大的烷基,因此操作106中的含硅前体可能不一定吸附在酮封端的表面上,因此在暴露的第二表面上不形成氧化硅,并且实现选择性沉积。弱氧化剂的示例包括水、过氧化氢和臭氧。在多种实施方案中,高度还原形式的氧化剂用作该操作的弱氧化剂。
图8示出了来自图4的衬底的示例,其中氧化硅223选择性地沉积在硅表面202上而不沉积在被封闭的第二表面213上。
返回图1,如果氮化硅选择性地沉积在衬底上,则可以执行操作112来代替操作111。在操作112期间,在没有等离子体的情况下,将衬底暴露于氨或肼以选择性地在暴露的第一表面上形成氮化硅。在操作112期间使用的肼具有以下结构:
其中R3、R4、R5和R6各自为氢或烷基。例如,可以使用肼,其中肼具有以下结构:
在另一个示例中,可以使用具有以下结构的叔丁基肼:
在另一示例中,可以使用具有以下结构的四甲基肼:
选择氨或肼使得氨或肼不与衬底的被阻挡的第二表面反应。在该操作期间不使用等离子体,以便不去除被封闭的第二表面的酮封端的表面。当向衬底提供氨或肼时,吸附的前体与氨或肼反应,以在暴露的第一表面的表面上形成氮化硅。相反,由于被封闭的第二表面在表面上包含庞大的烷基,因此,在操作108中的含硅前体可能不一定吸附在酮封端的表面上,因此在暴露的第二表面上不形成氮化硅,并且实现选择性沉积。在多种实施方案中,在该操作过程中使用高度还原形式的含氮反应物。
图9示出了来自图4的衬底的示例,其中氮化硅206选择性地沉积在硅表面202上而不沉积在被封闭的第二表面213上。
在操作114中,任选地清扫室以去除任何残留的副产物。操作114可以使用以上参照操作110描述的任何条件来进行清扫。
在操作116中,确定是否已经沉积了所期望的膜厚度。如果不是,则重复操作108、110、111和114足够的循环,以在第一暴露表面上选择性地沉积所期望厚度的氧化硅膜。替代地,重复操作108、110、112和114足够的循环,以在第一暴露表面上选择性地沉积所期望厚度的氮化硅膜。在ALD工艺中可以包括任何合适数量的沉积循环以沉积所期望厚度的氧化硅或氮化硅膜。例如,可以使用所公开的实施方案执行大约50个沉积循环以在衬底上沉积膜。
注意,虽然图1和本文提供的说明描述了在弱氧化剂或氨/肼暴露之前暴露于含硅前体,但应理解,在一些实施方案中,弱氧化剂或氨/肼暴露可以在含硅前体暴露之前进行。也就是说,在一些实施方案中,在操作106之后,可以执行操作111或112,然后执行诸如操作114之类的清扫操作,接着可以执行操作108,然后执行清扫操作110。在一些实施方案中,在操作106之后,执行操作108,然后执行操作110,以及操作111或112和114。
图10是示出根据某些公开的实施方案执行的方法中的循环的示例的时序图。时序图显示了载气、氯化硅气体、第二反应气体(例如弱氧化剂、或氨、或肼)和酰氯气体的流动的开启或关闭阶段。尽管在图3的示例中提供氯化硅作为硅前体,但应理解,可以使用任何合适的含硅前体来代替氯化硅。
图10包括具有两个沉积循环1099A和1099B的工艺1000,其中沉积循环1099A构成ALD循环,并且沉积循环1099B也构成ALD循环。尽管仅描绘了两个沉积循环,但是可以使用更多的沉积循环。工艺1000以酰氯暴露阶段1006A开始,酰氯暴露阶段1006A可对应于图1的操作106。在酰氯暴露阶段1006A期间,打开载气流。载气可以是上面参照图1的操作106-114所述的那些载气中的任何一种。在酰氯暴露阶段1006A期间,关闭氯化硅流和第二反应物流,同时打开酰氯流。在酰氯暴露阶段1006A之后,执行沉积循环1099A,其包括氯化硅暴露阶段1008A、吹扫阶段1010A、第二反应物暴露阶段1011A和清扫阶段1014A。在氯化硅暴露阶段1008A期间,载气可以继续流动,同时打开氯化硅流。第二反应物流保持关闭并且关闭酰氯流。这可以对应于图1的操作108。在吹扫阶段1010A期间,其可以对应于图1的操作110,载气流继续流动并且可以用作清扫气体,而氯化硅流、第二反应物流和酰氯流全部关闭。在第二反应物暴露阶段1011A期间,载气流可以继续流动,同时第二反应物流打开并且氯化硅和酰氯流保持关闭。这可以对应于图1的用于氧化硅沉积的操作111或图1的用于氮化硅沉积的操作112,具体取决于被沉积的材料。在吹扫阶段1014A中,载气流保持打开,同时关闭氯化硅流、第二反应物流和酰氯流。这可以对应于图1的操作114。在该阶段之后,确定重复用于沉积膜的操作,使得重复沉积循环1099B。因此,在沉积循环1099A之后,执行沉积循环1099B,其包括氯化硅暴露阶段1008B、清扫阶段1010B、第二反应物暴露阶段1011B和清扫阶段1014B。与沉积循环1099A类似,在氯化硅暴露阶段1008B期间,打开载气和氯化硅流,同时关闭第二反应气体和酰氯流。这可以对应于图1的操作108的重复操作。在清扫阶段1010B中,载气流动,同时关闭氯化硅流、第二反应物流和酰氯流。在第二反应物暴露阶段1011B期间,打开载气流和第二反应物气流,同时关闭氯化硅和酰氯流。清扫阶段1014B可以包括打开载气流,同时氯化硅流、第二反应物流和酰氯气流都关闭。随后的沉积循环可以接着进行。
装置
图11绘出了具有用于保持低压环境的处理室主体1102的原子层沉积(ALD)处理站1100的一个实施方案的示意图。多个ALD处理站1100可以包含在通常低压处理工具环境中。例如,图12绘出了多站式处理工具1200的一个实施方案。在一些实施方案中,ALD处理站1100的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器1150以编程方式调节。
ALD处理站1100与反应物输送系统1101a流体连通,以将处理气体输送至分配喷头1106。反应物输送系统1101a包含混合容器1104,混合容器1104用于混合和/或调节输送至喷头1106的处理气体,如酰氯、或者含硅前体气体、弱氧化剂气体、氨气或者肼气。一个或一个以上的混合容器入口阀1120可以对处理气体导入至混合容器1104进行控制。
举例而言,图11的实施方案包含汽化点1103,用于汽化将供应至混合容器1104的液体反应物。在一些实施方案中,汽化点1103可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间、降低处理站吞吐量。因此,在一些实施方案中,汽化点1103下游的输送管道可以被热追踪。在一些示例中,混合容器1104也可以被热追踪。在一个非限制性示例中,汽化点1103下游的管道具有增大的温度分布,在混合容器1104处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点1103下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器1104。在另一个方案中,液体喷射器可以直接装载到喷头1106。
在一些实施方案中,可以在汽化点1103上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站1100的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头1106朝衬底1112分配处理气体。在图11所示的实施方案中,衬底1112位于喷头1106下方,并且示出为安置在基座1108上。喷头1106可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底1112。
在一些实施方案中,基座1108可以升高或降低以将衬底1112暴露到衬底1112和喷头1106之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器1150通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方案中,调节基座1108的高度可以使得等离子体密度在工艺中的等离子体活化循环期间内改变。在处理阶段结束时,基座1108可以在另一衬底传送阶段被降低以使得衬底1112能从基座1108移走。
在一些实施方案中,基座1108可通过加热器1110进行温度控制。在一些实施方案中,在如公开的实施方案中所述的选择性沉积氮化硅膜的过程中,基座1108可被加热到介于约25℃和约400℃之间的温度,或者介于约200℃和约300℃之间的温度。在一些实施方案中,基座设定在约25℃与约400℃之间的温度,或者介于约200℃和约300℃之间的温度。
此外,在一些实施方案中,对于处理站1100的压力控制可以由蝶形阀1118提供。如在图11的实施方案中所示,蝶形阀1118对由下游真空泵(未示出)提供的真空进行节流。然而,在一些实施方案中,对处理站1100的压力控制还可以通过改变引入至处理站1100的一种或多种气体的流率来调节。
在一些实施方案中,喷头1106的位置可以相对于基座1108调节以改变衬底1112和喷头1106之间的体积。此外,应当理解的是,基座1108和/或喷头1106的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座1108可包含用于旋转衬底1112的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器1150以编程方式执行。
在如上所述可以使用等离子体例如用于处理氮化硅表面的一些实施方案中,喷头1106和基座1108电连接射频(RF)功率源1114和匹配网络1116来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源1114和匹配网络1116可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的示例为约150W至约6000W。RF功率源1114可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源1114可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器1150的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置酰氯的流率的指令、用于设定载气(例如氩气)的流率的指令、以及用于第一配方阶段的时间延迟指令。第二配方阶段可以包括用于设定惰性气体和/或含硅前体气体的流率的指令、用于设定载气(诸如氩气)的流率的指令;以及用于第二配方阶段的时间延迟指令。接下来的第三配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时间延迟指令。第四配方阶段可以包含用于调节弱氧化剂、氨气或者肼气的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时间延迟指令。随后的第五配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第五配方阶段的时间延迟指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方案中,控制器1150可以包括下面关于图12的系统控制器1250所描述的任何特征。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图12示出了多站式处理工具1200的一个实施方案的概要视图,所述处理工具1200具有入站装载锁1202和出站装载锁1204,两者之一或者该两者可以包含远程等离子体源。处于大气压的机械手1206被配置为将晶片从通过晶舟1208装载的盒经由大气端口1210移动至入站装载锁1202内。晶片由机械手1206放置在入站装载锁1202中的基座1212上,关闭大气端口1210,且抽空装载锁。当入站装载锁1202包含远程等离子体源时,晶片在被引入处理室1214之前,可以暴露于在H2O中的HF的可选温和蚀刻剂中或者可以暴露于装载锁中的环境。此外,晶片另外也可以在入站装载锁1202中加热,例如以移除湿气和吸附的气体。接下来,通向处理室1214的室传输端口1216被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图12中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室1214包含4个处理站,在图12所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为1218)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室1214可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室1214包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图12绘出了用于在处理室1214内传输晶片的晶片搬运系统1290的一个实施方案。在一些实施方案中,晶片搬运系统1290可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图12还绘出了采用来控制处理工具1200的工艺条件和硬件状态的系统控制器1250的一个实施方案。系统控制器1250可以包含一个或多个存储器设备1256、一个或多个海量存储设备1254和一个或多个处理器1252。处理器1252可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器1250控制处理工具1200的所有活动。系统控制器1250执行存储在海量存储设备1254、载入存储器设备1256、并由处理器1252执行的系统控制软件1258。可替代地,控制逻辑可以在控制器1250中硬编码。特定应用集成电路、可编程逻辑设备(例如,现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件1258可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具500执行的特定处理的其它参数的指令。系统控制软件1258可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件1258可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件1258可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器1250关联的、存储在海量存储设备1254和/或存储器设备1256的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518,并控制衬底和处理工具500的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,本文所述的酰氯气体,如乙酰氯,含硅前体气体,如氯化硅或氨基硅烷,以及弱氧化剂,氨气或肼气体,载气和/或清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器1250相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器1250调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器1250的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器1250可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器1250将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器1250。
在一些实现方式中,系统控制器1250是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器1250可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器1250可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器1250的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器1250可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器1250可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器1250接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器1250被配置成连接或控制该工具类型。因此,如上所述,系统控制器1250可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器1250可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084,305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (16)

1.一种用于处理半导体衬底的装置,所述装置包括:
至少一个处理室,其包括用于保持衬底的基座;
用于耦合至真空的至少一个出口;
一个或多个处理气体入口;和
用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:
在致使引入含硅前体气体或第二反应物气体之前,致使引入酰氯到容纳在所述至少一个处理室中的所述衬底上;以及
致使以时间上分离的脉冲引入热原子层沉积的所述含硅前体气体和所述第二反应物气体,以形成含硅介电膜,
其中所述含硅前体气体的脉冲和所述第二反应物气体的脉冲构成一个热原子层沉积循环。
2.根据权利要求1所述的装置,其中所述控制器还包括用于以下操作的指令:在致使引入所述酰氯之前,致使形成氮化硅;以及在致使引入所述酰氯之前,致使在产生等离子体的过程中引入氨气和氢气的混合物,持续时间介于约1秒和约10秒之间。
3.根据权利要求2所述的装置,其中所述控制器还包括用于以下操作的指令:致使所述氨气和氢气的混合物通过使用小于约1体积%的氨气的量来引入。
4.根据权利要求1所述的装置,其中所述控制器还包括用于以下操作的指令:在致使引入所述酰氯之前,致使形成氮化硅;以及在致使引入所述酰氯之前,致使在产生等离子体的过程中引入氮气和氢气的混合物,持续时间介于约1秒和约10秒之间。
5.根据权利要求4所述的装置,其中所述控制器还包括用于以下操作的指令:致使所述氮气和氢气的混合物通过使用小于约1体积%的氮气的量来引入。
6.根据权利要求1所述的装置,其中所述控制器还包括用于以下操作的指令:在致使引入所述酰氯之前,将所述基座的温度设定为大于约500℃的温度并且致使通过化学气相沉积来形成氮化硅。
7.根据权利要求1-6中任一项所述的装置,其中所述控制器还包括用于以下操作的指令:致使在所述含硅前体气体和所述第二反应气体的时间分离的脉冲之间清扫所述至少一个处理室。
8.根据权利要求1-6中任一项所述的装置,其中所述控制器还包括用于以下操作的指令:致使惰性气体引入到容纳所述衬底的所述至少一个处理室中。
9.一种用于处理半导体衬底的装置,所述装置包括:
至少一个处理室,其包括用于保持衬底的基座;
用于耦合至真空的至少一个出口;
一个或多个处理气体入口;和
用于控制所述装置中的操作的控制器,其包括用于以下操作的机器可读指令:
在致使引入含金属前体气体或第二反应物气体之前,致使引入酰氯到容纳在所述至少一个处理室中的所述衬底上;以及
致使以时间上分离的脉冲引入热原子层沉积的所述含金属前体气体和所述第二反应物气体,以形成含金属介电膜,
其中所述含金属前体气体的脉冲和所述第二反应物气体的脉冲构成一个热原子层沉积循环。
10.根据权利要求9所述的装置,其中所述控制器还包括用于以下操作的指令:在致使引入所述酰氯之前,致使形成氮化硅;以及在致使引入所述酰氯之前,致使在产生等离子体的过程中引入氨气和氢气的混合物,持续时间介于约1秒和约10秒之间。
11.根据权利要求10所述的装置,其中所述控制器还包括用于以下操作的指令:致使所述氨气和氢气的混合物通过使用小于约1体积%的氨气的量来引入。
12.根据权利要求9所述的装置,其中所述控制器还包括用于以下操作的指令:在致使引入所述酰氯之前,致使形成氮化硅;以及在致使引入所述酰氯之前,致使在产生等离子体的过程中引入氮气和氢气的混合物,持续时间介于约1秒和约10秒之间。
13.根据权利要求12所述的装置,其中所述控制器还包括用于以下操作的指令:致使所述氮气和氢气的混合物通过使用小于约1体积%的氮气的量来引入。
14.根据权利要求9所述的装置,其中所述控制器还包括用于以下操作的指令:在致使引入所述酰氯之前,将所述基座的温度设定为大于约500℃的温度并且致使通过化学气相沉积来形成氮化硅。
15.根据权利要求9-14中任一项所述的装置,其中所述控制器还包括用于以下操作的指令:致使在所述含金属前体气体和所述第二反应气体的时间分离的脉冲之间清扫所述至少一个处理室。
16.根据权利要求9-14中任一项所述的装置,其中所述控制器还包括用于以下操作的指令:致使惰性气体引入到容纳所述衬底的所述至少一个处理室中。
CN202310696264.4A 2017-03-10 2018-03-09 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长 Pending CN116970921A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/456,301 2017-03-10
US15/456,301 US10043656B1 (en) 2017-03-10 2017-03-10 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
PCT/US2018/021823 WO2018165598A1 (en) 2017-03-10 2018-03-09 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
CN201880017320.4A CN110402477B (zh) 2017-03-10 2018-03-09 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880017320.4A Division CN110402477B (zh) 2017-03-10 2018-03-09 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长

Publications (1)

Publication Number Publication Date
CN116970921A true CN116970921A (zh) 2023-10-31

Family

ID=63014121

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880017320.4A Active CN110402477B (zh) 2017-03-10 2018-03-09 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
CN202310696264.4A Pending CN116970921A (zh) 2017-03-10 2018-03-09 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880017320.4A Active CN110402477B (zh) 2017-03-10 2018-03-09 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长

Country Status (6)

Country Link
US (2) US10043656B1 (zh)
JP (1) JP2020510314A (zh)
KR (1) KR102491771B1 (zh)
CN (2) CN110402477B (zh)
TW (1) TW201903184A (zh)
WO (1) WO2018165598A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) * 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN112930581A (zh) * 2018-10-19 2021-06-08 朗姆研究公司 沉积氮化硅膜的方法
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
JP7286780B2 (ja) * 2019-02-14 2023-06-05 インテグリス・インコーポレーテッド 窒化ケイ素の選択的堆積
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
CN110265288A (zh) * 2019-06-05 2019-09-20 深圳市华星光电技术有限公司 一种在基板上制备二氧化硅膜的方法及装置、阵列基板
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
WO2022201853A1 (ja) * 2021-03-23 2022-09-29 東レエンジニアリング株式会社 積層体製造装置及び自己組織化単分子膜の形成方法
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
KR20230173313A (ko) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100646296B1 (ko) 2001-09-12 2006-11-23 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20110178092A1 (en) * 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
TWI529808B (zh) * 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
CN105289536B (zh) * 2011-02-14 2019-07-09 迪奥内克斯公司 液相色谱柱
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
KR102138719B1 (ko) * 2013-09-27 2020-07-28 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
EP3134479A1 (en) * 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9553100B2 (en) * 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US11001599B2 (en) * 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102579784B1 (ko) * 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Also Published As

Publication number Publication date
TW201903184A (zh) 2019-01-16
US10043656B1 (en) 2018-08-07
CN110402477A (zh) 2019-11-01
KR102491771B1 (ko) 2023-01-25
KR20190119158A (ko) 2019-10-21
WO2018165598A1 (en) 2018-09-13
US20180261448A1 (en) 2018-09-13
US10199212B2 (en) 2019-02-05
JP2020510314A (ja) 2020-04-02
CN110402477B (zh) 2023-07-04

Similar Documents

Publication Publication Date Title
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
US10903071B2 (en) Selective deposition of silicon oxide
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
US9601693B1 (en) Method for encapsulating a chalcogenide material
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
KR20160061890A (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination