TW201903184A - 在矽氧化物存在的情況下於矽表面上之矽氧化物或矽氮化物之選擇性成長 - Google Patents

在矽氧化物存在的情況下於矽表面上之矽氧化物或矽氮化物之選擇性成長 Download PDF

Info

Publication number
TW201903184A
TW201903184A TW107107982A TW107107982A TW201903184A TW 201903184 A TW201903184 A TW 201903184A TW 107107982 A TW107107982 A TW 107107982A TW 107107982 A TW107107982 A TW 107107982A TW 201903184 A TW201903184 A TW 201903184A
Authority
TW
Taiwan
Prior art keywords
silicon
substrate
exposed
gas
processing
Prior art date
Application number
TW107107982A
Other languages
English (en)
Inventor
大衛 查爾斯 史密斯
丹尼斯 M 豪斯曼恩
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201903184A publication Critical patent/TW201903184A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供用於在對矽氧化物或矽氮化物材料具有選擇性的矽或金屬表面上選擇性地沉積含矽介電材料或含金屬介電材料的方法及設備。方法涉及將基板曝露於醯氯,該醯氯係與沉積不受期望的矽氧化物或矽氮化物材料反應,以在矽氧化物或矽氮化物材料上形成阻擋沉積的酮結構。對醯氯的曝露係在期望之含矽介電材料或含金屬介電材料的沉積之前執行。

Description

在矽氧化物存在的情況下於矽表面上之矽氧化物或矽氮化物之選擇性成長
本文提供用於處理基板的方法及設備。更具體而言,本文提供用於在矽或金屬表面上選擇性地沉積含矽介電材料或含金屬介電材料的方法及設備。
半導體元件製造可涉及矽氮化物及矽氧化物膜的沉積。如此膜係在各種應用中使用。舉例而言,矽氮化物膜可用於擴散阻障、閘極絕緣體、側壁間隔件、封裝層、電晶體中的應變膜等。例如矽氧化物膜可在自對準雙重圖案化、及/或四重圖案化或其他製造製程中使用。用於沉積矽氧化物及矽氮化物膜的習知技術相對於基板上的其他含矽材料係不具選擇性。
本文提供用於處理基板的方法及設備。一實施態樣涉及一種用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,該方法包含:提供具有曝露的第一表面及曝露的第二表面之基板,該曝露的第一表面具有諸如多晶矽、非晶矽、金屬、及具有單一二級胺終端基團之矽氮化物之其中任一者的材料,且該曝露的第二表面包括含矽材料,該含矽材料具有諸如羥基或一級胺的表面終端基團;在沉積含矽介電材料之前,將基板曝露於選擇性地與曝露之第二表面反應的醯氯,以在曝露的第二表面上形成阻擋基團,該醯氯具有的化學結構,其中R1 係氫或烷基;及執行熱力式原子層沉積的一或更多循環,以在曝露的第一表面上選擇性地沉積含矽介電材料,各循環包含:將基板曝露於選定成吸附在基板之曝露的第一表面之上的含矽前驅物,及將基板曝露於第二反應物,以在基板之曝露的第一表面上選擇性地形成含矽介電材料。
在諸多實施例中,含矽介電材料是矽氮化物。舉例而言,第二反應物可為氨或聯胺的其中任一者,該聯胺具有化學結構其中R2 、R3 、R4 、及R5 各自為氫或烷基。在一些實施例中,含矽前驅物是矽鹵化物或胺基矽烷。示例矽鹵化物包含矽氯化物、矽溴化物、及矽碘化物。舉例而言,在一些實施例中,含矽前驅物是四氯化矽。在一些實施例中,含矽前驅物是四氯化矽。在一些實施例中,含矽前驅物是四溴化矽。在一些實施例中,含矽前驅物是四碘化矽。
在一些實施例中,含矽前驅物係具有化學結構的胺基矽烷,其中x係在1與3之間且包含1和3的整數,x + y = 4,且R1 及R2 之每一者係氫或烷基配位基。
在諸多實施例中,含矽介電材料是矽氧化物。舉例而言,第二反應物可為弱氧化劑。在一些實施例中,第二反應物係水、過氧化氫、及臭氧的其中任一者。
在諸多實施例中,醯氯係乙醯氯。
在諸多實施例中,該方法亦包含在提供基板之前,沉積矽氮化物以形成未經處理的矽氮化物表面;及將未經處理的矽氮化物表面曝露於氨及氫氣的混合物,且點燃電漿約1秒與約10秒之間的持續時間,以形成包含一級胺基團之曝露的第二表面。在一些實施例中,在氨及氫氣之混合物中之氨的量係小於約1%的體積百分比。
在諸多實施例中,該方法亦包含在提供基板之前,沉積矽氮化物以形成未經處理的矽氮化物表面,及將未經處理的矽氮化物表面曝露於氮及氫氣的混合物,且點燃電漿約1秒與約10秒之間的持續時間,以形成包含一級胺基團之曝露的第二表面。在一些實施例中,在氮及氫氣之混合物中之氮的量係小於約1%的體積百分比。
在一些實施例中,包含矽氮化物之一級胺基團終端的表面之曝露的第二表面係在大於約500℃的沉積溫度下藉由化學氣相沉積加以沉積。在諸多實施例中,熱力式原子層沉積的每一循環亦包含在將基板曝露於含矽前驅物與將基板曝露於第二反應物之間沖洗容納基板的腔室。在諸多實施例中,熱力式原子層沉積的每一循環亦包含在將基板曝露於含矽前驅物之後沖洗容納基板的腔室、及在將基板曝露於第二反應物之後沖洗腔室。在諸多實施例中,沖洗係藉由將惰性氣體遞送至容納基板的腔室而執行。惰性氣體可為氦、氬、氖、及其組合之其中任一者。
另一實施態樣涉及一種用於在基板之曝露的第一表面上選擇性地沉積含金屬介電材料的方法,該方法包含:提供具有曝露的第一表面及曝露的第二表面之基板,該曝露的第一表面具有選自由多晶矽、非晶矽、金屬、及具有單一二級胺終端基團的矽氮化物所組成之群組的材料,且該曝露的第二表面包括含矽材料,該含矽材料具有選自由羥基和一級胺所組成之群組的表面終端基團;在沉積含金屬介電材料之前,將基板曝露於選擇性地與曝露之第二表面反應的醯氯,以在曝露的第二表面上形成阻擋基團,該醯氯具有的化學結構,其中R1 係氫或烷基;及執行熱力式原子層沉積的一或更多循環,以在曝露的第一表面上選擇性地沉積含金屬介電材料。
在諸多實施例中,含金屬介電材料係鋯氧化物(ZrO2 )、鈦氧化物(TiO2 )、錫氧化物(SnO2 )、鉿氧化物(HfO2 )、及鈦氮化物(TiN)之其中任一者。
在諸多實施例中,醯氯係乙醯氯。
該方法亦可包含在提供基板之前,沉積矽氮化物以形成未經處理的矽氮化物表面;及將未經處理的矽氮化物表面曝露於氨及氫氣的混合物,且點燃電漿約1秒與約10秒之間的持續時間,以形成包含一級胺基團之曝露的第二表面。在一些實施例中,在氨及氫氣之混合物中之氨的量係小於約1%的體積百分比。
該方法亦可包含在提供基板之前,沉積矽氮化物以形成未經處理的矽氮化物表面,及將未經處理的矽氮化物表面曝露於氮及氫氣的混合物,且點燃電漿約1秒與約10秒之間的持續時間,以形成包含一級胺基團之曝露的第二表面。在一些實施例中,在氮及氫氣之混合物中之氮的量係小於約1%的體積百分比。
在諸多實施例中,包含矽氮化物之一級胺基團終端的表面之曝露的第二表面係在大於約500℃的沉積溫度下藉由化學氣相沉積加以沉積。在諸多實施例中,熱力式原子層沉積的每一循環亦包含在將基板曝露於含金屬前驅物與將基板曝露於第二反應物之間沖洗容納基板的腔室。在諸多實施例中,熱力式原子層沉積的每一循環亦包含在將基板曝露於含金屬前驅物之後沖洗容納基板的腔室、及在將基板曝露於第二反應物之後沖洗腔室。在諸多實施例中,沖洗係藉由將惰性氣體遞送至容納基板的腔室而執行。惰性氣體可為氦、氬、氖、及其組合之其中任一者。
在諸多實施例中,第二反應物係氧化劑。在諸多實施例中,第二反應物係含氮氣體,諸如氨、氮、或聯胺。
另一實施態樣涉及一種用於處理半導體基板的設備,該設備包括:包含用於固持基板之底座的至少一處理腔室;用於耦接至真空的至少一出口;耦接至一或更多醯氯氣體源的一或更多處理氣體入口;耦接至一或更多含矽前驅物氣體源的一或更多處理氣體入口;耦接至一或更多第二反應物氣體源的一或更多處理氣體入口;及用於控制設備中之操作的控制器,其包含機器可讀指令,用於:在引入含矽前驅物氣體或第二反應物氣體之前,將醯氯引入至至少一處理腔室中所容納的基板;及以時間上獨立的脈衝引入含矽前驅物氣體和第二反應物氣體以形成含矽介電膜,其中該含矽前驅物氣體的脈衝和該第二反應物氣體的脈衝構成一熱力式原子層沉積循環。
在諸多實施例中,含矽前驅物氣體源包含矽鹵化物氣體及胺基矽烷氣體的其中任一者。舉例而言,在一些實施例中,含矽前驅物氣體是矽氯化物、或矽溴化物、或矽碘化物、或其組合。在諸多實施例中,第二反應物氣體源包含弱氧化劑氣體。在諸多實施例中,第二反應物氣體源包含水蒸汽、過氧化氫氣體、臭氧氣體、或其組合。在諸多實施例中,第二反應物氣體源係含氮氣體,諸如氮、氨、聯胺、或其組合。在諸多實施例中,一或更多醯氯氣體源包含乙醯氯氣體源,且引入至基板的醯氯係乙醯氯。
在諸多實施例中,控制器亦包含指令,用於在含矽前驅物氣體及第二反應物氣體之時間上獨立的脈衝之間沖洗至少一處理腔室。在一些實施例中,該設備亦包含耦接至一或更多惰性氣體源的一或更多氣體入口,及用於沖洗的指令,其包含將來自一或更多惰性氣體源的惰性氣體流至容納基板之至少一處理腔室的指令。
另一實施態樣涉及一種用於處理半導體基板的設備,該設備包括:包含用於固持基板之底座的至少一處理腔室;用於耦接至真空的至少一出口;耦接至一或更多醯氯氣體源的一或更多處理氣體入口;耦接至一或更多含金屬前驅物氣體源的一或更多處理氣體入口;耦接至一或更多第二反應物氣體源的一或更多處理氣體入口;及用於控制設備中之操作的控制器,其包含機器可讀指令,用於:在引入含金屬前驅物氣體或第二反應物氣體之前,將醯氯引入至至少一處理腔室中所容納的基板;及以時間上獨立的脈衝引入含金屬前驅物氣體和第二反應物氣體以形成含金屬介電膜,其中該含金屬前驅物氣體的脈衝和該第二反應物氣體的脈衝構成一熱力式原子層沉積循環。
在諸多實施例中,第二反應物氣體源包含弱氧化劑氣體。在諸多實施例中,第二反應物氣體源包含水蒸汽、過氧化氫氣體、臭氧氣體、或其組合。在諸多實施例中,第二反應物氣體源係含氮氣體,諸如氮、氨、聯胺、或其組合。在諸多實施例中,一或更多醯氯氣體源包含乙醯氯氣體源,且引入至基板的醯氯係乙醯氯。
在諸多實施例中,控制器亦包含指令,用於在含金屬前驅物氣體及第二反應物氣體之時間上獨立的脈衝之間沖洗至少一處理腔室。在一些實施例中,該設備亦包含耦接至一或更多惰性氣體源的一或更多氣體入口,及用於沖洗的指令,其包含將來自一或更多惰性氣體源的惰性氣體流至容納基板之至少一處理腔室的指令。
這些及其他實施態樣係參照圖式進一步描述於下。
為了透徹理解本發明的實施例,在以下的敘述中說明眾多具體細節。所揭示的實施例可以不具有某些或全部這些具體細節而實施。另一方面,未詳細說明眾所周知的製程操作,以免不必要地模糊所揭示的實施例。雖然所揭示的實施例將結合具體的實施例描述,但吾人將理解其係非意圖限制所揭示的實施例。
半導體製造過程通常涉及矽氧化物、矽氮化物、金屬氧化物、及金屬氮化物材料的沉積。在一示例中,矽氧化物可在雙重圖案化、四重圖案化、及其他多重圖案化製造技術中用作間隔件。在另一示例中,矽氮化物可在半導體元件製造中用作擴散阻障、閘極絕緣體、側壁間隔件、及封裝層。保形的矽氮化物層亦可在其他應用中使用,諸如在記憶體結構的製造期間使用。用於沉積矽氧化物及氮化物層之傳統的原子層沉積(ALD)、電漿加強原子層沉積(PEALD)、化學氣相沉積(CVD)、及電漿加強化學氣相沉積(PECVD)技術通常對其上沉積矽氧化物或矽氮化物材料的材料不具選擇性。雖然存在一些技術,用於在氧化物材料上相對於金屬選擇性地沉積金屬氧化物、及在金屬材料上相對於氧化物選擇性地沉積金屬氧化物,但此等技術不足以相對於其他介電材料有效地選擇性沉積介電材料。
本文提供在半導體基板的第一曝露表面上相對於矽氧化物或矽氮化物表面選擇性地沉積含矽介電材料或含金屬介電材料的方法。方法包含在材料的沉積期間使用醯氯以選擇性地阻擋曝露的矽氧化物或矽氮化物表面。可使用某些所揭示實施例沉積的材料包含諸如矽氧化物和矽氮化物的含矽介電材料、及諸如金屬氧化物和氮化物的含金屬介電材料。示例含金屬介電材料包含鋯氧化物(ZrO2 )、鈦氧化物(例如TiO2 )、錫氧化物(例如SnO2 )、鉿氧化物(HfO2 )、及鈦氮化物(TiN)。矽氧化物、矽氮化物、或含金屬的介電材料係選擇性地沉積在矽表面(諸如具有Si-H終端表面的多晶矽)、表面上具有二級胺的矽氮化物表面(例如Si-NH終端的表面)、或含金屬的介電表面上。矽氧化物、矽氮化物、或含金屬的介電材料係使用沉積前驅物、沉積技術、及選擇的沉積製程條件沉積,以便不損壞或移除曝露的矽氧化物或矽氮化物表面之阻擋的區域。受阻擋的矽氧化物或矽氮化物表面係藉由將羥基終端的矽氧化物或一級胺終端的(Si-NH2 )矽氮化物表面與醯氯反應、形成一或更多諸如醛及/或酮的阻擋基團而形成,使得醛及/或酮與沉積前驅物幾乎沒有反應性而用於選擇性地沉積矽氧化物、矽氮化物、或含金屬的介電材料。
本文描述的技術涉及熱力式原子層沉積ALD。也就是說,在諸多實施例中,含矽前驅物與弱氧化劑間形成矽氧化物的反應係在不點燃電漿的情況下執行。此外,在諸多實施例中,含矽前驅物與聯胺間形成矽氮化物的反應係在不點燃電漿的情況下執行。ALD係使用序列式自限制反應沉積薄層材料的技術。通常,ALD循環包含操作用以將至少一反應物遞送及吸附至基板表面,且接著將所吸附的反應物與一或更多反應物反應以形成部分的膜層。作為另一示例,矽氧化物沉積循環可包含下列操作:(i)含矽前驅物的遞送/吸附,(ii)自腔室沖洗含矽前驅物,(iii)弱氧化劑氣體的遞送,及(iv)自腔室沖洗弱氧化劑氣體。作為示例,矽氮化物沉積循環可包含下列操作:(i)含矽前驅物的遞送/吸附,(ii)自腔室沖洗含矽前驅物,(iii)聯胺氣體的遞送,及(iv)自腔室沖洗聯胺氣體。
不像化學氣相沉積(CVD)技術,ALD製程使用表面介導沉積反應以逐層地沉積膜。在ALD製程的一示例中,包含一群表面活性部位的基板表面係曝露於在提供至容納基板之腔室的劑量下之第一前驅物(諸如含矽前驅物)的氣相分佈。此第一前驅物的分子被吸附至基板表面之上,包含第一前驅物的化學吸附物種及/或物理吸附分子。應理解當化合物係如此處所述吸附至基板表面之上時,所吸附的層可包含該化合物及該化合物的衍生物。舉例而言,含矽前驅物的吸附層可包括含矽前驅物及含矽前驅物的衍生物。在第一前驅物用劑之後,接著抽空腔室以移除殘留在氣相之大部分或所有第一前驅物,使得主要是或僅有吸附的物種保留。在一些實施方式中,腔室可能未完全抽空。舉例而言,可將腔室抽空使得氣相之第一前驅物的分壓係足夠低以緩和反應。第二反應物(諸如聯胺或弱氧化劑)係引入至腔室,使得這些分子的其中一些與吸附在表面上的第一前驅物反應。在一些製程中,第二反應物立即與所吸附的第一前驅物反應。可接著再次抽空腔室以移除未受束縛的第二反應物分子。如上所述,在一些實施例中,可不完全抽空腔室。額外的ALD循環可用以建立膜厚度。
在某些實施例中,ALD第一前驅物用劑使基板表面部分地飽和。在一些實施例中,ALD循環的用劑階段在前驅物接觸基板之前結束以使表面均勻地飽和。通常,前驅物流在此時點係關閉或轉向,且僅流動沖洗氣體。藉由在此亞飽和狀態下操作,ALD製程減少循環時間及增加生產率。然而,因為前驅物吸附係非飽和限制的,所以所吸附的前驅物之濃度在整個基板表面可能稍微變化。在亞飽和狀態下之ALD製程操作的示例係在2013年10月23日申請、題為“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”的美國專利申請案第14/061,587號(現為美國專利第9,355,839號)中提供,其全部內容於此藉由參照納入本案揭示內容。
在一些實施方式中,ALD方法可包含電漿活化。然而,在本文描述的熱力式ALD製程中並不點燃電漿。如本文所述,此處描述的ALD方法及設備可為保形膜沉積(CFD)方法,其係在下列文件中一般性地描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”的美國專利申請案第13/084,305號,其全部內容於此藉由參照納入本案揭示內容。
圖1係製程流程圖,其根據某些所揭示實施例描述執行之方法的示例操作。在操作102中,將具有曝露的第一表面及曝露的第二表面之基板提供至處理腔室。可將處理腔室設成具有約10毫托與約10托之間、或約1托與約3托之間的腔室壓力。如此腔室壓力可在如此處描述的操作102-116之整個期間使用。可將基板加熱至約25℃與約400℃之間、或約200℃與約300℃之間的基板溫度。吾人將理解如本文使用的基板溫度意指固持基板之底座所設定的溫度,且在一些實施例中,當將基板提供至處理腔室並在底座上時,在處理基板之前,可將基板加熱至期望的基板溫度。基板溫度在如本文描述的操作102-116之整個期間可為相同的。
基板可為矽晶圓,例如200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有例如介電、導電或半導電材料之一或更多層材料沉積於其上的晶圓。下方層之非限制性的示例包含介電層及導電層,例如:矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在一些實施例中,基板包含矽氧化物及矽。
當於此處使用,曝露的第一表面係在其上將選擇性地沉積材料的表面。此表面可為氫終端的矽表面,例如矽材料的表面,諸如多晶矽或非晶矽。氫終端的矽表面可藉由將基板曝露於氫氣以氫化基板表面上的矽原子而形成。在另一實施例中,第一表面可為一級胺終端的矽表面,諸如矽氮化物材料的表面。注意雖然表面可具有一級胺終端的表面,但矽氮化物材料之二級胺終端的表面並非用於沉積材料之合適曝露的第一表面,因為其與醯氯反應。在其他實施例中,曝露的第一表面係金屬表面,諸如鈦表面、鎳表面、或銅表面。曝露的第一表面不包含與醯氯高度反應的材料或終端基團。
當於此處使用,曝露的第二表面係不沉積材料的表面。也就是說,材料將相對於曝露的第二表面沉積在曝露的第一表面上。本文描述的實施例係適合用於相對於曝露的第二表面在曝露的第一表面上沉積含矽介電材料或含金屬的介電材料。曝露的第二表面可為矽氧化物或矽氮化物。
圖2及5顯示在圖1的操作102期間可提供至處理腔室的示例基板。
圖2顯示具有曝露的矽表面202之基板200,其中一些矽原子被氫化而其他者不被氫化。基板200亦包含曝露的矽氧化物203,其在一些氧鍵結的基團中具有一些羥基終端的基團。圖2的矽表面202如關於圖1的操作102所述係半導體基板之曝露的第一表面之示例。具有羥基終端基團的矽氧化物203如關於圖1操作102所述係半導體基板之曝露的第二表面之示例。在一些實施例中,矽氧化物表面203可只包含羥基終端的基團或主要包含羥基終端的基團。
圖5顯示具有曝露的矽表面502之基板500,其中一些矽原子被氫化而其他者不被氫化。基板500亦包含曝露的矽氮化物305,其具有二級胺二聚體終端的基團(Si-NH)。圖5的矽表面502如關於圖1的操作102所述係半導體基板之曝露的第一表面之示例。具有二級胺二聚體終端基團的矽氮化物305如關於圖1操作102所述係半導體基板之曝露的第二表面之示例。矽氮化物305包含表面上的Si-NH二聚體,氮原子藉此與毗鄰的氮原子鍵結。若使用化學氣相沉積在低溫(例如低於500℃)下沉積矽氮化物材料,則可形成如此表面。然而,如此表面可能不易與醯氯反應,且無法有效地阻擋沉積而允許選擇性沉積。
因此,在操作104中,基板係選用性地預處理以將矽氮化物表面之表面上的二級胺二聚體基團轉變成一級胺基團。若矽氮化物表面包含Si-NH二聚體,則此操作係非選用性的。具有Si-NH二聚體的矽氮化物表面可藉由將基板曝露於含氮及含氫氣體及/或電漿加以預處理。舉例而言,在一些實施例中,基板係曝露於氨氣及氫氣的混合物,其中該混合物中氨氣的體積係小於約1%。在一些實施例中,基板係曝露於氮氣及氫氣的混合物中,其中該混合物中之氮氣的體積係小於約1%。在一些實施例中,預處理係藉由流動含氮及含氫氣體並點燃電漿而執行。
如圖6所示,當預處理基板時,矽氮化物表面305上的Si-NH二聚體係轉變成易與醯氯反應之包含單一-NHx 基團的一級胺基團(在矽氮化物表面315上顯示)。如本文提及的一級胺基團係定義為氮係鍵結至矽及氫且該氮原子不鍵結至另一氮原子之原子的基團。矽氮化物基板之表面上的一級胺基團可具有Si-NH2 結構。如此基團係易於與醯氯反應。此允許矽氮化物表面315與醯氯反應,其在隨後的操作中阻擋矽氮化物表面沉積矽氧化物、矽氮化物、或含金屬的介電材料,從而允許在曝露之第一表面上的選擇性沉積。
返回至圖1,在操作106中,將基板曝露於醯氯以阻擋基板之曝露的第二表面。該曝露步驟可在基板之曝露的第二表面上形成酮終端或醛終端的表面,從而使該曝露的第二表面阻擋於隨後的膜沉積之外。
醯氯可具有下列化學結構:其中R1 係氫或烷基。在一些實施例中,R1 是甲基,使得醯氯係具有下列化學結構的乙醯氯:
醯氯與半導體基板上之羥基終端的矽表面反應,且與曝露的第一表面幾乎沒有反應性,該曝露的第一表面在一些實施例中可為諸如圖4顯示之氫終端的矽表面。如圖4所示,具有一般烷基R的醯氯與圖3的羥基終端表面213反應以形成阻擋基團223,其如此處顯示為酮基,而毗鄰之氫終端的矽表面202保持未反應。
在圖5-7中提供的替代實施例中,待受阻擋的表面是矽氮化物表面,在將矽表面502氫化並將基板曝露於氨及/或氮電漿之後,如圖6顯示的基板500包含一級胺終端(-NH2 )的矽氮化物表面315及氫終端的矽表面502。在圖7中,圖6的基板500曝露於醯氯,使得醯氯與一級胺終端的矽氮化物表面315反應以形成阻擋基團325,而毗鄰之氫終端的矽表面502保持未反應。雖然阻擋基團325下面的下方材料是矽氮化物,但圖7中表面上的結構係類似於圖4之表面上的結構,其中下方材料是矽氧化物。
選擇醯氯使得醯氯與待受阻擋之曝露的第二表面反應,且與材料將待沉積在上的表面(曝露的第一表面)不反應或實質上沒有反應性。舉例而言,使醯氯與氫終端之矽表面反應的活化能可能大幅高於用以使醯氯分別與一級胺終端或羥基終端的矽氮化物或矽氧化物表面反應的活化能。因此,圖1的操作106選擇性地阻擋其上不希望沉積的表面,而留下用於隨後沉積之曝露的其他表面。操作106係在基板上選擇性地沉積矽氧化物或矽氮化物之前執行。
在一些實施例中,載體氣體可在操作106期間流動。載體氣體可為惰性氣體,諸如氬、氫、氦、氖、及其組合。可將載體氣體轉向,使得載體氣體係用以將氨及/或氮氣遞送至處理腔室。在一些實施例中,可提供載體氣體以幫助處理腔室的壓力及/或溫度控制。在一些實施例中,載體氣體係用以確保更快速地將氣體遞送至處理腔室。在諸多實施例中,操作106係在基板上沉積含矽介電或含金屬介電材料之前執行一次。
在操作108中,基板係曝露於含矽前驅物以吸附在曝露的第一表面之上。操作108、110、111、及114可構成原子層沉積循環。類似地,操作108、110、112、及114亦可構成原子層沉積循環。雖然本文提供的示例涉及在曝露的第一表面上沉積諸如矽氧化物或矽氮化物的含矽膜,但吾人將理解諸如含金屬之介電材料的其他材料亦可沉積在曝露的第一表面上,只要沉積條件及前驅物使得受阻擋的第二表面不因沉積條件或前驅物劣化或損壞。
在操作108期間使用的含矽前驅物在一些實施例中可為胺基矽烷。本文所指之胺基矽烷包含諸如雙(三級丁基)胺基矽烷的胺基矽烷、及諸如三甲矽胺的矽烷胺。在一些實施例中,胺基矽烷分子可吸附至矽表面及矽氮化物或矽氧化物表面兩者之上,但如以下關於操作110所述,矽氧化物係在曝露的第一表面(諸如矽表面)上且不在曝露的第二表面(諸如矽氮化物表面)上選擇性地形成。在諸多實施例中,如以下關於操作108及112描述的後續沖洗操作可自曝露的第二表面移除吸附的胺基矽烷。
在一些實施例中,基板表面上的吸附可在大部分或整個基板表面上形成薄的胺基矽烷層。該薄層可小於單層,且可具有約0.2 Å與約0.4 Å之間的厚度。
在操作108期間,可流動惰性氣體。惰性氣體可為任何惰性氣體,諸如以上關於操作106所列出者。可提供惰性氣體以幫助處理腔室的壓力及/或溫度控制、液體反應物的蒸發、反應物之較快速的遞送。
操作108中使用的胺基矽烷具有如下之化學式:其中x係在1與3之間且包含1和3的整數,x + y = 4,且R1 及R2 之每一者係氫或烷基配位基。舉例而言,在一些實施例中,胺基矽烷係單胺基矽烷,其具有下列化學結構:其中R1 及R2 之每一者係氫或烷基配位基。
在一些實施例中的胺基矽烷可為單胺基矽烷、二胺基矽烷、三胺基矽烷、四胺基矽烷、及其組合之其中任一者。以下提供這些示例的化學結構:
如上所述,R1 及R2 可為任何的烷基配位基。在一示例中,胺基矽烷可為N ,N ’-二甲基矽烷二胺,其具有下列結構: N ,N ’-二甲基矽烷二胺
其他含矽前驅物包含矽烷氧化物及矽鹵化物,其可在一些實施例中使用。示例矽鹵化物包含但不限於矽氯化物、矽碘化物、及矽溴化物。
在操作110中,選用性地沖洗處理腔室以移除未吸附至基板表面之上的胺基矽烷。沖洗腔室可涉及流動沖洗氣體或吹掃氣體,其可為其他操作中使用的載體氣體或可為不同的氣體。在一些實施例中,沖洗可涉及將腔室抽空。沖洗氣體在一些實施例中可為惰性氣體。沖洗氣體可作為吹掃氣體,用於自處理腔室及/或處理腔室管線移除處理氣體。示例沖洗氣體包含氬、氮、氫、氦、氖、及其組合。在一些實施例中,操作110可包含用於抽空處理腔室的一或更多抽空子階段。或者,吾人將察知在一些實施例中可省略操作110。操作110可具有任何適合的持續期間,諸如在約0秒與約60秒之間,例如約0.01秒。在一些實施例中,增加一或更多沖洗氣體的流率可減少操作110的持續期間。舉例而言,沖洗氣體的流率可根據諸多反應物的熱力學特性、及/或處理腔室及/或處理腔室管線的幾何特性而調整,以修改操作110的持續期間。在一非限制性的示例中,沖洗階段的持續期間可藉由調節沖洗氣體的流率而調整。此可減少沉積循環時間而改善基板生產率。在沖洗之後,胺基矽烷分子仍保持吸附在基板表面之上。在一些實施例中,胺基矽烷前驅物係以約1000 sccm與約5000 sccm之間的流率流至容納基板的腔室。
在圖1中,若矽氧化物係將選擇性地沉積在基板上,則在操作111期間,基板係在無電漿的情況下曝露於弱氧化劑,以在曝露的第一表面上選擇性地形成矽氧化物。選擇弱氧化劑使得弱氧化劑不與基板之受阻擋的第二表面反應。電漿在此操作期間係未使用,以便不移除受阻擋的第二表面之表面上的阻擋基團(例如酮終端的基團)。當將弱氧化劑提供至基板時,所吸附的前驅物與弱氧化劑反應,以在曝露的第一表面之表面上形成矽氧化物。相反地,由於受阻擋的第二表面在表面上包含巨大(bulky)的烷基,所以操作108中的含矽前驅物可能未必吸附在酮終端的表面之上,因此矽氧化物係不在曝露的第二表面上形成而達成選擇性沉積。示例弱氧化劑包含水、過氧化氫、及臭氧。在諸多實施例中,高度還原形式的氧化劑係用作針對此操作的弱氧化劑。
圖8顯示來自圖4之基板的示例,其中矽氧化物208係選擇性地沉積在矽表面202上而不沉積在受阻擋的第二表面223上。
返回至圖1,若矽氮化物係選擇性地沉積在基板上,則可執行操作112以取代操作111。在操作112期間,基板係在無電漿的情況下曝露於氨或聯胺,以在曝露的第一表面上選擇性地形成矽氮化物。操作112期間使用的聯胺具有下列結構:其中R3 、R4 、R5 、及R6 各自為氫或烷基。舉例而言,可使用聯胺,其中聯胺具有下列結構:
在另一示例中,可使用三級丁基聯胺,其具有下列結構:
在另一示例中,可使用四甲基聯胺,其具有下列結構:
選擇氨或聯胺,使得氨或聯胺不與基板之受阻擋的第二表面反應。電漿在此操作期間係未使用,以便不移除受阻擋的第二表面之酮終端的表面。當將氨或聯胺提供至基板時,所吸附的前驅物與氨或聯胺反應,以在曝露的第一表面之表面上形成矽氮化物。相反地,由於受阻擋的第二表面在表面上包含巨大的烷基,所以操作108中的含矽前驅物可能未必吸附在酮終端的表面之上,因此矽氮化物係不在曝露的第二表面上形成而達成選擇性沉積。在諸多實施例中,高度還原形式的含氮反應物係在此操作期間使用。
圖9顯示來自圖4之基板的示例,其中矽氮化物206係選擇性地沉積在矽表面202上而不沉積在受阻擋的第二表面223上。
在操作114中,選用性地沖洗腔室以移除任何殘留副產物。該腔室可使用以上關於操作110描述的任何條件沖洗。
在操作116中,判定是否已沉積期望的膜厚度。若為否,則將操作108、110、111、及114重複足夠的循環,以在第一曝露的表面上選擇性地沉積期望之矽氧化物膜的厚度。或者,操作108、110、112、及114係重複足夠的循環,以在第一曝露的表面上選擇性地沉積期望之矽氮化物膜的厚度。任何合適數目的沉積循環可被包含在ALD製程中,以沉積期望之矽氧化物或矽氮化物的膜厚度。舉例而言,可執行約50個沉積循環以使用所揭示的實施例在基板上沉積膜。
注意雖然圖1及本文提供的說明描述曝露於含矽前驅物發生在弱氧化劑或氨/聯胺曝露之前,但吾人將理解在一些實施例中,在含矽前驅物曝露之前可執行弱氧化劑或氨/聯胺曝露。也就是說,在一些實施例中,在操作106之後,可執行操作111或112,接著諸如操作114的沖洗操作,然後可執行操作108,後接沖洗操作110。在一些實施例中,在操作106之後,執行操作108,後接操作110、和操作111或112、及操作114。
圖10係時序圖,其顯示根據某些所揭示實施例執行之方法中的循環之示例。該時序圖顯示載體氣體、矽氯化物氣體、第二反應物氣體(諸如弱氧化劑、或氨、或聯胺)、及醯氯氣體流的開啟或關閉階段。雖然矽氯化物在圖10的示例中係提供作為矽前驅物,但吾人將理解任何適合的含矽前驅物可用以取代矽氯化物。
圖10包含具有兩個沉積循環1099A及1099B的製程1000,其中沉積循環1099A構成一ALD循環,而沉積循環1099B亦構成一ALD循環。雖然僅描繪兩個沉積循環,但可使用更多的沉積循環。製程1000始於醯氯曝露階段1006A,其可對應於圖1的操作106。在醯氯曝露階段1006A期間,開啟載體氣體流。載體氣體可為以上關於圖1之操作106-114描述之那些者的其中任一者。在醯氯曝露階段1006A期間,關閉矽氯化物流及第二反應物流,且同時開啟醯氯流。在醯氯曝露階段1006A之後,執行沉積循環1099A,其包含矽氯化物曝露階段1008A、沖洗階段1010A、第二反應物曝露階段1011A、及沖洗階段1014A。在矽氯化物曝露階段1008A期間,載體氣體可繼續流動,且同時開啟矽氯化物流。第二反應物流保持關閉且關閉醯氯流。此可對應於圖1的操作108。在沖洗階段1010A期間,其可對應於圖1的操作110,載體氣體流繼續流動且可用作沖洗氣體,同時皆關閉矽氯化物流、第二反應物流、及醯氯流。在第二反應物曝露階段1011A期間,載體氣體流可繼續流動,同時開啟第二反應物流,且矽氯化物和醯氯流保持關閉。取決於待沉積的材料,此可對應於用於矽氧化物沉積之圖1的操作111、或用於矽氮化物沉積之圖1的操作112。在沖洗階段1014A中,載體氣體流保持開啟,且同時關閉矽氯化物流、第二反應物流、及醯氯流。此可對應於圖1的操作114。在此階段之後,判定重複用於沉積膜的操作,使得沉積循環1099B重複。因此,在沉積循環1099A之後,執行沉積循環1099B,其包含矽氯化物曝露階段1008B、沖洗階段1010B、第二反應物曝露階段1011B、及沖洗階段1014B。類似沉積循環1099A,在矽氯化物曝露階段1008B期間,開啟載體氣體及矽氯化物流,且同時關閉第二反應物氣體及醯氯流。此可對應於圖1之操作108的重複操作。在沖洗階段1010B中,流動載體氣體且同時關閉矽氯化物流、第二反應物流、及醯氯流。在第二反應物曝露階段1011B期間,開啟載體氣體流及第二反應物氣體流,且同時關閉矽氯化物及醯氯流。沖洗階段1014B可包含開啟載體氣體流,且同時皆關閉矽氯化物、第二反應物、及醯氯氣體流。可接著隨後的沉積循環。 設備
圖11描繪原子層沉積(ALD)處理工作站1100之實施例的示意說明,該處理工作站1100具有用於維持低壓環境的處理腔體1102。複數ALD處理工作站1100可被包含在共同的低壓處理工具環境中。舉例而言,圖12描繪多工作站式處理工具1200的一實施例。在一些實施例中,ALD處理工作站1100的一或更多硬體參數(包含以下詳細討論者)可由一或更多電腦控制器1150以編程方式調整。
ALD處理工作站1100與反應物遞送系統1101a呈流體連通,該反應物遞送系統1101a用於將處理氣體遞送至分配噴淋頭1106。反應物遞送系統1101a包含混合容器1104,該混合容器1104用於混合及/或調節處理氣體(諸如醯氯、含矽前驅物氣體、弱氧化劑氣體、氨氣、或聯胺氣體)以遞送至噴淋頭1106。一或更多混合容器入口閥1120可控制處理氣體至混合容器1104的引入。
舉例來說,圖11的實施例包含一汽化點1103,用於將待供應至混合容器1104的液體反應物汽化。在一些實施例中,汽化點1103可為加熱的汽化器。從此等汽化器產生之飽和的反應物蒸氣可能在下游遞送管路內凝結。不相容的氣體曝露於凝結的反應物可能產生小顆粒。這些小顆粒可能堵塞管路、妨礙閥操作、污染基板等。解決這些問題的一些方法涉及沖洗及/或抽空遞送管路以移除殘留的反應物。然而,沖洗遞送管路可能增加處理工作站的循環時間、降低處理工作站的生產率。因此,在一些實施例中,汽化點1103下游的遞送管路可為伴熱的(heat traced)。在一些實例中,混合容器1104亦可為伴熱的。在一非限制性的示例中,汽化點1103下游的管路具有從大約100℃延伸至混合容器1104處大約150℃的漸增溫度分布。
在一些實施例中,液體前驅物或液體反應物可在液體注射器處汽化。舉例而言,液體注射器可將液體反應物的脈衝注入至混合容器上游的載體氣流內。在一實施例中,液體注射器可藉由將液體自一較高壓力至較低壓力驟沸而汽化反應物。在另一實施例中,液體注射器可將液體霧化成分散的微滴,其隨後在加熱的遞送管內汽化。較小的液滴可比較大的液滴更快汽化,縮短液體注入和完全汽化之間的延遲。較快的汽化可降低自汽化點1103下游之管路的長度。在一情況下,液體注射器可直接安裝至混合容器1104。在另一情況下,液體注射器可直接安裝至噴淋頭1106。
在一些實施例中,可設置汽化點1103上游的液體流量控制器(LFC),以控制用於汽化及遞送至處理工作站1100之液體的質量流量。舉例而言,LFC可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著響應回授控制訊號而調整,該回授控制訊號係由與MFM電連通的一比例-積分-微分作用(PID, proportional-integral-derivative)控制器提供。然而,使用回授控制可能耗用一秒以上以穩定液體流量。此可能延長供給液體反應物的時間。因此,在一些實施例中,LFC可在回授控制模式與直接控制模式之間動態地切換。在一些實施例中,此可藉由將PID控制器和LFC的感測管停用而執行。
噴淋頭1106將處理氣體朝基板1112散佈。在圖11顯示的實施例中,基板1112係位於噴淋頭1106下方,且係顯示配置在底座1108上。噴淋頭1106可具有任何適合的形狀,且可具有任何適合數量及排列的埠口,以供將處理氣體散佈至基板1112。
在一些實施例中,底座1108可升高或降低以將基板1112曝露於基板1112與噴淋頭1106之間的容積。吾人將察知在一些實施例中,底座高度可藉由適合的電腦控制器1150以編程方式調整。
在另一情況下,在點燃電漿的實施例中,調整底座1108的高度可允許電漿密度在製程中的電漿活化循環期間受到改變。在製程階段結束時,底座1108可在另一基板傳送階段期間降低,以允許自底座1108移除基板1112。
在一些實施例中,底座1108可透過加熱器1110控制溫度。在一些實施例中,在如所揭示實施例中所述之矽氮化物膜的選擇性沉積期間,底座1108可加熱至約25℃與約400℃之間、或約200℃與約300℃之間的溫度。在一些實施例中,底座的溫度係設在約25℃與約400℃之間、或約200℃與約300℃之間的溫度。
此外,在一些實施例中,處理工作站1100的壓力控制可透過蝶形閥1118提供。如圖11的實施例所示,蝶形閥1118調節由下游真空泵(未顯示)提供的真空。然而,在一些實施例中,處理工作站1100的壓力控制亦可藉由改變被導入處理工作站1100之一或更多氣體的流率而調整。
在一些實施例中,噴淋頭1106的位置可相對於底座1108調整,以改變基板1112與噴淋頭1106之間的容積。此外,吾人將察知底座1108及/或噴淋頭1106的垂直位置可藉由在本揭示內容範圍內之任何適合的機構變化。在一些實施例中,底座1108可包含用於旋轉基板1112之方向的旋轉軸。吾人將察知在一些實施例中,這些示例調整的其中一或更多者可藉由一或更多適合的電腦控制器1150以編程方式執行。
在電漿可如上所述使用(諸如用於處理矽氮化物表面)的一些實施例中,噴淋頭1106及底座1108與用於對電漿供電的射頻(RF)電源1114及匹配網路1116電連通。在一些實施例中,電漿能量可藉由控制處理工作站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序之其中一或更多者而受控制。舉例而言,RF電源1114及匹配網路1116可在任何適合的功率下操作,以形成具有期望之自由基物種成分的電漿。合適功率的例子係約150 W至約6000 W。RF電源1114可提供任何合適頻率的RF功率。在一些實施例中,RF電源1114可配置成彼此獨立地控制高頻及低頻RF功率源。示例低頻RF頻率可包含但不限於0 kHz與500 kHz之間的頻率。示例高頻RF頻率可包含但不限於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。吾人將察知任何合適的參數可不連續地或連續地加以調整,以提供用於表面反應的電漿能量。
在一些實施例中,電漿可藉由一或更多電漿監視器原位監控。在一情況下,電漿功率可藉由一或更多電壓、電流感測器(例如VI探針)監控。在另一情況下,電漿密度及/或處理氣體濃度可藉由一或更多光學發射光譜感測器(OES)測量。在一些實施例中,一或更多電漿參數可基於來自此等原位電漿監視器的測量以編程方式調整。舉例而言,OES感測器可在回授迴路中使用,該回授迴路用於提供電漿功率的編程控制。吾人將察知在一些實施例中,其他監視器可用以監控電漿及其他製程特性。此等監視器可包含但不限於紅外線(IR)監視器、聲學監視器、及壓力轉換器。
在一些實施例中,控制器1150的指令可透過輸入/輸出控制(IOC)序列指令提供。在一示例中,用於設定製程階段之條件的指令可被包含於製程配方之相對應的配方階段中。在某些情況下,製程配方階段可依序排列,使得製程階段的所有指令係與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數的指令可被包含於一配方階段中。舉例而言,第一配方階段可包含用於設定醯氯氣體之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、及該第一配方階段的時間延遲指令。第二配方階段可包含用於設定惰性及/或含矽前驅物氣體之流率的指令、用於設定載體氣體(諸如氬)之流率的指令、及該第二配方階段的時間延遲指令。後續的第三配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或沖洗氣體之流率的指令、及該第三配方階段的時間延遲指令。第四配方階段可包含用於調節弱氧化劑、氨、或聯胺氣體之流率的指令、用於調節載體或沖洗氣體之流率的指令、及該第四配方階段的時間延遲指令。後續的第五配方階段可包含用於調節或停止惰性及/或反應物氣體之流率的指令、及用於調節載體或沖洗氣體之流率的指令、及該第五配方階段的時間延遲指令。吾人將察知這些配方階段可在所揭示實施例的範圍內以任何適合的方式進一步細分及/或重複。在一些實施例中,控制器1150可包含以下關於圖12之系統控制器1250所描述的任何特徵。
如上所述,一或更多處理工作站可被包含在多工作站式處理工具內。圖12顯示多工作站式處理工具1200之實施例的示意圖,該多工作站式處理工具1200具有入站裝載鎖定部1202及出站裝載鎖定部1204,其中的一者或兩者可包含遠程電漿源。處於大氣壓力下的機器人1206係配置以將晶圓從卡匣(經由晶圓傳送盒(pod)1208裝載)通過大氣埠1210而移動到入站裝載鎖定部1202中。晶圓係由機器人1206置放在入站裝載鎖定部1202中的底座1212上,將大氣埠1210關閉,並將裝載鎖定部抽真空。若入站裝載鎖定部1202包含遠程電漿源,則晶圓在被引入至處理腔室1214之前,可曝露於選用性之H2 O中HF的溫和蝕刻劑或可在裝載鎖定部中曝露於周圍。此外,基板亦可在入站裝載鎖定部1202中受到加熱,以例如將水分及吸附的氣體移除。接著,開啟通往處理腔室1214的腔室傳送埠1216,且另一機器人(未顯示)將晶圓放至反應器中,在反應器中顯示之第一工作站的底座上進行處理。雖然圖12中描繪的實施例包含裝載鎖定部,但吾人將察知在一些實施例中,可設置晶圓進入處理工作站的直接通道。
在圖12顯示的實施例中,所描繪的處理腔室1214包含四個處理工作站(編號從1到4)。各工作站具有加熱的底座(對於工作站1顯示為1218)及氣體管線入口。吾人將察知在一些實施例中,各處理工作站可具有不同或多種用途。舉例而言,在一些實施例中,處理工作站可在ALD與電漿加強的ALD處理模式之間切換。此外或替代地,在一些實施例中,處理腔室1214可包含一對以上匹配的ALD和電漿加強的ALD處理工作站。雖然所描繪的處理腔室1214包含四個工作站,但吾人將理解根據本揭示內容的處理腔室可具有任何適當數量的工作站。舉例而言,在一些實施例中,處理腔室可具有五個以上工作站,而在其他實施例中,處理腔室可具有三個或更少的工作站。
圖12描繪晶圓搬運系統的實施例,其在處理腔室1214內傳送晶圓。在一些實施例中,晶圓搬運系統可在諸多處理工作站之間、及/或在處理工作站與裝載鎖定部之間傳送晶圓。吾人將察知可使用任何適當的晶圓搬運系統。非限制性的例子包含晶圓旋轉料架及晶圓搬運機器人。圖12亦描繪系統控制器1250的一實施例,該系統控制器1250用於控制處理工具1200的製程條件及硬體狀態。系統控制器1250可包含一或更多記憶體裝置1256、一或更多大量儲存裝置1254、及一或更多處理器1252。處理器1252可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,系統控制器1250控制處理工具1200的所有活動。系統控制器1250執行系統控制軟體1258,該系統控制軟體1258係儲存在大量儲存裝置1254中、加載至記憶體裝置1256、及在處理器1252上執行。或者,控制邏輯可在控制器1250中加以硬編碼。針對此等目的,可使用特殊應用積體電路、可程式化邏輯裝置(例如現場可程式化閘陣列(FPGAs))等。在下面的討論中,在任何使用「軟體」或「程式碼」之處,皆可使用功能性相當的硬編碼邏輯來取代。系統控制軟體1258可包含用於控制下述的指令:時序、氣體的混合、氣體流率、腔室及/或工作站壓力、腔室及/或工作站溫度、晶圓溫度、目標功率位準、RF功率位準、基板底座、卡盤及/或基座的位置、及由處理工具1200執行之特殊製程的其他參數。系統控制軟體1258可以任何適合的方式配置。舉例而言,可撰寫諸多處理工具元件的副程式或控制物件,以控制用以執行諸多處理工具製程之處理工具元件的操作。系統控制軟體1258可以任何適合的電腦可讀程式語言編碼。
在一些實施例中,系統控制軟體1258可包含輸入/輸出控制(IOC)定序指令,用於控制上述諸多參數。儲存在與系統控制器1250相關聯之大量儲存裝置1254及/或記憶體裝置1256中的其他電腦軟體及/或程式可在一些實施例中使用。用於此目的之程式或程式區段的示例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該處理工具元件係用以將基板裝載至底座1218之上、並用以控制基板與處理工具1200之其他部分間的間距。
處理氣體控制程式可包含程式碼,用於控制氣體成分(例如:諸如乙醯氯的醯氯氣體、諸如矽氯化物或胺基矽烷的含矽前驅物氣體、及弱氧化劑、氨、或聯胺氣體、如本文描述的載體氣體及/或沖洗氣體)和流率、及選用性地用於在沉積之前將氣體流進一或更多處理工作站,以使處理工作站內的氣壓穩定。壓力控制程式可包含程式碼,用於藉由調節例如在處理工作站之排氣系統內的節流閥、進入處理工作站的氣流等而控制處理工作站內的壓力。
加熱器控制程式可包含程式碼,用於控制電流流至用以加熱基板的加熱單元。或者,該加熱器控制程式可控制熱轉移氣體(諸如氦)至基板的遞送。
電漿控制程式可包含程式碼,用於根據本文實施例在一或更多處理工作站內設定施加至處理電極的RF功率位準。
壓力控制程式可包含程式碼,用於根據本文實施例維持反應腔室內的壓力。
在一些實施例中,可能有與系統控制器1250相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及使用者輸入裝置(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器1250調整的參數可能與製程條件有關。非限制性的例子包含處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF偏壓功率位準)等。這些參數可以配方的形式提供給使用者,其可利用使用者介面輸入。
用於監控製程的訊號可由系統控制器1250的類比及/或數位輸入連接件自諸多處理工具感測器提供。用於控制製程的訊號可在處理工具1200的類比及數位輸出連接件上輸出。可被監控之處理工具感測器之非限制性的例子包含質流控制器、壓力感測器(諸如壓力計)、熱電偶等。適當編程的回饋及控制演算法可與來自這些感測器的數據一起使用以維持製程條件。
系統控制器1250可提供用於執行上述沉積製程的程式指令。該等程式指令可控制各種製程參數,諸如:DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制參數以根據本文描述的諸多實施例操作膜堆疊的原位沉積。
系統控制器1250一般包含配置成執行指令的一或更多記憶體裝置及一或更多處理器,使得該設備將根據所揭示的實施例執行方法。包含用於根據所揭示的實施例控制製程操作之指令的機器可讀媒體可耦接至該系統控制器1250。
在一些實施方式中,系統控制器1250為系統的一部分,其可為上述示例的一部分。此等系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平臺或複數平臺、及/或特定處理元件(晶圓底座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制系統或複數系統的諸多元件或子部分。依據系統的處理條件及/或類型,系統控制器1250可加以編程以控制本文揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,系統控制器1250可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式與系統控制器1250通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間完成一或更多處理步驟。
在一些實施方式中,系統控制器1250可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,系統控制器1250可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,系統控制器1250接收數據形式的指令,該數據明確指定於一或更多操作期間將執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型及系統控制器1250受配置所介接或控制之工具的類型。因此,如上所述,系統控制器1250可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如本文描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,系統控制器1250可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
用於執行本文所揭示方法的適當設備係進一步在下列美國專利申請案中討論及描述:於2011年4月11日申請、題為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”之美國專利申請案第13/084,399號(現為美國專利第8,728,956號);及於2011年4月11日申請、題為“SILICON NITRIDE FILMS AND METHODS”之美國專利申請案第13/084,305號,其中每一者的全部內容於此納入本案揭示內容。
本文描述的設備/製程可結合微影圖案化的工具或製程(例如半導體元件、顯示器、LED、太陽光電板等的製造或生產)使用。通常,雖然不一定,此等工具/製程將在共同的製造設施內一起使用或執行。膜的微影圖案化一般包含下列操作的一些或全部者,各操作係以幾個可能的工具達成:(1)工件(即基板)上光阻的施加,其使用旋轉式或噴塗式的工具;(2)光阻的固化,其使用熱板或加熱爐或UV固化工具;(3)以諸如晶圓步進機的工具將光阻曝露於可見光或UV或x射線光;(4)顯影光阻以便選擇性地移除光阻且從而使其圖案化,其使用諸如溼檯的工具;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移進入下方的膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。 結論
雖然上述實施例為了清楚理解的目的已以一些細節描述,但顯然地,某些改變與修飾可在隨附申請專利範圍的範疇內實施。應注意有許多替代方式執行本發明實施例的製程、系統、及設備。因此,本發明實施例係被視為說明性而非限制性的,且該等實施例不限於本文提供的細節。
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
110‧‧‧操作
111‧‧‧操作
112‧‧‧操作
114‧‧‧操作
116‧‧‧操作
200‧‧‧基板
202‧‧‧矽表面
203‧‧‧矽氧化物(矽氧化物表面)
206‧‧‧矽氮化物
208‧‧‧矽氧化物
213‧‧‧羥基終端表面
223‧‧‧阻擋基團(受阻擋的第二表面)
305‧‧‧矽氮化物(矽氮化物表面)
315‧‧‧矽氮化物表面
325‧‧‧阻擋基團
500‧‧‧基板
502‧‧‧矽表面
1000‧‧‧製程
1006A‧‧‧醯氯曝露階段
1008A‧‧‧矽氯化物曝露階段
1008B‧‧‧矽氯化物曝露階段
1010A‧‧‧沖洗階段
1010B‧‧‧沖洗階段
1011A‧‧‧第二反應物曝露階段
1011B‧‧‧第二反應物曝露階段
1014A‧‧‧沖洗階段
1014B‧‧‧沖洗階段
1099A‧‧‧沉積循環
1099B‧‧‧沉積循環
1100‧‧‧處理工作站
1101a‧‧‧反應物遞送系統
1102‧‧‧處理腔體
1103‧‧‧汽化點
1104‧‧‧混合容器
1106‧‧‧噴淋頭
1108‧‧‧底座
1110‧‧‧加熱器
1112‧‧‧基板
1114‧‧‧射頻(RF)電源
1116‧‧‧匹配網路
1118‧‧‧蝶形閥
1120‧‧‧混合容器入口閥
1150‧‧‧控制器
1200‧‧‧處理工具
1202‧‧‧入站裝載鎖定部
1204‧‧‧出站裝載鎖定部
1206‧‧‧機器人
1208‧‧‧晶圓傳送盒
1210‧‧‧大氣埠
1212‧‧‧底座
1214‧‧‧處理腔室
1216‧‧‧腔室傳送埠
1218‧‧‧底座
1250‧‧‧控制器
1252‧‧‧處理器
1254‧‧‧大量儲存裝置
1256‧‧‧記憶體裝置
1258‧‧‧系統控制軟體
圖1係製程流程圖,其根據某些所揭示實施例描述用於方法的操作。
圖2-9係經歷根據某些所揭示實施例描述的操作之基板的示意圖。
圖10係根據某些所揭示實施例的時序圖,其顯示在方法中執行之循環的示例。
圖11係用於執行某些所揭示實施例之示例處理腔室的示意圖。
圖12係用於執行某些所揭示實施例之示例處理工具的示意圖。

Claims (29)

  1. 一種用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,該方法包含: 提供具有曝露的第一表面及曝露的第二表面之一基板, 該曝露的第一表面具有選自由多晶矽、非晶矽、金屬、及具有單一二級胺終端基團的矽氮化物所組成之群組的材料,且 該曝露的第二表面包括含矽材料,該含矽材料具有選自由羥基及一級胺所組成之群組的表面終端基團; 在沉積含矽介電材料之前,將該基板曝露於選擇性地與該曝露的第二表面反應的醯氯,以在該曝露的第二表面上形成阻擋基團,該醯氯具有的化學結構,其中R1 係氫或烷基;及 執行熱力式原子層沉積的一或更多循環,以在該曝露的第一表面上選擇性地沉積含矽介電材料,各循環包含: 將該基板曝露於選定成吸附在該基板之該曝露的第一表面之上的含矽前驅物,及 將該基板曝露於第二反應物,以在該基板之該曝露的第一表面上選擇性地形成該含矽介電材料。
  2. 如申請專利範圍第1項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該含矽介電材料是矽氮化物。
  3. 如申請專利範圍第2項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該第二反應物係選自由氨及聯胺所組成之群組,該聯胺具有化學結構, 其中R2 、R3 、R4 、及R5 各自為氫或烷基。
  4. 如申請專利範圍第2項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該含矽前驅物係選自由矽鹵化物及胺基矽烷所組成之群組。
  5. 如申請專利範圍第1項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該含矽介電材料是矽氧化物。
  6. 如申請專利範圍第5項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該第二反應物係弱氧化劑。
  7. 如申請專利範圍第5項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該第二反應物係選自由水、過氧化氫、及臭氧所組成之群組。
  8. 如申請專利範圍第1項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該醯氯係乙醯氯。
  9. 如申請專利範圍第1-8項其中任一者之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,更包含:在提供該基板之前,沉積矽氮化物以形成未經處理的矽氮化物表面;及將該未經處理的矽氮化物表面曝露於氨及氫氣的混合物,且點燃電漿約1秒與約10秒之間的持續時間,以形成包含一級胺基團之該曝露的第二表面。
  10. 如申請專利範圍第9項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,在氨及氫氣的該混合物中之氨的量係小於約1%的體積百分比。
  11. 如申請專利範圍第1-8項其中任一者之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,更包含:在提供該基板之前,沉積矽氮化物以形成未經處理的矽氮化物表面,及將該未經處理的矽氮化物表面曝露於氮及氫氣的混合物,且點燃電漿約1秒與約10秒之間的持續時間,以形成包含一級胺基團之該曝露的第二表面。
  12. 如申請專利範圍第11項之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,在氮及氫氣的該混合物中之氮的量係小於約1%的體積百分比。
  13. 如申請專利範圍第1-8項其中任一者之用於在基板之曝露的第一表面上選擇性地沉積含矽介電材料的方法,其中,該曝露的第二表面包含在大於約500℃的沉積溫度下藉由化學氣相沉積所沉積之矽氮化物的一級胺基團終端的表面。
  14. 一種用於處理半導體基板的設備,該設備包含: 至少一處理腔室,其包含用於固持一基板的一底座; 至少一出口,用於耦接至真空; 一或更多處理氣體入口;及 一控制器,用於控制該設備中的操作,該控制器包含機器可讀指令,用於: 在致使引入含矽前驅物氣體或第二反應物氣體之前,致使醯氯引入至該至少一處理腔室中所容納的該基板;及 致使以熱力式原子層沉積之時間上獨立的脈衝引入該含矽前驅物氣體及該第二反應物氣體以形成含矽介電膜, 其中,該含矽前驅物氣體的脈衝及該第二反應物氣體的脈衝構成一熱力式原子層沉積循環。
  15. 如申請專利範圍第14項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於:在致使引入醯氯之前,致使矽氮化物的形成;及在致使引入醯氯之前,致使在電漿產生約1秒與約10秒間的持續時間期間引入氨及氫氣的混合物。
  16. 如申請專利範圍第15項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使使用氨小於約1%體積百分比的量引入氨及氫氣的混合物。
  17. 如申請專利範圍第14項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於:在致使引入醯氯之前,致使矽氮化物的形成;及在致使引入醯氯之前,致使在電漿產生約1秒與約10秒間的持續時間期間引入氮及氫氣的混合物。
  18. 如申請專利範圍第17項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使使用氮小於約1%體積百分比的量引入氮及氫氣的混合物。
  19. 如申請專利範圍第14項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於:在致使引入醯氯之前,將該底座的溫度設至大於約500℃的溫度,及致使藉由化學氣相沉積形成矽氮化物。
  20. 如申請專利範圍第14-19項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使在該含矽前驅物氣體及該第二反應物氣體之時間上獨立的脈衝之間沖洗該至少一處理腔室。
  21. 如申請專利範圍第14-19項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使將惰性氣體引入至容納該基板的該至少一處理腔室。
  22. 一種用於處理半導體基板的設備,該設備包含: 至少一處理腔室,其包含用於固持一基板的一底座; 至少一出口,用於耦接至真空; 一或更多處理氣體入口;及 一控制器,用於控制該設備中的操作,該控制器包含機器可讀指令,用於: 在致使引入含金屬前驅物氣體或第二反應物氣體之前,致使醯氯引入至該至少一處理腔室中所容納的該基板;及 致使以熱力式原子層沉積之時間上獨立的脈衝引入該含金屬前驅物氣體及該第二反應物氣體以形成含金屬介電膜, 其中,該含金屬前驅物氣體的脈衝及該第二反應物氣體的脈衝構成一熱力式原子層沉積循環。
  23. 如申請專利範圍第22項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於:在致使引入醯氯之前,致使矽氮化物的形成;及在致使引入醯氯之前,致使在電漿產生約1秒與約10秒間的持續時間期間引入氨及氫氣的混合物。
  24. 如申請專利範圍第23項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使使用氨小於約1%體積百分比的量引入該氨及氫氣的混合物。
  25. 如申請專利範圍第22項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於:在致使引入醯氯之前,致使矽氮化物的形成;及在致使引入醯氯之前,致使在電漿產生約1秒與約10秒間的持續時間期間引入氮及氫氣的混合物。
  26. 如申請專利範圍第25項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使使用氮小於約1%體積百分比的量引入該氮及氫氣的混合物。
  27. 如申請專利範圍第22項之用於處理半導體基板的設備,其中,該控制器更包含指令,用於:在致使引入醯氯之前,將該底座的溫度設至大於約500℃的溫度,及致使藉由化學氣相沉積形成矽氮化物。
  28. 如申請專利範圍第22-27項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使在該含金屬前驅物氣體及該第二反應物氣體之時間上獨立的脈衝之間沖洗該至少一處理腔室。
  29. 如申請專利範圍第22-27項其中任一者之用於處理半導體基板的設備,其中,該控制器更包含指令,用於致使將惰性氣體引入至容納該基板的該至少一處理腔室。
TW107107982A 2017-03-10 2018-03-09 在矽氧化物存在的情況下於矽表面上之矽氧化物或矽氮化物之選擇性成長 TW201903184A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/456,301 2017-03-10
US15/456,301 US10043656B1 (en) 2017-03-10 2017-03-10 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide

Publications (1)

Publication Number Publication Date
TW201903184A true TW201903184A (zh) 2019-01-16

Family

ID=63014121

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107107982A TW201903184A (zh) 2017-03-10 2018-03-09 在矽氧化物存在的情況下於矽表面上之矽氧化物或矽氮化物之選擇性成長

Country Status (6)

Country Link
US (2) US10043656B1 (zh)
JP (1) JP2020510314A (zh)
KR (1) KR102491771B1 (zh)
CN (2) CN110402477B (zh)
TW (1) TW201903184A (zh)
WO (1) WO2018165598A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805171B (zh) * 2021-01-05 2023-06-11 南韓商Egtm股份有限公司 選擇性形成薄膜的方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) * 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US20210384028A1 (en) * 2018-10-19 2021-12-09 Lam Research Corporation Method of depositing silicon nitride films
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11380539B2 (en) * 2019-02-14 2022-07-05 Entegris, Inc. Selective deposition of silicon nitride
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
CN110265288A (zh) * 2019-06-05 2019-09-20 深圳市华星光电技术有限公司 一种在基板上制备二氧化硅膜的方法及装置、阵列基板
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
WO2022201853A1 (ja) * 2021-03-23 2022-09-29 東レエンジニアリング株式会社 積層体製造装置及び自己組織化単分子膜の形成方法
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
KR20230173313A (ko) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
WO2003026019A1 (fr) 2001-09-12 2003-03-27 Nec Corporation Dispositif a semi-conducteurs et procede de production correspondant
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20110178092A1 (en) * 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US8853075B2 (en) 2008-02-27 2014-10-07 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
TWI529808B (zh) * 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
EP2675560B1 (en) * 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP2015525774A (ja) 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9530733B2 (en) * 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
EP3134479A1 (en) * 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9553100B2 (en) * 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US11001599B2 (en) * 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
KR102377376B1 (ko) * 2015-06-26 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 막들의 선택적 증착
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805171B (zh) * 2021-01-05 2023-06-11 南韓商Egtm股份有限公司 選擇性形成薄膜的方法

Also Published As

Publication number Publication date
KR102491771B1 (ko) 2023-01-25
US20180261448A1 (en) 2018-09-13
US10199212B2 (en) 2019-02-05
WO2018165598A1 (en) 2018-09-13
US10043656B1 (en) 2018-08-07
CN110402477B (zh) 2023-07-04
JP2020510314A (ja) 2020-04-02
KR20190119158A (ko) 2019-10-21
CN110402477A (zh) 2019-11-01
CN116970921A (zh) 2023-10-31

Similar Documents

Publication Publication Date Title
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
US10903071B2 (en) Selective deposition of silicon oxide
US10490413B2 (en) Selective growth of silicon nitride
US9865815B2 (en) Bromine containing silicon precursors for encapsulation layers
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
TWI682054B (zh) 使用原子層沉積鈦膜以使cmos用之基於氧化鈦/鈦的金屬絕緣半導體接觸方案的接觸電阻最小化之氧化鈦化學計量的調節方法
US9478438B2 (en) Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
KR20160113014A (ko) 초박 원자층 증착 막 정확도 두께 제어
CN108630524B (zh) 氮化硅的选择性生长