US8664126B2 - Selective deposition of polymer films on bare silicon instead of oxide surface - Google Patents

Selective deposition of polymer films on bare silicon instead of oxide surface Download PDF

Info

Publication number
US8664126B2
US8664126B2 US13/456,524 US201213456524A US8664126B2 US 8664126 B2 US8664126 B2 US 8664126B2 US 201213456524 A US201213456524 A US 201213456524A US 8664126 B2 US8664126 B2 US 8664126B2
Authority
US
United States
Prior art keywords
carbon
substrate
gas
containing gas
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US13/456,524
Other versions
US20120315740A1 (en
Inventor
Daping Yao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US13/456,524 priority Critical patent/US8664126B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YAO, Daping
Priority to CN201280025601.7A priority patent/CN103620740B/en
Priority to PCT/US2012/037529 priority patent/WO2012170150A2/en
Priority to KR1020147000506A priority patent/KR101516648B1/en
Priority to JP2014514466A priority patent/JP5992513B2/en
Priority to TW101117123A priority patent/TWI510669B/en
Publication of US20120315740A1 publication Critical patent/US20120315740A1/en
Publication of US8664126B2 publication Critical patent/US8664126B2/en
Application granted granted Critical
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Definitions

  • Embodiments of the present invention generally relate to selective deposition on a substrate.
  • a device such as a memory or logic device
  • having undergone front-end processing may have oxide regions and silicon regions formed on its front side.
  • the oxide regions may require subsequent etching to form gates, vias, contact holes, or interconnect lines, while the silicon regions will need to be masked from the etchant.
  • a polymer film may be deposited and then etched to form a masking layer over the silicon regions of the substrate.
  • etching is sometimes not practicable when small geometries are involved.
  • the present invention generally relates to selective deposition on a substrate.
  • a method of selective deposition of a polymer film comprising providing a substrate having regions of silicon and regions of oxide on a surface of the substrate, placing the substrate in a processing chamber, introducing a hydrocarbon gas into the processing chamber, and depositing a carbon-containing layer on the regions of silicon of the substrate using a plasma doping process, such as plasma immersion ion implantation.
  • a method of selective deposition can include a substrate having silicon regions and oxide regions on a surface of the substrate.
  • the substrate can be placed on a substrate support in a processing chamber, after which the substrate could be electrically biased.
  • a hydrocarbon gas can be introduced into the processing chamber.
  • the hydrocarbon gas can then be deposited as a carbon-containing layer on the silicon regions and implanted into the oxide region of the substrate using a plasma doping process, such as plasma immersion ion implantation.
  • a method of selective deposition on non-oxide containing regions of a substrate can include providing a substrate which can have regions of silicon and regions of oxide on a surface of the substrate.
  • the substrate can be placed in a processing chamber where is can be electrically biased.
  • a hydrocarbon gas By introducing a hydrocarbon gas into the chamber, a carbon-containing layer can be deposited both onto and into the regions of exposed silicon while simultaneously depositing into the oxide regions, due to the increased bias at the substrate.
  • FIGS. 1A and 1B show one embodiment of a plasma doping tool suitable for practicing the method disclosed herein;
  • FIG. 2 depicts a simplified vertical cross-sectional view of a front layer of a substrate, before and after the selective deposition method described herein;
  • FIG. 3 depicts a process flow diagram of the method disclosed herein
  • FIG. 4 is a secondary ion mass spectrometry (SIMS) profile showing the concentrations of elements versus depth in a substrate treated using the method disclosed herein; and
  • FIG. 5 is a graph of X-ray photoelectron spectroscopy (XPS) measurements for a bare silicon substrate having undergone the selective deposition method described herein.
  • XPS X-ray photoelectron spectroscopy
  • Embodiments of the present invention generally relate to a method of selective deposition of polymer films on silicon substrates having regions of bare silicon and regions of oxide formed thereon.
  • the method includes placing the substrate on a wafer support inside a processing chamber, introducing a carbon-containing gas (such as CH 4 , C 2 H 4 , C 2 H 6 , or C 3 H 8 ) into the reactor, applying a bias to the substrate, generating a plasma from the hydrocarbon gas, and implanting carbon ions into the substrate by a plasma doping process, wherein a carbon-containing film is deposited on the bare silicon regions but not on the oxide regions.
  • a carbon-containing gas such as CH 4 , C 2 H 4 , C 2 H 6 , or C 3 H 8
  • FIG. 1A depicts a processing chamber 100 that may be utilized to practice an ion implantation process according to one embodiment of the invention.
  • a plasma immersion ion implantation process may be practiced is a P3i® reactor, available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that the methods described herein may be practiced in other suitably adapted plasma reactors, including those from other manufacturers.
  • the processing chamber 100 includes a chamber body 102 having a bottom 124 , a top 126 , and side walls 122 enclosing a process region 104 .
  • a substrate support assembly 128 is supported from the bottom 124 of the chamber body 102 and is adapted to receive a substrate 106 for processing. The substrate may optionally be supported on an electrostatic chuck.
  • a gas distribution plate 130 is coupled to the top 126 of the chamber body 102 facing the substrate support assembly 128 .
  • a pumping port 132 is defined in the chamber body 102 and coupled to a vacuum pump 134 .
  • the vacuum pump 134 is coupled through a throttle valve 136 to the pumping port 132 .
  • a process gas source 152 is coupled to the gas distribution plate 130 to supply gaseous precursor compounds for processes performed on the substrate 106 .
  • the processing chamber 100 depicted in FIG. 1A further includes a plasma source 190 , best shown in the perspective view of FIG. 1B .
  • the plasma source 190 includes a pair of separate external reentrant conduits (first external reentrant conduit 140 , second external reentrant conduit 140 ′) mounted on the outside of the top 126 of the chamber body 102 , disposed transverse to one another (or orthogonal to one another as the exemplary embodiment depicted in FIG. 1B ).
  • the first external reentrant conduit 140 has a first end 140 a coupled through an opening 198 formed in the top 126 into a first side of the process region 104 in the chamber body 102 .
  • a second end 140 b has an opening 196 coupled into a second side of the process region 104 .
  • the second external reentrant conduit 140 ′ has a first end 140 a ′ having an opening 194 coupled into a third side of the process region 104 and a second end 140 b ′ having an opening 192 into a fourth side of the process region 104 .
  • first external reentrant conduit 140 and the second external reentrant conduit 140 ′ are configured to be orthogonal to one another, thereby providing the first end 140 a , second end 140 b , and first end 140 a ′ and second end 140 b ′, of each first external reentrant conduit 140 and second external reentrant conduit 140 ′, respectively, disposed at about 90 degree intervals around the periphery of the top 126 of the chamber body 102 .
  • the orthogonal configuration of the first external reentrant conduit 140 and second external reentrant conduit 140 ′ allows a plasma source to be distributed uniformly across the process region 104 . It is contemplated that the first external reentrant conduit 140 and the second external reentrant conduit 140 ′ may be configured as other distributions utilized to provide uniform plasma distribution into the process region 104 .
  • Magnetically permeable torroidal cores 142 , 142 ′ surround a portion of a corresponding one of the first external reentrant conduit 140 and second external reentrant conduit 140 ′.
  • the conductive coils 144 , 144 ′ are coupled to respective RF plasma source power generators 146 , 146 ′ through respective impedance match circuits or elements 148 , 148 ′.
  • Each one of the first external reentrant conduit 140 and second external reentrant conduit 140 ′ is a hollow conductive tube interrupted by an insulating annular ring 150 , 150 ′ respectively that interrupts an otherwise continuous electrical path between the first end 140 a and second end 140 b (and first end 140 a ′, second end 104 b ′) of the respective first external reentrant conduit 140 and second external reentrant conduit 140 ′.
  • Ion energy at the substrate surface is controlled by an RF plasma bias power generator 154 coupled to the substrate support assembly 128 through an impedance match circuit or element 156 .
  • process gases including gaseous compounds supplied from the process gas source 152 are introduced through the gas distribution plate 130 into the process region 104 .
  • One of the magnetically permeable torroidal cores 142 , 142 ′ combines with one of the conductive coils 144 , 144 ′ to form a power applicator.
  • RF source plasma power generator 146 is coupled from the power applicator (magnetically permeable torroidal core 142 and conductive coil 144 ) to gases supplied in the first external reentrant conduit 140 , which creates a circulating plasma current in a first closed torroidal path including the first external reentrant conduit 140 and the process region 104 .
  • RF plasma source power generator 146 ′ may be coupled from the other power applicator (magnetically permeable torroidal core 142 ′ and conductive coil 144 ′) to gases in the second external reentrant conduit 140 ′, which creates a circulating plasma current in a second closed torroidal path transverse (e.g., orthogonal) to the first torroidal path.
  • the second closed torroidal path includes the second external reentrant conduit 140 ′ and the process region 104 .
  • the plasma currents in each of the paths oscillate (e.g., reverse direction) at the frequencies of the respective RF plasma source power generators 146 , 146 ′, which may be the same or slightly offset from one another.
  • the process gas source 152 may provide a process gas mixture that may be utilized to provide ions implanted to the substrate 106 .
  • suitable examples of process gases include carbon-containing gases such as methane (CH 4 ), ethene (C 2 H 4 ), ethane (C 2 H 6 ), and propane (C 3 H 8 ), among others.
  • the process gas mixture may also include a dilutant gas such as H 2 , He, or Ar.
  • the power of each RF plasma source power generators 146 , 146 ′ is operated so that their combined effect efficiently dissociates the process gases supplied from the process gas source 152 and produces a desired ion flux at the surface of the substrate 106 .
  • the power of the RF plasma bias power generator 154 is controlled at a selected level at which the ion energy dissociated from the process gases may be accelerated toward the substrate surface and implanted at a desired depth below the top surface of substrate 106 with desired ion concentration.
  • relatively low RF power such as less than about 500 W
  • ions are excited with relatively low energy.
  • the low energy ions may be implanted at a shallow depth less than about 100 ⁇ from the substrate surface.
  • ions with high energy provided and generated from high bias RF power such as higher than about 3000 W, may be implanted into the substrate having a depth substantially over 100 ⁇ from the substrate surface.
  • the combination of the controlled RF plasma source power and RF plasma bias power dissociates carbon ions in the gas mixture having sufficient momentum and desired ion distribution in the processing chamber 100 .
  • the ions are biased and driven toward the substrate surface, thereby implanting ions into oxide regions on both flat and side wall surfaces of the substrate, with desired ion concentration, distribution and depth from the substrate surface.
  • the depth and concentration of implanted carbon ions may be controlled by the amount of energy applied to the substrate surface, which is controlled by the bias power.
  • FIG. 2 depicts a simplified vertical cross-sectional view of a front layer of a substrate, before and after the selective deposition described herein.
  • FIG. 3 depicts a process flow diagram of a method 300 for depositing a carbon-containing layer on bare silicon regions of a substrate and implanting carbon ions into oxide regions of the substrate by a plasma doping process.
  • the method 300 may be performed in a plasma immersion ion implantation processing chamber, such as the processing chamber 100 , as described in FIGS. 1A and 1B .
  • the method 300 begins at step 302 by introducing a substrate having bare silicon regions (see FIG. 2 at 211 ) and oxide regions (see FIG. 2 at 210 ) on its surface into an immersion ion implantation processing chamber.
  • the substrate may be a material such as crystalline, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, or doped silicon.
  • the substrate may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are conducted on substrates having a 300 mm diameter.
  • the substrate 106 may be a wafer having undergone front-end processing, such as in chemical-mechanical polishing (CMP) applications, wherein an oxide layer has been deposited on a bare silicon wafer and patterned or etched using techniques known in the art so that the wafer has bare silicon regions 211 and oxide regions 210 on its front side.
  • the oxide may include, for example, a silicon oxide or germanium oxide. For purposes of simplification, only the topmost layer of the wafer is shown in FIG. 2 , and underlying layers, if any, are not shown.
  • a carbon-containing gas such as CH 4 , C 2 H 4 , C 2 H 6 , and C 3 H 8 , is supplied into the processing chamber 100 to provide ion species for the subsequent deposition and implantation process.
  • the carbon-containing gas may be a hydrocarbon having five carbon atoms or less per molecule.
  • the carbon-containing gas may be supplied from the process gas source 152 to the gas distribution plate 130 , as described in FIG. 1A , or by other suitable means.
  • the carbon-containing gas provides the carbon to be deposited on the bare silicon regions of the substrate and the desired ions to be implanted into the oxide regions of the substrate.
  • the gas supplied into the processing chamber 100 may also include a dilution gas such as H 2 , He or Ar to better control the carbon deposition profile.
  • a dilution gas such as H 2 , He or Ar to better control the carbon deposition profile.
  • the dilution gas in the processing chamber 100 may result in better carbon deposition profile control and promote the ion bombardment in the gas mixture, thereby efficiently increasing the possibility of process gas collision, resulting in reduced recombination of ion species.
  • a plasma doping process is performed to implant ions generated from the gas mixture at step 304 into the oxide regions 210 of the substrate and deposit a carbon-containing film 213 on the bare silicon regions 211 of the substrate, as shown in FIG. 2 .
  • a RF source power is applied to generate a plasma from the gas mixture in the processing chamber 100 .
  • the generated plasma dissociates the gas mixture in the processing chamber 100 as ion species.
  • a RF bias power may be applied to the substrate along with the RF source power applied to the plasma source to dissociate and drive the dissociated ion species from the gas mixture toward and into a desired depth from the substrate surface at the oxide regions.
  • the carbon containing layer can be deposited similarly on both the exposed silicon surface and the oxide surface.
  • the Si surface had a polymer layer with thickness of at least 40 ⁇ , but oxide surface had no polymer deposited thereon.
  • XPS x-ray photoelectron spectroscopy
  • the RF source and bias power applied to the processing chamber 100 can be controlled at a desired energy level, thereby allowing the ion species to be dissociated and doped with a desired concentration and depth in the oxide regions of the substrate.
  • Increasing RF source power generally increases the quantity of carbon available for deposition or implantation due to increased ionization of the process gas.
  • Increasing the RF bias power generally increases the implantation depth of carbon into the oxide regions of the substrate. It is to be noted that when using a reduced RF bias or no RF bias, carbon may simply deposit on the oxide region rather than implant into the oxide region. Additionally, under relatively greater RF biases, carbon may be implanted into bare silicon regions, in addition to or as alternative to deposition on the bare silicon regions. As shown in FIG. 2 , the substrate resulting from the selective deposition method described herein will have a carbon-containing film 213 over bare silicon regions 211 and oxide regions 212 will be exposed.
  • the source RF power may be maintained at between about 100 Watts and about 1000 Watts at a frequency of about 13.56 MHz. In one embodiment, the source RF can be turned off (0 W of power) after the bias RF is turned on and a stable plasma can be achieved.
  • the bias RF power may be maintained at between about 100 Watts and about 5000 Watts at a frequency of about 2 MHz. In one embodiment, the source RF power may be 400 W (at 13.56 MHz) and the bias power may be 4000 W (at 2 MHz).
  • the chamber pressure may be maintained at between about 5 mTorr and about 15 mTorr. A higher chamber pressure may result in a thicker carbon-containing film deposited on the bare silicon regions of the substrate.
  • the chamber temperature may be maintained at between about 50 degrees Celsius and about 90 degrees Celsius.
  • the wafer temperature which may be controlled using the electrostatic chuck, may be maintained at between about 0 degrees Celsius and about 100 degrees Celsius.
  • the chamber pressure may be 7 mTorr, the chamber temperature may be 65 degrees Celsius and the wafer temperature may be 30 degrees Celsius.
  • the gas mixture flow rate and/or gas mixture flow ratio among the reacting gas and the dilution gas supplied at step 304 may be selected to control the relative amounts of the dissociated ion species between each type of gases.
  • the selected gas mixture flow rate and/or flow ratio enables the gas mixture to be dissociated at a predetermined amount and/or ratios among different kinds of ion species, thereby preventing generating excess amount of certain types of ions in the processing chamber, resulting in unwanted side reaction and/or forming undesired film on the substrate.
  • an excess amount of certain type of ion species such as hydrogen, helium, or argon ions, may sputter and bombard the substrate surface, thereby damaging and roughening the substrate surface.
  • the flow rate ratio of the carbon-containing gas and the dilution gas may be controlled at between about 1:20 and about 1:0.5.
  • the carbon-containing gas may be flowed into the processing chamber at a rate of between about 2 sccm and about 100 sccm, such as between about 10 sccm and about 50 sccm.
  • the dilution gas may be flowed in to the processing chamber at a rate between about 20 sccm and about 200 sccm, such as between about 50 sccm and about 100 sccm.
  • the gas mixture may include CH 4 and H 2 .
  • the CH 4 gas and the H 2 gas may have a flow rate ratio of about 1:9.
  • the CH 4 gas may be supplied at a rate of 10 sccm and the H 2 gas may be supplied at a rate of 90 sccm.
  • the gas mixture may include CH 4 and He.
  • the CH 4 gas and the He gas may have a flow rate ratio between about 1:20 and about 1:1, such as 1:9.
  • the CH 4 gas may be supplied at a rate of 10 sccm and the He gas may be supplied at a rate of 90 sccm for a 300 mm substrate.
  • the source RF power in either embodiment may be controlled at between about 100 Watts and about 1000 Watts and the bias RF power may be controlled at between about 100 Watts and about 5000 Watts.
  • only the carbon-containing gas may be supplied.
  • 50 sccm of CH 4 may be supplied with no dilution gas.
  • the source RF power may be controlled at between about 100 Watts and between about 50 Watts and about 1000 Watts and the bias RF power may be controlled at between about 100 Watts and about 5000 Watts.
  • FIG. 4 is a secondary ion mass spectrometry (SIMS) profile showing the concentrations of elements versus depth at an oxide region of a substrate treated using the method disclosed herein.
  • An oxide layer was formed on a crystalline silicon substrate. The substrate was then exposed to methane using the method described herein. As shown in FIG. 4 , carbon was implanted into the oxide layer and into the substrate. A carbon-containing film was not deposited over the oxide layer. Moreover, some of the crystalline silicon near the interface with the oxide layer was made amorphous due to the hydrogen implanted.
  • FIG. 5 is a graph of the atomic percentage of elements as measured by X-ray photoelectron spectroscopy (XPS) for a bare silicon substrate (having no oxide regions) having undergone the selective deposition method described herein. Following the deposition, no silicon signal is detected. Instead, the substrate surface has a high atomic percentage (approximately 80%) of carbon, indicating that a carbon-containing film having a thickness of at least 50 ⁇ was deposited on the surface of the bare silicon substrate.
  • the 20% atomic percentage of oxide is a result of native oxide already present in the silicon (e.g., a result of exposure of the substrate to ambient air).
  • the bias RF power plasma immersion energy
  • the source RF power can also influence the selectivity variation, as increasing the source power is believed to increase the penetration into both the silicon and oxide regions.
  • the improved method advantageously selectively deposits a carbon-containing film only on the areas in which it is ultimately desired, so that patterning of the polymer layer following deposition is not necessary. This is beneficial in the production of integrated circuits having small geometries, in which patterning is sometimes not feasible. Regions of bare silicon on the substrate may be covered, and protected from further processing, by the polymer while oxide regions on the substrate may be left exposed.

Abstract

A method of selective deposition on silicon substrates having regions of bare silicon and regions of oxide formed thereon. The method includes placing the substrate on a wafer support inside a processing chamber, introducing a carbon-containing gas into the reactor, applying a bias to the substrate, generating a plasma from the hydrocarbon gas, implanting carbon ions into the regions of oxide on the substrate by a plasma doping process, and depositing a carbon-containing film on the bare silicon regions.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims benefit of U.S. Provisional Patent Application Ser. No. 61/495,850, filed Jun. 10, 2011, which is herein incorporated by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
Embodiments of the present invention generally relate to selective deposition on a substrate.
2. Description of the Related Art
As geometries of integrated circuits are reduced to enable faster integrated circuits, the need for highly selective deposition of films increases. Sometimes the geometries may be reduced so much that etching of masking layers may not be feasible. In such cases, selective deposition of masking layers is desirable so as to reduce the need for etching the masking layers.
In some situations, for example, a device (such as a memory or logic device), having undergone front-end processing, may have oxide regions and silicon regions formed on its front side. The oxide regions may require subsequent etching to form gates, vias, contact holes, or interconnect lines, while the silicon regions will need to be masked from the etchant. Typically, a polymer film may be deposited and then etched to form a masking layer over the silicon regions of the substrate. However, etching is sometimes not practicable when small geometries are involved. Therefore, there is a need to produce masked layers of polymer coatings directly on the substrate, in which the polymer is selectively deposited only on areas that need to be masked, so that etching of the polymer layer following deposition is not necessary. Ideally, certain regions may be covered by the polymer and other regions of the substrate may be left bare.
SUMMARY OF THE INVENTION
The present invention generally relates to selective deposition on a substrate. In one embodiment, a method of selective deposition of a polymer film is provided, the method comprising providing a substrate having regions of silicon and regions of oxide on a surface of the substrate, placing the substrate in a processing chamber, introducing a hydrocarbon gas into the processing chamber, and depositing a carbon-containing layer on the regions of silicon of the substrate using a plasma doping process, such as plasma immersion ion implantation.
In one embodiment, a method of selective deposition can include a substrate having silicon regions and oxide regions on a surface of the substrate. The substrate can be placed on a substrate support in a processing chamber, after which the substrate could be electrically biased. When the substrate is biased to the desired level, a hydrocarbon gas can be introduced into the processing chamber. The hydrocarbon gas can then be deposited as a carbon-containing layer on the silicon regions and implanted into the oxide region of the substrate using a plasma doping process, such as plasma immersion ion implantation.
In another embodiment, a method of selective deposition on non-oxide containing regions of a substrate can include providing a substrate which can have regions of silicon and regions of oxide on a surface of the substrate. The substrate can be placed in a processing chamber where is can be electrically biased. By introducing a hydrocarbon gas into the chamber, a carbon-containing layer can be deposited both onto and into the regions of exposed silicon while simultaneously depositing into the oxide regions, due to the increased bias at the substrate.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings.
FIGS. 1A and 1B show one embodiment of a plasma doping tool suitable for practicing the method disclosed herein;
FIG. 2 depicts a simplified vertical cross-sectional view of a front layer of a substrate, before and after the selective deposition method described herein;
FIG. 3 depicts a process flow diagram of the method disclosed herein;
FIG. 4 is a secondary ion mass spectrometry (SIMS) profile showing the concentrations of elements versus depth in a substrate treated using the method disclosed herein; and
FIG. 5 is a graph of X-ray photoelectron spectroscopy (XPS) measurements for a bare silicon substrate having undergone the selective deposition method described herein.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
DETAILED DESCRIPTION
Embodiments of the present invention generally relate to a method of selective deposition of polymer films on silicon substrates having regions of bare silicon and regions of oxide formed thereon. In one embodiment, the method includes placing the substrate on a wafer support inside a processing chamber, introducing a carbon-containing gas (such as CH4, C2H4, C2H6, or C3H8) into the reactor, applying a bias to the substrate, generating a plasma from the hydrocarbon gas, and implanting carbon ions into the substrate by a plasma doping process, wherein a carbon-containing film is deposited on the bare silicon regions but not on the oxide regions.
FIG. 1A depicts a processing chamber 100 that may be utilized to practice an ion implantation process according to one embodiment of the invention. One suitable reactor in which a plasma immersion ion implantation process may be practiced is a P3i® reactor, available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that the methods described herein may be practiced in other suitably adapted plasma reactors, including those from other manufacturers.
The processing chamber 100 includes a chamber body 102 having a bottom 124, a top 126, and side walls 122 enclosing a process region 104. A substrate support assembly 128 is supported from the bottom 124 of the chamber body 102 and is adapted to receive a substrate 106 for processing. The substrate may optionally be supported on an electrostatic chuck. A gas distribution plate 130 is coupled to the top 126 of the chamber body 102 facing the substrate support assembly 128. A pumping port 132 is defined in the chamber body 102 and coupled to a vacuum pump 134. The vacuum pump 134 is coupled through a throttle valve 136 to the pumping port 132. A process gas source 152 is coupled to the gas distribution plate 130 to supply gaseous precursor compounds for processes performed on the substrate 106.
The processing chamber 100 depicted in FIG. 1A further includes a plasma source 190, best shown in the perspective view of FIG. 1B. The plasma source 190 includes a pair of separate external reentrant conduits (first external reentrant conduit 140, second external reentrant conduit 140′) mounted on the outside of the top 126 of the chamber body 102, disposed transverse to one another (or orthogonal to one another as the exemplary embodiment depicted in FIG. 1B). The first external reentrant conduit 140 has a first end 140 a coupled through an opening 198 formed in the top 126 into a first side of the process region 104 in the chamber body 102. A second end 140 b has an opening 196 coupled into a second side of the process region 104. The second external reentrant conduit 140′ has a first end 140 a′ having an opening 194 coupled into a third side of the process region 104 and a second end 140 b′ having an opening 192 into a fourth side of the process region 104. In one embodiment, the first external reentrant conduit 140 and the second external reentrant conduit 140′ are configured to be orthogonal to one another, thereby providing the first end 140 a, second end 140 b, and first end 140 a′ and second end 140 b′, of each first external reentrant conduit 140 and second external reentrant conduit 140′, respectively, disposed at about 90 degree intervals around the periphery of the top 126 of the chamber body 102. The orthogonal configuration of the first external reentrant conduit 140 and second external reentrant conduit 140′ allows a plasma source to be distributed uniformly across the process region 104. It is contemplated that the first external reentrant conduit 140 and the second external reentrant conduit 140′ may be configured as other distributions utilized to provide uniform plasma distribution into the process region 104.
Magnetically permeable torroidal cores 142, 142′ surround a portion of a corresponding one of the first external reentrant conduit 140 and second external reentrant conduit 140′. The conductive coils 144, 144′ are coupled to respective RF plasma source power generators 146, 146′ through respective impedance match circuits or elements 148, 148′. Each one of the first external reentrant conduit 140 and second external reentrant conduit 140′ is a hollow conductive tube interrupted by an insulating annular ring 150, 150′ respectively that interrupts an otherwise continuous electrical path between the first end 140 a and second end 140 b (and first end 140 a′, second end 104 b′) of the respective first external reentrant conduit 140 and second external reentrant conduit 140′. Ion energy at the substrate surface is controlled by an RF plasma bias power generator 154 coupled to the substrate support assembly 128 through an impedance match circuit or element 156.
Referring back to FIG. 1A, process gases including gaseous compounds supplied from the process gas source 152 are introduced through the gas distribution plate 130 into the process region 104. One of the magnetically permeable torroidal cores 142, 142′ combines with one of the conductive coils 144, 144′ to form a power applicator. RF source plasma power generator 146 is coupled from the power applicator (magnetically permeable torroidal core 142 and conductive coil 144) to gases supplied in the first external reentrant conduit 140, which creates a circulating plasma current in a first closed torroidal path including the first external reentrant conduit 140 and the process region 104. Also, RF plasma source power generator 146′ may be coupled from the other power applicator (magnetically permeable torroidal core 142′ and conductive coil 144′) to gases in the second external reentrant conduit 140′, which creates a circulating plasma current in a second closed torroidal path transverse (e.g., orthogonal) to the first torroidal path. The second closed torroidal path includes the second external reentrant conduit 140′ and the process region 104. The plasma currents in each of the paths oscillate (e.g., reverse direction) at the frequencies of the respective RF plasma source power generators 146, 146′, which may be the same or slightly offset from one another.
In one embodiment, the process gas source 152 may provide a process gas mixture that may be utilized to provide ions implanted to the substrate 106. For purposes of the method disclosed herein, suitable examples of process gases include carbon-containing gases such as methane (CH4), ethene (C2H4), ethane (C2H6), and propane (C3H8), among others. In some embodiments, the process gas mixture may also include a dilutant gas such as H2, He, or Ar. The power of each RF plasma source power generators 146, 146′ is operated so that their combined effect efficiently dissociates the process gases supplied from the process gas source 152 and produces a desired ion flux at the surface of the substrate 106. The power of the RF plasma bias power generator 154 is controlled at a selected level at which the ion energy dissociated from the process gases may be accelerated toward the substrate surface and implanted at a desired depth below the top surface of substrate 106 with desired ion concentration. For example, with relatively low RF power, such as less than about 500 W, ions are excited with relatively low energy. The low energy ions may be implanted at a shallow depth less than about 100 Å from the substrate surface. Alternatively, ions with high energy provided and generated from high bias RF power, such as higher than about 3000 W, may be implanted into the substrate having a depth substantially over 100 Å from the substrate surface.
The combination of the controlled RF plasma source power and RF plasma bias power dissociates carbon ions in the gas mixture having sufficient momentum and desired ion distribution in the processing chamber 100. The ions are biased and driven toward the substrate surface, thereby implanting ions into oxide regions on both flat and side wall surfaces of the substrate, with desired ion concentration, distribution and depth from the substrate surface. The depth and concentration of implanted carbon ions may be controlled by the amount of energy applied to the substrate surface, which is controlled by the bias power.
FIG. 2 depicts a simplified vertical cross-sectional view of a front layer of a substrate, before and after the selective deposition described herein. FIG. 3 depicts a process flow diagram of a method 300 for depositing a carbon-containing layer on bare silicon regions of a substrate and implanting carbon ions into oxide regions of the substrate by a plasma doping process. The method 300 may be performed in a plasma immersion ion implantation processing chamber, such as the processing chamber 100, as described in FIGS. 1A and 1B. The method 300 begins at step 302 by introducing a substrate having bare silicon regions (see FIG. 2 at 211) and oxide regions (see FIG. 2 at 210) on its surface into an immersion ion implantation processing chamber. The substrate may be a material such as crystalline, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, or doped silicon. The substrate may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as rectangular or square panes. Unless otherwise noted, embodiments and examples described herein are conducted on substrates having a 300 mm diameter.
In one embodiment, the substrate 106 may be a wafer having undergone front-end processing, such as in chemical-mechanical polishing (CMP) applications, wherein an oxide layer has been deposited on a bare silicon wafer and patterned or etched using techniques known in the art so that the wafer has bare silicon regions 211 and oxide regions 210 on its front side. The oxide may include, for example, a silicon oxide or germanium oxide. For purposes of simplification, only the topmost layer of the wafer is shown in FIG. 2, and underlying layers, if any, are not shown.
At step 304, a carbon-containing gas such as CH4, C2H4, C2H6, and C3H8, is supplied into the processing chamber 100 to provide ion species for the subsequent deposition and implantation process. In one embodiment, the carbon-containing gas may be a hydrocarbon having five carbon atoms or less per molecule. The carbon-containing gas may be supplied from the process gas source 152 to the gas distribution plate 130, as described in FIG. 1A, or by other suitable means. The carbon-containing gas provides the carbon to be deposited on the bare silicon regions of the substrate and the desired ions to be implanted into the oxide regions of the substrate. In one embodiment, the gas supplied into the processing chamber 100 may also include a dilution gas such as H2, He or Ar to better control the carbon deposition profile. The dilution gas in the processing chamber 100 may result in better carbon deposition profile control and promote the ion bombardment in the gas mixture, thereby efficiently increasing the possibility of process gas collision, resulting in reduced recombination of ion species.
At step 306, a plasma doping process is performed to implant ions generated from the gas mixture at step 304 into the oxide regions 210 of the substrate and deposit a carbon-containing film 213 on the bare silicon regions 211 of the substrate, as shown in FIG. 2. A RF source power is applied to generate a plasma from the gas mixture in the processing chamber 100. The generated plasma dissociates the gas mixture in the processing chamber 100 as ion species. A RF bias power may be applied to the substrate along with the RF source power applied to the plasma source to dissociate and drive the dissociated ion species from the gas mixture toward and into a desired depth from the substrate surface at the oxide regions.
Generally, when there is no bias power supplied to the substrate, the carbon containing layer can be deposited similarly on both the exposed silicon surface and the oxide surface. In one embodiment, at a fixed process time when the plasma immersion (implant) energies were 6 kV-8 kV, corresponding to the substrate which was biased at powers of 3000-4000 W, transmission electron microscope (TEM) pictures showed the Si surface had a polymer layer with thickness of at least 40 Å, but oxide surface had no polymer deposited thereon. In another embodiment, under the measurement of x-ray photoelectron spectroscopy (XPS), where the samples were processed with higher energy and longer processing time, the bare silicon surfaces had greater than 100 Å of carbon containing layer formation, while the oxide surface still had no detectable polymer formation. Even at a fourfold increase in the processing time with all other conditions remaining constant, a carbon containing layer was not detected on the oxide layer.
The RF source and bias power applied to the processing chamber 100 can be controlled at a desired energy level, thereby allowing the ion species to be dissociated and doped with a desired concentration and depth in the oxide regions of the substrate. Increasing RF source power generally increases the quantity of carbon available for deposition or implantation due to increased ionization of the process gas. Increasing the RF bias power generally increases the implantation depth of carbon into the oxide regions of the substrate. It is to be noted that when using a reduced RF bias or no RF bias, carbon may simply deposit on the oxide region rather than implant into the oxide region. Additionally, under relatively greater RF biases, carbon may be implanted into bare silicon regions, in addition to or as alternative to deposition on the bare silicon regions. As shown in FIG. 2, the substrate resulting from the selective deposition method described herein will have a carbon-containing film 213 over bare silicon regions 211 and oxide regions 212 will be exposed.
In one embodiment, the source RF power may be maintained at between about 100 Watts and about 1000 Watts at a frequency of about 13.56 MHz. In one embodiment, the source RF can be turned off (0 W of power) after the bias RF is turned on and a stable plasma can be achieved. The bias RF power may be maintained at between about 100 Watts and about 5000 Watts at a frequency of about 2 MHz. In one embodiment, the source RF power may be 400 W (at 13.56 MHz) and the bias power may be 4000 W (at 2 MHz).
Several process parameters may also be regulated during the plasma doping process at step 306. In one embodiment, the chamber pressure may be maintained at between about 5 mTorr and about 15 mTorr. A higher chamber pressure may result in a thicker carbon-containing film deposited on the bare silicon regions of the substrate. The chamber temperature may be maintained at between about 50 degrees Celsius and about 90 degrees Celsius. The wafer temperature, which may be controlled using the electrostatic chuck, may be maintained at between about 0 degrees Celsius and about 100 degrees Celsius. In one embodiment, the chamber pressure may be 7 mTorr, the chamber temperature may be 65 degrees Celsius and the wafer temperature may be 30 degrees Celsius.
The gas mixture flow rate and/or gas mixture flow ratio among the reacting gas and the dilution gas supplied at step 304 may be selected to control the relative amounts of the dissociated ion species between each type of gases. The selected gas mixture flow rate and/or flow ratio enables the gas mixture to be dissociated at a predetermined amount and/or ratios among different kinds of ion species, thereby preventing generating excess amount of certain types of ions in the processing chamber, resulting in unwanted side reaction and/or forming undesired film on the substrate. For example, an excess amount of certain type of ion species, such as hydrogen, helium, or argon ions, may sputter and bombard the substrate surface, thereby damaging and roughening the substrate surface.
In one embodiment, the flow rate ratio of the carbon-containing gas and the dilution gas may be controlled at between about 1:20 and about 1:0.5. In one embodiment, the carbon-containing gas may be flowed into the processing chamber at a rate of between about 2 sccm and about 100 sccm, such as between about 10 sccm and about 50 sccm. The dilution gas may be flowed in to the processing chamber at a rate between about 20 sccm and about 200 sccm, such as between about 50 sccm and about 100 sccm.
In an exemplary embodiment of the invention, the gas mixture may include CH4 and H2. In one embodiment, the CH4 gas and the H2 gas may have a flow rate ratio of about 1:9. The CH4 gas may be supplied at a rate of 10 sccm and the H2 gas may be supplied at a rate of 90 sccm. In another exemplary embodiment of the invention, the gas mixture may include CH4 and He. In one embodiment, the CH4 gas and the He gas may have a flow rate ratio between about 1:20 and about 1:1, such as 1:9. The CH4 gas may be supplied at a rate of 10 sccm and the He gas may be supplied at a rate of 90 sccm for a 300 mm substrate. The source RF power in either embodiment may be controlled at between about 100 Watts and about 1000 Watts and the bias RF power may be controlled at between about 100 Watts and about 5000 Watts.
In another exemplary embodiment according to the present invention, only the carbon-containing gas may be supplied. For example, 50 sccm of CH4 may be supplied with no dilution gas. The source RF power may be controlled at between about 100 Watts and between about 50 Watts and about 1000 Watts and the bias RF power may be controlled at between about 100 Watts and about 5000 Watts.
FIG. 4 is a secondary ion mass spectrometry (SIMS) profile showing the concentrations of elements versus depth at an oxide region of a substrate treated using the method disclosed herein. An oxide layer was formed on a crystalline silicon substrate. The substrate was then exposed to methane using the method described herein. As shown in FIG. 4, carbon was implanted into the oxide layer and into the substrate. A carbon-containing film was not deposited over the oxide layer. Moreover, some of the crystalline silicon near the interface with the oxide layer was made amorphous due to the hydrogen implanted.
FIG. 5 is a graph of the atomic percentage of elements as measured by X-ray photoelectron spectroscopy (XPS) for a bare silicon substrate (having no oxide regions) having undergone the selective deposition method described herein. Following the deposition, no silicon signal is detected. Instead, the substrate surface has a high atomic percentage (approximately 80%) of carbon, indicating that a carbon-containing film having a thickness of at least 50 Å was deposited on the surface of the bare silicon substrate. The 20% atomic percentage of oxide is a result of native oxide already present in the silicon (e.g., a result of exposure of the substrate to ambient air).
The limited data indicated the ratios of deposition on the bare silicon regions to deposition on the oxide regions were from 1:1 to approximately 20:1. The bias RF power (plasma immersion energy) is the main criteria to tune the selectivity. The source RF power can also influence the selectivity variation, as increasing the source power is believed to increase the penetration into both the silicon and oxide regions.
Thus, methods for the selective deposition of carbon-containing films over substrates having bare silicon regions and oxide regions by plasma doping processes are provided. The improved method advantageously selectively deposits a carbon-containing film only on the areas in which it is ultimately desired, so that patterning of the polymer layer following deposition is not necessary. This is beneficial in the production of integrated circuits having small geometries, in which patterning is sometimes not feasible. Regions of bare silicon on the substrate may be covered, and protected from further processing, by the polymer while oxide regions on the substrate may be left exposed.
While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (22)

The invention claimed is:
1. A method of deposition, comprising:
selectively depositing a carbon-containing layer on a silicon region of a substrate from carbon-containing ions generated from a carbon-containing gas, wherein the substrate comprises at least one silicon region and at least one oxide region; and
selectively implanting carbon-containing ions into the oxide region of the substrate.
2. The method of claim 1, wherein the carbon-containing gas comprises molecules having 5 carbon atoms or less.
3. The method of claim 1, wherein the carbon-containing gas is CH4, C2H4, C2H6, or C3H8.
4. The method of claim 1, wherein the chamber pressure is maintained at between about 5 mTorr and about 15 mTorr.
5. The method of claim 1, further comprising diluting the carbon-containing gas with a dilution gas.
6. The method of claim 5, wherein the dilution gas is argon (Ar), hydrogen (H2) or helium (He).
7. The method of claim 5, wherein the ratio of carbon-containing gas to dilution gas is between about 1:20 and about 1:0.5.
8. The method of claim 5, wherein the ratio of carbon-containing gas to dilution gas is about 1 to 9.
9. The method of claim 1, further comprising electrically biasing the substrate.
10. The method of claim 9, wherein the electrical bias is increased to increase the depth of implantation of the carbon-containing ions into the oxide layer.
11. A method of deposition, comprising:
selectively depositing a carbon-containing layer on a silicon region of a substrate from carbon-containing ions generated from a carbon-containing gas, wherein the substrate comprises at least one silicon region and at least one oxide region; and
implanting at least a portion of the carbon containing ions into both the silicon region and the oxide region.
12. The method of claim 11, wherein the carbon-containing gas comprises molecules having 5 carbon atoms or less.
13. The method of claim 11, wherein the carbon-containing gas is CH4, C2H4, C2H6, or C3H8.
14. The method of claim 11, wherein the chamber pressure may be maintained at between about 5 mTorr and about 15 mTorr.
15. The method of claim 11, further comprising diluting the carbon-containing gas with a dilution gas.
16. The method of claim 15, wherein the dilution gas is argon (Ar), hydrogen (H2) or helium (He).
17. The method of claim 15, wherein the ratio of carbon-containing gas to dilution gas is between about 1:20 and about 1:0.5.
18. The method of claim 15, wherein the ratio of carbon-containing gas to dilution gas is about 1 to 9.
19. The method of claim 15, further comprising altering an RF source power of the plasma doping process to control the amount of deposition or implantation of carbon, wherein an increase in the RF source power leads to an increase in amount of carbon deposited or implanted.
20. The method of claim 11, further comprising electrically biasing the substrate.
21. The method of claim 20, wherein the electrical biased uses an RF power source.
22. The method of claim 21, wherein the electrical bias is controlled at a level at which an ion dissociated from the process gases is accelerated toward the substrate surface and implanted at a desired depth below the top surface of substrate with a desired ion concentration.
US13/456,524 2011-06-10 2012-04-26 Selective deposition of polymer films on bare silicon instead of oxide surface Expired - Fee Related US8664126B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US13/456,524 US8664126B2 (en) 2011-06-10 2012-04-26 Selective deposition of polymer films on bare silicon instead of oxide surface
JP2014514466A JP5992513B2 (en) 2011-06-10 2012-05-11 Selective deposition of polymer film on bare silicon but not on oxide surface
PCT/US2012/037529 WO2012170150A2 (en) 2011-06-10 2012-05-11 Selective deposition of polymer films on bare silicon instead of oxide surface
KR1020147000506A KR101516648B1 (en) 2011-06-10 2012-05-11 Selective deposition of polymer films on bare silicon instead of oxide surface
CN201280025601.7A CN103620740B (en) 2011-06-10 2012-05-11 The selective deposition of the thin polymer film in bare silicon surfaces but not on oxide surface
TW101117123A TWI510669B (en) 2011-06-10 2012-05-14 Selective deposition of polymer films on bare silicon instead of oxide surface

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161495850P 2011-06-10 2011-06-10
US13/456,524 US8664126B2 (en) 2011-06-10 2012-04-26 Selective deposition of polymer films on bare silicon instead of oxide surface

Publications (2)

Publication Number Publication Date
US20120315740A1 US20120315740A1 (en) 2012-12-13
US8664126B2 true US8664126B2 (en) 2014-03-04

Family

ID=47293537

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/456,524 Expired - Fee Related US8664126B2 (en) 2011-06-10 2012-04-26 Selective deposition of polymer films on bare silicon instead of oxide surface

Country Status (6)

Country Link
US (1) US8664126B2 (en)
JP (1) JP5992513B2 (en)
KR (1) KR101516648B1 (en)
CN (1) CN103620740B (en)
TW (1) TWI510669B (en)
WO (1) WO2012170150A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9780250B2 (en) 2016-01-14 2017-10-03 Varian Semiconductor Equipment Associates, Inc. Self-aligned mask for ion implantation
US11761080B2 (en) 2021-01-05 2023-09-19 Applied Materials, Inc. Method for processing a substrate by oscillating a boundary layer of the flow of one or more process gases over a surface of a substrate and systems for processing a substrate using the method

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140273524A1 (en) * 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
KR102342328B1 (en) * 2014-07-03 2021-12-21 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for selective deposition
US9935004B2 (en) * 2016-01-21 2018-04-03 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US10566242B2 (en) * 2016-12-13 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Minimization of plasma doping induced fin height loss
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP6861535B2 (en) * 2017-02-28 2021-04-21 東京エレクトロン株式会社 Processing method and plasma processing equipment
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US20180350604A1 (en) * 2017-05-30 2018-12-06 Applied Materials, Inc. Selective Deposition And Etching Of Metal Pillars Using AACVD And An Electrical Bias
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR20200118504A (en) 2018-03-02 2020-10-15 램 리써치 코포레이션 Selective deposition using hydrolysis
JP7101551B2 (en) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 Methods and systems for selectively forming target membranes
JP7110034B2 (en) * 2018-08-24 2022-08-01 東京エレクトロン株式会社 Etching method and plasma processing apparatus
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
KR102224128B1 (en) * 2019-08-05 2021-03-09 한양대학교 산학협력단 Deposition method of carbon thin-film
CN113936984A (en) * 2021-09-14 2022-01-14 长江存储科技有限责任公司 Carbon ion generation method, assembly and ion implantation equipment

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020027205A1 (en) 1998-12-01 2002-03-07 Wei Liu Enhanced plasma mode and system for plasma immersion ion implantation
US20020106850A1 (en) 2000-08-28 2002-08-08 Katsuji Iguchi Method of fabricating deep sub-micron CMOS source/drain with MDD and selective CVD silicide
US20060008961A1 (en) 2004-07-12 2006-01-12 Samsung Electronics Co., Ltd. Method of forming MOS transistor having fully silicided metal gate electrode
US20060068553A1 (en) 2004-09-29 2006-03-30 Voon-Yew Thean Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US20070161216A1 (en) 2005-12-22 2007-07-12 Matthias Bauer Epitaxial deposition of doped semiconductor materials
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
US20090081858A1 (en) * 2007-09-26 2009-03-26 Shu Qin Sputtering-Less Ultra-Low Energy Ion Implantation
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US7732269B2 (en) 2006-05-01 2010-06-08 Applied Materials, Inc. Method of ultra-shallow junction formation using Si film alloyed with carbon
US20110124169A1 (en) 2009-08-06 2011-05-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US20120187524A1 (en) * 2011-01-25 2012-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (sti)
US20120309114A1 (en) * 2011-06-01 2012-12-06 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2608957B2 (en) * 1989-06-19 1997-05-14 松下電器産業株式会社 Method for manufacturing substrate for diamond thin film deposition
JP2743514B2 (en) * 1989-09-29 1998-04-22 株式会社島津製作所 Method for producing polycrystalline diamond thin film
JP2722716B2 (en) * 1989-09-29 1998-03-09 株式会社島津製作所 Manufacturing method of single crystal diamond
WO1995027570A1 (en) * 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB2300425A (en) * 1995-05-01 1996-11-06 Kobe Steel Europ Ltd Nucleation of diamond films using an electrode
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7588990B2 (en) * 2006-08-31 2009-09-15 Applied Materials, Inc. Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer
CN102031501B (en) * 2009-09-28 2012-10-24 复旦大学 Method for selectively depositing thin film on substrate by utilizing atomic layer deposition

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020027205A1 (en) 1998-12-01 2002-03-07 Wei Liu Enhanced plasma mode and system for plasma immersion ion implantation
US20020106850A1 (en) 2000-08-28 2002-08-08 Katsuji Iguchi Method of fabricating deep sub-micron CMOS source/drain with MDD and selective CVD silicide
US20060008961A1 (en) 2004-07-12 2006-01-12 Samsung Electronics Co., Ltd. Method of forming MOS transistor having fully silicided metal gate electrode
US20060068553A1 (en) 2004-09-29 2006-03-30 Voon-Yew Thean Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US7811881B2 (en) 2005-10-28 2010-10-12 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars and semiconductor structures formed by these methods
US7465642B2 (en) 2005-10-28 2008-12-16 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars
US20070161216A1 (en) 2005-12-22 2007-07-12 Matthias Bauer Epitaxial deposition of doped semiconductor materials
US7732269B2 (en) 2006-05-01 2010-06-08 Applied Materials, Inc. Method of ultra-shallow junction formation using Si film alloyed with carbon
US20090081858A1 (en) * 2007-09-26 2009-03-26 Shu Qin Sputtering-Less Ultra-Low Energy Ion Implantation
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20110124169A1 (en) 2009-08-06 2011-05-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US20120187524A1 (en) * 2011-01-25 2012-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (sti)
US20120309114A1 (en) * 2011-06-01 2012-12-06 Applied Materials, Inc. Methods for repairing low-k dielectrics using carbon plasma immersion

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
International Search Report for PCT/US2012/037529 dated Feb. 1, 2013.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9780250B2 (en) 2016-01-14 2017-10-03 Varian Semiconductor Equipment Associates, Inc. Self-aligned mask for ion implantation
US11761080B2 (en) 2021-01-05 2023-09-19 Applied Materials, Inc. Method for processing a substrate by oscillating a boundary layer of the flow of one or more process gases over a surface of a substrate and systems for processing a substrate using the method

Also Published As

Publication number Publication date
KR20140037202A (en) 2014-03-26
CN103620740A (en) 2014-03-05
JP5992513B2 (en) 2016-09-14
TW201250050A (en) 2012-12-16
WO2012170150A3 (en) 2013-04-04
CN103620740B (en) 2016-05-04
WO2012170150A2 (en) 2012-12-13
US20120315740A1 (en) 2012-12-13
JP2014524139A (en) 2014-09-18
KR101516648B1 (en) 2015-05-04
TWI510669B (en) 2015-12-01

Similar Documents

Publication Publication Date Title
US8664126B2 (en) Selective deposition of polymer films on bare silicon instead of oxide surface
US9947549B1 (en) Cobalt-containing material removal
US10727059B2 (en) Highly etch selective amorphous carbon film
CN110178206B (en) Iodine-containing compounds for etching semiconductor structures
US9502258B2 (en) Anisotropic gap etch
US9343272B1 (en) Self-aligned process
US9190290B2 (en) Halogen-free gas-phase silicon etch
US10249495B2 (en) Diamond like carbon layer formed by an electron beam plasma process
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20190013211A1 (en) Tantalum-containing material removal
KR20200143254A (en) Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20190095142A (en) Method of post-deposition treatment for silicon oxide film
US7056830B2 (en) Method for plasma etching a dielectric layer
JP2017528923A (en) Method and apparatus for selective deposition
EP3007208A1 (en) Method of processing target object
US9905431B2 (en) Dry etching method
JP3336975B2 (en) Substrate processing method
TW201639000A (en) Selective deposition utilizing masks and directional plasma treatment
US9478437B2 (en) Methods for repairing low-k dielectrics using carbon plasma immersion
JP2023531687A (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US9595467B2 (en) Air gap formation in interconnection structure by implantation process
JPH04137532A (en) Surface processing method and its equipment
US20160068969A1 (en) Integrated processing for microcontamination prevention

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YAO, DAPING;REEL/FRAME:028163/0981

Effective date: 20120503

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20220304