KR20190119158A - 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장 - Google Patents

실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장 Download PDF

Info

Publication number
KR20190119158A
KR20190119158A KR1020197029568A KR20197029568A KR20190119158A KR 20190119158 A KR20190119158 A KR 20190119158A KR 1020197029568 A KR1020197029568 A KR 1020197029568A KR 20197029568 A KR20197029568 A KR 20197029568A KR 20190119158 A KR20190119158 A KR 20190119158A
Authority
KR
South Korea
Prior art keywords
silicon
gas
causing
substrate
introduction
Prior art date
Application number
KR1020197029568A
Other languages
English (en)
Other versions
KR102491771B1 (ko
Inventor
데이비드 찰스 스미스
데니스 엠. 하우스만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190119158A publication Critical patent/KR20190119158A/ko
Application granted granted Critical
Publication of KR102491771B1 publication Critical patent/KR102491771B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

실리콘 옥사이드 재료 또는 실리콘 나이트라이드 재료에 선택적인 실리콘 또는 금속 표면들 상에 실리콘-함유 유전체 또는 금속-함유 유전체 재료를 선택적으로 증착하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 방법들은 실리콘 옥사이드 재료 또는 실리콘 나이트라이드 재료 상의 증착을 차단하는 케톤 구조를 형성하기 위해 증착이 목표되지 않는 실리콘 옥사이드 재료 또는 실리콘 나이트라이드 재료와 반응성인 아실 클로라이드에 기판을 노출하는 단계를 수반한다. 아실 클로라이드로의 노출은 목표된 실리콘-함유 유전체 재료 또는 금속-함유 유전체 재료의 증착 전에 수행된다.

Description

실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
관련 출원들에 대한 교차 참조
본 출원은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된 2017년 3월 10일 출원된, 명칭이 "SELECTIVE GROWTH OF SILICON OXIDE OR SILICON NITRIDE ON SILICON SURFACES IN PRESENCE OF SILICON OXIDE"인 미국 특허 출원번호 제 15/456,301 호의 이점을 주장한다.
반도체 디바이스 제조는 실리콘 나이트라이드 막 및 실리콘 옥사이드 막의 증착을 수반할 수도 있다. 이러한 막들은 다양한 적용예들에서 사용된다. 예를 들어, 실리콘 나이트라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 봉지층들 (encapsulation layers), 트랜지스터들 내 변형된 막들, 기타 등등에 사용될 수도 있다. 예를 들어, 실리콘 옥사이드 막들은 자가 정렬된 더블 패터닝, 및/또는 쿼드 패터닝 또는 다른 제조 프로세스들에 사용될 수도 있다. 실리콘 옥사이드 막 및 실리콘 나이트라이드 막을 증착하기 위한 종래의 기법들은 기판 상의 다른 실리콘-함유 재료에 대해 선택적이지 않다.
기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 기판의 노출된 제 1 표면 상에 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법을 수반하고, 방법은 노출된 제 1 표면, 및 노출된 제 2 표면을 갖는 기판을 제공하는 단계로서, 노출된 제 1 표면은 임의의 폴리실리콘, 비정질 실리콘, 금속들, 및 단일 2차 아민 말단기들을 갖는 실리콘 나이트라이드와 같은 재료를 갖고, 그리고 노출된 제 2 표면은 하이드록실들 및 1차 아민과 같은 표면 말단기들을 갖는 실리콘-함유 재료를 포함하는, 기판을 제공하는 단계; 실리콘-함유 유전체 재료를 증착하기 전에, 노출된 제 2 표면 상에 차단기들을 형성하기 위해 노출된 제 2 표면과 선택적으로 반응성인 아실 클로라이드에 기판을 노출하는 단계로서, 아실 클로라이드는
Figure pct00001
의 화학 구조를 갖고, 여기서 R1 은 수소 또는 알킬기인, 기판을 아실 클로라이드에 노출하는 단계; 및 노출된 제 1 표면 상에 실리콘-함유 유전체 재료를 선택적으로 증착하도록 열적 ALD (atomic layer deposition) 의 1 이상의 사이클들을 수행하는 단계를 포함하고, 사이클 각각은, 기판의 노출된 제 1 표면 상에 흡착하도록 선택된 실리콘-함유 전구체에 기판을 노출하는 단계, 및 기판의 노출된 제 1 표면 상에 실리콘-함유 유전체 재료 선택적으로 형성하도록 제 2 반응물질에 기판을 노출하는 단계를 포함한다.
다양한 실시예들에서, 실리콘-함유 유전체 재료는 실리콘 나이트라이드이다. 예를 들어, 제 2 반응물질은 암모니아 또는 다음의 화학 구조를 갖는 하이드라진 중 어느 하나일 수도 있고,
Figure pct00002
여기서 R2, R3, R4, 및 R5 은 각각 수소 또는 알킬기이다. 일부 실시예들에서, 실리콘-함유 전구체는 실리콘 할라이드 또는 아미노실란이다. 예시적인 실리콘 할라이드들은 실리콘 클로라이드, 실리콘 브로마이드, 및 실리콘 아이오다이드를 포함한다. 예를 들어, 일부 실시예들에서, 실리콘-함유 전구체는 실리콘 테트라클로라이드이다. 일부 실시예들에서, 실리콘-함유 전구체는 실리콘 테트라클로라이드이다. 일부 실시예들에서, 실리콘-함유 전구체는 실리콘 테트라브로마이드이다. 일부 실시예들에서, 실리콘-함유 전구체는 실리콘 테트라아이오다이드이다.
일부 실시예들에서, 실리콘-함유 전구체는 다음 화학 구조를 갖는 아미노실란이고,
Figure pct00003
여기서 x는 1 내지 3 이내의 정수이고, x + y = 4이고, R1 및 R2 는 각각 수소 또는 알킬 리간드이다.
다양한 실시예들에서, 실리콘-함유 유전체 재료는 실리콘 옥사이드이다. 예를 들어, 제 2 반응물질은 약 산화제일 수도 있다. 일부 실시예들에서, 제 2 반응물질은 물, 과산화수소, 및 오존 중 어느 하나이다.
다양한 실시예들에서, 아실 클로라이드는 아세틸 클로라이드이다.
다양한 실시예들에서, 방법은 또한, 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계; 및 처리되지 않은 실리콘 나이트라이드 표면을 암모니아와 수소 가스의 혼합물에 노출하고 1차 아민기들을 포함하는 노출된 제 2 표면을 형성하도록 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 포함한다. 일부 실시예들에서, 암모니아와 수소의 혼합물의 암모니아의 양은 체적으로 약 1 % 미만이다.
다양한 실시예들에서, 방법은 또한, 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계 및 처리되지 않은 실리콘 나이트라이드 표면을 질소 및 수소 가스의 혼합물에 노출하고 1차 아민기들을 포함하는 노출된 제 1 제 2를 형성하도록 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 포함한다. 일부 실시예들에서, 질소와 수소의 혼합물의 질소의 양은 체적으로 약 1 % 미만이다.
일부 실시예들에서, 실리콘 나이트라이드의 표면 종단된 1차 아민기들을 포함하는 노출된 제 2 표면은 약 500 ℃보다 높은 증착 온도에서 CVD (chemical vapor deposition) 에 의해 증착된다. 다양한 실시예들에서, 열적 ALD (atomic layer deposition) 의 사이클 각각은 또한 기판을 실리콘-함유 전구체에 노출하는 단계와 기판을 제 2 반응물질에 노출하는 단계 사이에 기판을 하우징하는 챔버를 퍼지하는 단계를 포함한다. 다양한 실시예들에서, 열적 ALD (atomic layer deposition) 의 사이클 각각은 또한 기판을 실리콘-함유 전구체에 노출하는 단계 후에 기판을 하우징하는 챔버를 퍼징하는 단계 및 기판을 제 2 반응물질에 노출하는 단계 후에 챔버를 퍼징하는 단계를 포함한다. 다양한 실시예들에서, 퍼징은 불활성 가스를 기판을 하우징하는 챔버로 전달함으로써 수행된다. 불활성 가스는 헬륨, 아르곤, 네온, 및 이들의 조합들 중 어느 하나일 수도 있다.
또 다른 양태는 기판의 노출된 제 1 표면 상에 금속-함유 유전체 재료를 선택적으로 증착하기 위한 방법을 수반하고, 이 방법은 노출된 제 1 표면, 및 노출된 제 2 표면을 갖는 기판을 제공하는 단계로서, 노출된 제 1 표면은 폴리실리콘, 비정질 실리콘, 금속들, 및 단일 2차 아민 말단기들을 갖는 실리콘 나이트라이드로 구성된 그룹으로부터 선택된 재료를 갖고, 그리고 노출된 제 2 표면은 하이드록실 및 1차 아민으로 구성된 그룹으로부터 선택된 표면 말단기들을 갖는 실리콘-함유 재료를 포함하는, 기판 제공 단계; 금속-함유 유전체 재료를 증착하기 전에, 노출된 제 2 표면 상에 차단기들을 형성하도록 노출된 제 2 표면에 선택적으로 반응성인 아실 클로라이드에 기판을 노출하는 단계로서, 아실 클로라이드는 다음의 화학 구조를 갖고,
Figure pct00004
여기서 R1 은 수소 또는 알킬기인, 아실 클로라이드에 기판을 노출하는 단계; 및 노출된 제 1 표면 상에 금속-함유 유전체 재료를 선택적으로 증착하기 위해 열적 ALD (atomic layer deposition) 의 1 이상의 사이클들을 수행하는 단계를 포함한다.
다양한 실시예들에서, 금속-함유 유전체 재료는 지르코늄 옥사이드 (ZrO2), 티타늄 옥사이드 (TiO2), 주석 옥사이드 (SnO2), 하프늄 옥사이드 (HfO2), 및 티타늄 나이트라이드 (TiN) 중 임의의 하나이다.
다양한 실시예들에서, 아실 클로라이드는 아세틸 클로라이드이다.
방법은 또한 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계; 및 처리되지 않은 실리콘 나이트라이드 표면을 암모니아와 수소의 혼합물 가스에 노출하는 단계 및 1차 아민기들을 포함하는 노출된 제 2 표면을 형성하도록 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 포함할 수도 있다. 일부 실시예들에서, 암모니아와 수소의 혼합물 내 암모니아의 양은 체적으로 약 1 % 미만이다.
방법은 또한 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계 및 처리되지 않은 실리콘 나이트라이드 표면을 질소 및 수소 가스의 혼합물에 노출하는 단계 및 1차 아민기들을 포함하는 노출된 제 1 제 2를 형성하도록 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 포함할 수도 있다. 일부 실시예들에서, 질소와 수소의 혼합물 내 질소의 양은 체적으로 약 1 % 미만이다.
다양한 실시예들에서, 실리콘 나이트라이드의 표면 종단된 1차 아민기들을 포함하는 노출된 제 2 표면은 약 500 ℃보다 높은 증착 온도에서 CVD (chemical vapor deposition) 에 의해 증착된다. 다양한 실시예들에서, 열적 ALD (atomic layer deposition) 의 사이클 각각은 금속-함유 전구체에 기판을 노출하는 단계와 제 2 반응물질에 기판을 노출하는 단계 사이에 기판을 하우징하는 챔버를 퍼징하는 단계를 또한 포함한다. 다양한 실시예들에서, 열적 ALD (atomic layer deposition) 의 사이클 각각은 또한 금속-함유 전구체에 기판을 노출하는 단계 후에 기판을 하우징하는 챔버를 퍼징하는 단계 및 제 2 반응물질에 기판을 노출하는 단계 후에 챔버를 퍼징하는 단계를 포함한다. 다양한 실시예들에서, 퍼징은 불활성 가스를 기판을 하우징하는 챔버로 전달함으로써 수행된다. 불활성 가스는 헬륨, 아르곤, 네온, 및 이들의 조합들 중 어느 하나일 수도 있다.
다양한 실시예들에서, 제 2 반응물질은 산화제이다. 다양한 실시예들에서, 제 2 반응물질은 암모니아, 질소, 또는 하이드라진과 같은 질소-함유 가스이다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출구; 하나 이상의 아실 클로라이드 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 하나 이상의 실리콘-함유 전구체 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 하나 이상의 제 2 반응물질 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 및 장치의 동작들을 제어하기 위한 제어기를 포함하고, 제어기는 실리콘-함유 전구체 가스 또는 제 2 반응물질 가스의 도입 전에, 적어도 하나의 프로세스 챔버에 하우징된 기판에 아실 클로라이드의 도입하기 위한 머신-판독가능 인스트럭션; 및 실리콘-함유 유전체 막을 형성하기 위해 시간상 분리된 펄스들로 실리콘-함유 전구체 가스 및 제 2 반응물질 가스의 도입하기 위한 머신-판독가능 인스트럭션을 포함하고, 실리콘-함유 전구체 가스의 펄스 및 제 2 반응물질 가스의 펄스는 일 열적 ALD (atomic layer deposition) 사이클을 구성한다.
다양한 실시예들에서, 실리콘-함유 전구체 가스 소스는 실리콘 할라이드 가스들 및 아미노실란 가스들 중 임의의 하나를 포함한다. 예를 들어, 일부 실시예들에서, 실리콘-함유 전구체 가스는 실리콘 클로라이드, 또는 실리콘 브로마이드, 또는 실리콘 아이오다이드, 또는 이들의 조합들이다. 다양한 실시예들에서, 제 2 반응물질 가스 소스는 약 산화제 가스를 포함한다. 다양한 실시예들에서, 제 2 반응물질 가스 소스는 수증기, 과산화수소 가스, 오존 가스, 또는 이들의 조합들을 포함한다. 다양한 실시예들에서, 제 2 반응물질 가스 소스는 질소, 암모니아, 하이드라진, 또는 이들의 조합들과 같은 질소-함유 가스이다. 다양한 실시예들에서, 하나 이상의 아실 클로라이드 가스 소스들은 아세틸 클로라이드 가스 소스를 포함하고 기판에 도입된 아실 클로라이드는 아세틸 클로라이드이다.
다양한 실시예들에서, 제어기는 또한 실리콘-함유 전구체 가스와 제 2 반응물질 가스의 시간상 분리된 펄스들 사이에 적어도 하나의 프로세스 챔버를 퍼징하기 위한 인스트럭션들을 포함한다. 일부 실시예들에서, 장치는 또한 하나 이상의 불활성 가스 소스들에 커플링된 하나 이상의 가스 유입구들을 포함하고, 퍼징하기 위한 인스트럭션들은 하나 이상의 불활성 가스 소스들로부터 기판을 하우징하는 적어도 하나의 프로세스 챔버로 불활성 가스를 흘리기 위한 인스트럭션들을 포함한다.
또 다른 양태는 또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출구; 하나 이상의 아실 클로라이드 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 하나 이상의 실리콘-함유 전구체 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 하나 이상의 제 2 반응물질 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 및 장치의 동작들을 제어하기 위한 제어기를 포함하고, 제어기는 금속-함유 전구체 가스 또는 제 2 반응물질 가스의 도입 전에, 적어도 하나의 프로세스 챔버에 하우징된 기판에 아실 클로라이드의 도입하기 위한 머신-판독가능 인스트럭션; 및 금속-함유 유전체 막을 형성하기 위해 시간상 분리된 펄스들로 금속-함유 전구체 가스 및 제 2 반응물질 가스의 도입하기 위한 머신-판독가능 인스트럭션을 포함하고, 금속-함유 전구체 가스의 펄스 및 제 2 반응물질 가스의 펄스는 일 열적 ALD (atomic layer deposition) 사이클을 구성한다.
다양한 실시예들에서, 제 2 반응물질 가스 소스는 약 산화제 가스를 포함한다. 다양한 실시예들에서, 제 2 반응물질 가스 소스는 수증기, 과산화수소 가스, 오존 가스, 또는 이들의 조합들을 포함한다. 다양한 실시예들에서, 제 2 반응물질 가스 소스는 질소, 암모니아, 하이드라진, 또는 이들의 조합들과 같은 질소-함유 가스이다. 다양한 실시예들에서, 하나 이상의 아실 클로라이드 가스 소스들은 아세틸 클로라이드 가스 소스를 포함하고 기판에 도입된 아실 클로라이드는 아세틸 클로라이드이다.
다양한 실시예들에서, 제어기는 또한 금속-함유 전구체 가스와 제 2 반응물질 가스의 시간상 분리된 펄스들 사이에 적어도 하나의 프로세스 챔버를 퍼징하기 위한 인스트럭션들을 포함한다. 일부 실시예들에서, 장치는 또한 하나 이상의 불활성 가스 소스들에 커플링된 하나 이상의 가스 유입구들을 포함하고, 퍼징하기 위한 인스트럭션들은 하나 이상의 불활성 가스 소스들로부터 기판을 하우징하는 적어도 하나의 프로세스 챔버로 불활성 가스를 흘리기 위한 인스트럭션들을 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 특정한 개시된 실시예들에 따른, 방법을 위한 동작들을 도시하는 프로세스 흐름도이다.
도 2 내지 도 9는 특정한 개시된 실시예들에 따라 기술된 동작들을 겪는 기판들의 개략적인 예시들이다.
도 10은 특정한 개시된 실시예들에 따른, 방법에서 수행된 사이클들의 예들을 도시하는 타이밍 시퀀스도이다.
도 11는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 12는 특정한 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
이하의 기술 (description) 에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 진술된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되었지만, 개시된 실시예들로 제한하도록 의도되지 않았다는 것이 이해될 것이다.
반도체 제조 프로세스들은 실리콘 옥사이드, 실리콘 나이트라이드, 금속 옥사이드, 및 금속 나이트라이드 재료들의 증착을 수반한다. 일 예에서, 실리콘 옥사이드는 스페이서들로서 사용될 수도 있고 및 더블 패터닝, 쿼드 패터닝, 및 다른 복수의 패터닝 제조 기법들. 또 다른 예에서, 실리콘 나이트라이드가 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 봉지층들로서 반도체 디바이스 제조시 사용될 수도 있다. 컨포멀한 (conformal) 실리콘 나이트라이드 층들이 또한 메모리 구조체들의 제조 동안과 같이 다른 적용예들에서 사용될 수도 있다. 실리콘 옥사이드 층 및 실리콘 나이트라이드 층을 증착하기 위한 종래의 ALD (atomic layer deposition), PEALD (plasma-enhanced ALD (atomic layer deposition)), CVD (chemical vapor deposition), 및 PECVD (plasma-enhanced CVD (chemical vapor deposition)) 기법들은 일반적으로 실리콘 옥사이드 재료 또는 실리콘 나이트라이드 재료가 증착되는 재료에 선택적이지 않다. 금속에 비해 옥사이드 재료들 상에 금속 옥사이드를 선택적으로 증착하고, 옥사이드에 비해 금속 재료들 상에 금속 옥사이드를 선택적으로 증착하기 위한 일부 기법들이 존재하지만, 이러한 기법들은 다른 유전체 재료에 비해 선택적으로 유전체 재료를 효과적으로 증착하기 불충분하다.
실리콘 옥사이드 또는 실리콘 나이트라이드 표면에 비해 반도체 기판의 제 1 노출된 표면 상에 실리콘-함유 유전체 재료 또는 금속-함유 유전체 재료를 선택적으로 증착하기 위한 방법들이 본 명세서에 제공된다. 방법들은 노출된 실리콘 옥사이드 표면 또는 실리콘 나이트라이드 표면을 선택적으로 차단하기 위해 재료의 증착 동안 아실 클로라이드를 사용하는 것을 포함한다. 특정한 개시된 실시예들을 사용하여 증착될 수도 있는 재료들은 실리콘 옥사이드 및 실리콘 나이트라이드와 같은 실리콘-함유 유전체 재료들, 및 금속 옥사이드들 및 나이트라이드들과 같은 금속-함유 유전체 재료들을 포함한다. 예시적인 금속-함유 유전체 재료들은 지르코늄 옥사이드 (ZrO2), 티타늄 옥사이드 (예를 들어, TiO2), 주석 옥사이드 (예를 들어, SnO2), 하프늄 옥사이드 (HfO2), 및 티타늄 나이트라이드 (TiN) 를 포함한다. 실리콘 옥사이드, 실리콘 나이트라이드, 또는 금속-함유 유전체 재료는 실리콘 표면, 예컨대 Si-H 종단된 표면을 갖는 폴리실리콘; 표면 상에 2차 아민들을 갖는 실리콘 나이트라이드 표면(예를 들어, Si-NH 종단된 표면); 또는 금속-함유 유전체 표면 상에 선택적으로 증착된다. 실리콘 옥사이드, 실리콘 나이트라이드, 또는 금속-함유 유전체 재료는 노출된 실리콘 옥사이드 표면 또는 노출된 실리콘 나이트라이드 표면의 차단된 영역들을 손상시키거나 제거하지 않도록 선택된 증착 전구체들, 증착 기법들, 및 증착 프로세스 조건들을 사용하여 증착된다. 차단된 실리콘 옥사이드 표면 또는 차단된 실리콘 나이트라이드 표면은 알데하이드 및/또는 케톤이 실리콘 옥사이드, 실리콘 나이트라이드, 또는 금속-함유 유전체 재료를 선택적으로 증착하기 위해, 증착 전구체들과 반응성을 거의 갖지 않거나 전혀 갖지 않도록 알데하이드들 및/또는 케톤들과 같은 하나 이상의 차단기들을 형성하도록 아실 클로라이드와 하이드록실-종단된 실리콘 옥사이드 표면 또는 1차 아민-종단된 (Si-NH2) 실리콘 나이트라이드 표면을 반응시킴으로써 형성된다.
본 명세서에 기술된 기법들은 열적 ALD (atomic layer deposition) 를 수반한다. 즉, 다양한 실시예들에서, 실리콘 옥사이드를 형성하기 위해 실리콘-함유 전구체와 약 산화제 사이의 반응이 플라즈마를 점화시키지 않고 수행된다. 부가적으로, 다양한 실시예들에서, 실리콘 나이트라이드를 형성하기 위해 실리콘-함유 전구체와 하이드라진 간의 반응이 플라즈마를 점화시키지 않고 수행된다. ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 적어도 하나의 반응물질을 기판 표면으로 전달하고 흡착시키는 동작, 이어서 막의 부분적인 층을 형성하기 위해 흡착된 반응물질과 하나 이상의 반응물질들을 반응시키는 동작을 포함한다. 또 다른 예로서, 실리콘 옥사이드 증착 사이클은 다음 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘-함유 전구체의 퍼징, (iii) 약 산화제 가스의 전달, 및 (iv) 챔버로부터 약 산화제 가스의 퍼징을 포함할 수도 있다. 일 예로서, 실리콘 나이트라이드 증착 사이클은 다음 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘-함유 전구체의 퍼징, (iii) 하이드라진 가스의 전달, 및 (iv) 챔버로부터 하이드라진 가스의 퍼징을 포함할 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층-단위 기준으로 (layer-by-layer basis) 막들을 증착하기 위해 표면 매개된 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면이 기판을 하우징하는 챔버로 제공된 도즈 (dose) 에 실리콘-함유 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 제 1 전구체의 화학흡착된 종 및/또는 물리흡착된 분자들을 포함하는 기판 표면 상에 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물 뿐만 아니라 이 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체 뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후, 이어서 챔버는 흡착된 종만 또는 흡착된 종 대부분이 남도록, 가스 상으로 남아 있는 제 1 전구체의 전부 또는 대부분을 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 반응을 완화시키기 위해 가스 상의 제 1 전구체의 분압이 충분히 낮도록 배기될 수도 있다. 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 제 2 반응물질, 예컨대 하이드라진 또는 약 산화제가 챔버로 도입된다. 일부 프로세스들에서, 제 2 반응물질은 흡착된 제 1 전구체와 즉시 반응한다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하도록 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하도록 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키도록 전구체가 기판에 콘택트하기 전에 종료된다. 통상적으로, 전구체 흐름은 이 시점에 턴오프되거나 방향전환되고 (diverted), 퍼지 가스만이 흐른다. 이 반-포화 레짐 (sub saturation regime) 에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 상승시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용되는, 2013년 10월 23일 출원된, 명칭이 "ALD (ATOMIC LAYER DEPOSITION) AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원번호 제 14/061,587 호 (현재 미국 특허 제 9,355,839 호) 에 제공된다.
일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함할 수도 있다. 그러나, 본 명세서에 기술된 열적 ALD 프로세스들에서, 플라즈마는 점화되지 않는다. 본 명세서에 기술된 바와 같이, ALD 방법들 및 장치들은 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된, 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원번호 제 13/084,305 호에 개괄적으로 기술되는, CFD (conformal film deposition) 방법들일 수도 있다.
도 1은 특정한 개시된 실시예들에 따라 수행된 방법의 예시적인 동작들을 도시하는 프로세스 흐르도이다. 동작 102에서, 노출된 제 1 표면 및 노출된 제 2 표면을 갖는 기판이 프로세스 챔버에 제공된다. 프로세스 챔버는 약 10 mTorr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 3 Torr의 챔버 압력으로 설정될 수도 있다. 이러한 챔버 압력들은 본 명세서에 기술된 바와 같이, 전체 동작 102 내지 동작 116에 사용될 수도 있다. 기판은 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 기판 온도로 가열될 수도 있다. 본 명세서에 사용된 바와 같이 기판 온도는 기판을 홀딩하는 페데스탈이 설정되는 온도를 지칭하고, 일부 실시예들에서, 프로세스 챔버에 제공될 때 페데스탈 상의 기판은 기판을 프로세싱하기 전에 목표된 기판 온도로 가열될 수도 있다는 것이 이해될 것이다. 기판 온도는 본 명세서에 기술된 바와 같이 전체 동작 102 내지 동작 116에서 동일할 수도 있다.
기판은 상부에 증착된 유전체, 도전성, 또는 반도전성 재료와 같은, 하나 이상의 층들의 재료를 갖는 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하부 층들의 비제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 실리콘 옥사이드 및 실리콘을 포함한다.
본 명세서에 사용된 바와 같이, 노출된 제 1 표면은 상부에 재료가 선택적으로 증착되는 표면이다. 이 표면은 폴리-실리콘 또는 비정질 실리콘과 같은, 실리콘 재료의 표면과 같은 수소-종단된 실리콘 표면일 수도 있다. 수소-종단된 실리콘 표면이 기판의 표면 상의 실리콘 원자들을 수소화하도록 수소 가스에 기판을 노출함으로써 형성될 수도 있다. 또 다른 실시예에서, 제 1 표면은 실리콘 나이트라이드 재료의 표면과 같은, 1차 아민 종단된 실리콘 표면일 수도 있다. 표면이 1차 아민 종단된 표면을 가질 수도 있지만, 실리콘 나이트라이드 재료의 2차 아민 종단된 표면들은 아실 클로라이드들에 반응성이기 때문에 재료를 증착하기 위한 적합한 노출된 제 1 표면이 아니라는 것을 주의한다. 다른 실시예들에서, 노출된 제 1 표면은 티타늄 표면, 니켈 표면, 또는 구리 표면과 같은, 금속 표면이다. 노출된 제 1 표면은 아실 클로라이드와 매우 반응성인 재료 또는 말단기들을 포함하지 않는다.
본 명세서에 사용된 바와 같이, 노출된 제 2 표면은 재료가 상부에 증착되지 않는 표면이다. 즉, 재료는 노출된 제 2 표면에 비해 노출된 제 1 표면 상에 증착된다. 본 명세서에 기술된 실시예들은 노출된 제 2 표면에 비해 노출된 제 1 표면 상에 실리콘-함유 유전체 재료 또는 금속-함유 유전체 재료를 증착하기 적합하다. 노출된 제 2 표면은 실리콘 옥사이드 또는 실리콘 나이트라이드일 수도 있다.
도 2 및 도 5는 도 1의 동작 102 동안 프로세스 챔버로 제공될 수도 있는 예시적인 기판들을 도시한다.
도 2는 일부 실리콘 원자들이 수소화되는 한편 다른 원자들은 수소화되지 않는, 노출된 실리콘 표면들 (202) 을 갖는 기판 (200) 을 도시한다. 기판 (200) 은 또한 일부 산소 본딩된 기들에 일부 하이드록실-종단된 기들을 갖는 노출된 실리콘 옥사이드 (203) 를 포함한다. 도 2의 실리콘 표면들 (202) 은 도 1의 동작 102에 대해 기술된 바와 같이 반도체 기판의 노출된 제 1 표면의 일 예이다. 하이드록실-종단된 기들을 갖는 실리콘 옥사이드 (203) 는 도 1의 동작 102에 대해 기술된 바와 같이 반도체 기판의 노출된 제 2 표면의 일 예이다. 일부 실시예들에서, 실리콘 옥사이드 표면 (203) 은 하이드록실-종단된 기들만을 또는 대부분 포함할 수도 있다.
도 5는 일부 실리콘 원자들이 수소화되는 한편 다른 원자들은 수소화되지 않는 노출된 실리콘 표면들 (502) 을 갖는 기판 (500) 을 도시한다. 기판 (500) 은 또한 2차 아민 다이머 종단된 기들 (Si-NH) 을 갖는 노출된 실리콘 나이트라이드 (305) 를 포함한다. 도 5의 실리콘 표면들 (502) 은 도 1의 동작 102에 대해 기술된 바와 같이 반도체 기판의 노출된 제 1 표면들의 예들이다. 2차 아민 다이머 (dimer) 종단된 기들을 갖는 실리콘 나이트라이드 (305) 는 도 1의 동작 102에 대해 기술된 바와 같이 반도체 기판의 노출된 제 2 표면의 예이다. 실리콘 나이트라이드 (305) 는 표면 상에 Si-NH 다이머들을 포함하여, 질소 원자들이 인접한 질소 원자에 본딩된다. 이러한 실리콘 나이트라이드 재료 500 ℃ 미만과 같이, 저온에서, CVD (chemical vapor deposition) 를 사용하여 증착된다면 표면이 형성될 수도 있다. 그러나, 이러한 표면들은 아실 클로라이드들과의 반응에 민감하지 않을 수도 있고 선택적인 증착을 허용하기 위해 증착으로부터 효과적으로 차단되지 않을 것이다.
따라서, 동작 104에서, 기판은 실리콘 나이트라이드 표면의 표면 상의 2차 아민 다이머 기들을 1차 아민기들로 변환하도록 선택가능하게 전처리된다. 이 동작은 실리콘 나이트라이드 표면이 Si-NH 다이머들을 포함하면, 선택가능적이지 않다. Si-NH 다이머들을 갖는 실리콘 나이트라이드 표면은 질소-함유 가스 및 수소-함유 가스 및/또는 플라즈마에 기판을 노출함으로써 전처리될 수도 있다. 예를 들어, 일부 실시예들에서, 기판이 혼합물 내 암모니아 가스의 체적이 약 1 % 미만인, 암모니아 가스와 수소 가스의 혼합물에 노출된다. 일부 실시예들에서, 기판은 혼합물 내 질소 가스의 체적이 약 1 % 미만인, 질소 가스와 수소 가스의 혼합물에 노출된다. 일부 실시예들에서, 전처리는 질소-함유 가스 및 수소-함유 가스를 흘리고 플라즈마를 점화함으로써 수행된다.
도 6에 도시된 바와 같이, 기판이 전처리될 때, 실리콘 나이트라이드 표면 (305) 상의 Si-NH 다이머들은 아실 클로라이드들과의 반응에 민감한 단일 -NHx 기들을 포함하는 1차 아민기들 (실리콘 나이트라이드 표면 (315) 상에 도시됨) 로 변환된다. 본 명세서에 지칭된 바와 같이 1차 아민기들은 질소가 실리콘 및 수소에 본딩되고 질소 원자는 또 다른 질소 원자에 결합되지 않는 원자들의 기들로 규정된다. 실리콘 나이트라이드 기판의 표면 상의 1차 아민기는 구조 Si-NH2를 가질 수도 있다. 이러한 기들은 아실 클로라이드들과의 반응에 민감하다. 후속하는 동작들에서 실리콘 옥사이드, 실리콘 나이트라이드, 또는 금속-함유 유전체 재료의 실리콘 나이트라이드 표면에 증착을 차단하는, 실리콘 나이트라이드 표면 (315) 으로 하여금 아실 클로라이드들과 반응하게 하여, 노출된 제 1 표면 상에서 선택적인 증착을 허용한다.
도 1을 다시 참조하면, 동작 106에서, 기판의 노출된 제 2 표면을 차단하도록 아실 클로라이드에 기판이 노출된다. 이 노출은 기판의 노출된 제 2 표면 상에 케톤-종단된 표면 또는 알데하이드-종단된 표면을 형성할 수도 있어서, 후속하는 막 증착으로부터 제 2 표면을 차단한다.
아실 클로라이드는:
Figure pct00005
의 화학 구조를 가질 수도 있고, 여기서 R1 은 수소 또는 알킬기이다. 일부 실시예들에서, 아실 클로라이드가 다음 화학 구조를 갖는 아세틸 클로라이드이도록 R1 은 메틸기이다:
Figure pct00006
아실 클로라이드는 반도체 기판 상의 하이드록실-종단된 실리콘 표면들과 반응성이고, 일부 실시예들에서, 도 4에 도시된 바와 같이, 수소-종단된 실리콘 표면일 수도 있는, 노출된 제 1 표면과 반응성이 거의 없거나 전혀 없다. 도 4에 도시된 바와 같이, 인접한 수소-종단된 실리콘 표면들 (202) 이 반응하지 않고 남아 있는 동안, 일반 알킬기 R을 갖는 아실 클로라이드는 본 명세서에 도시된 바와 같이 케톤 기들인 차단기들 (223) 을 형성하도록 도 3의 하이드록실-종단된 표면 (213) 과 반응한다.
도 5 내지 도 7에 제공된 대안적인 실시예에서, 차단될 표면은 실리콘 나이트라이드 표면이고, 실리콘 표면들 (502) 을 수소화하고 기판을 암모니아 및/또는 질소 플라즈마에 노출한 후, 도 6에 도시된 바와 같이 기판 (500) 은 1차 아민-종단된 (-NH2) 실리콘 표면 (315), 및 수소-종단된 실리콘 표면들 (502) 을 포함한다. 도 7에서, 인접한 수소-종단된 실리콘 표면들 (502) 이 반응하지 않고 남아 있는 동안, 아실 클로라이드가 차단기들 (325) 을 형성하기 위해 1차 아민-종단된 실리콘 나이트라이드 표면 (315) 과 반응하도록 도 6의 기판 (500) 은 아실 클로라이드에 노출된다. 차단기들 (325) 아래의 하부 재료는 실리콘 나이트라이드인 한편, 도 7의 표면 상의 구조체는 하부 재료가 실리콘 옥사이드인, 도 4의 구조와 유사하다.
아실 클로라이드는, 아실 클로라이드가 차단될 노출된 제 1 표면과 반응성이 되고 상부에 재료가 증착될 표면 (노출된 제 2 표면) 과 비반응성이거나 실질적으로 반응성이 작도록 선택된다. 예를 들어, 아실 클로라이드를 수소-종단된 실리콘 표면과 반응시키기 위한 활성화 에너지는 아실 클로라이드를 1차 아민-종단된 표면 또는 하이드록실-종단된 실리콘 나이트라이드 표면 또는 옥사이드 표면과 각각 반응하도록 사용된 활성화 에너지보다 실질적으로 낮을 수도 있다. 따라서, 도 1의 동작 106은 후속하는 증착을 위해 노출된 다른 표면들을 남기면서, 상부에 증착이 목표되지 않는 표면을 선택적으로 차단한다. 동작 106은 기판 상에 실리콘 옥사이드 또는 실리콘 나이트라이드를 선택적으로 증착하기 전에 수행된다.
일부 실시예들에서, 캐리어 가스가 동작 106 동안 흐를 수도 있다. 캐리어 가스는 아르곤, 수소, 헬륨, 네온, 및 이들의 조합들과 같은 불활성 가스일 수도 있다. 캐리어 가스는 캐리어 가스가 암모니아 및/또는 질소 가스를 프로세스 챔버로 전달하기 위해 사용되도록 방향전환될 수도 있다. 일부 실시예들에서, 캐리어 가스는 프로세스 챔버의 압력 및/또는 온도 제어를 보조하도록 제공될 수도 있다. 일부 실시예들에서, 캐리어 가스는 프로세스 챔버로 가스의 보다 신속한 전달을 보장하도록 사용된다. 다양한 실시예들에서, 동작 106은 실리콘-함유 유전체 또는 금속-함유 유전체 재료를 기판 상에 증착하기 전에 1회 수행된다.
동작 108에서, 노출된 제 1 표면 상에 흡착하도록 기판이 실리콘-함유 전구체에 노출된다. 동작들 (108, 110, 111, 및 114) 은 1 ALD (atomic layer deposition) 사이클을 구성할 수도 있다. 유사하게, 동작들 (108, 110, 112, 및 114) 은 또한 1 ALD (atomic layer deposition) 사이클을 구성할 수도 있다. 본 명세서에 제공된 예들이 노출된 제 1 표면 상의 실리콘 옥사이드 또는 실리콘 나이트라이드와 같은 실리콘-함유 막의 증착을 수반하지만, 차단된 제 2 표면이 증착 조건들 또는 전구체들에 의해 손상되거나 악화되지 않도록 하는 증착 조건들 및 전구체들인 한 금속-함유 유전체 재료들과 같은 다른 재료들이 또한 노출된 제 1 표면 상에 증착될 수도 있다는 것이 이해될 것이다.
동작 108 동안 사용된 실리콘-함유 전구체는 일부 실시예들에서 아미노실란일 수도 있다. 본 명세서에 참조된 아미노실란들은 비스(터트부틸)아미노실란과 같은 아미노실란들 및 트리실릴아민과 같은 실릴아민들을 포함한다. 일부 실시예들에서, 아미노실란 분자들은 실리콘 및 실리콘 나이트라이드 또는 옥사이드 표면들 모두 상에 흡착될 수도 있지만, 동작 110에 대해 이하에 기술된 바와 같이, 실리콘 옥사이드는 (실리콘 나이트라이드 표면과 같은) 노출된 제 2 표면 상이 아니라 (실리콘 표면과 같은) 노출된 제 1 표면 상에 선택적으로 형성된다. 다양한 실시예들에서, 동작 108 및 동작 112에 대해 이하에 기술된 바와 같이 후속하는 퍼지 동작들이 노출된 제 2 표면으로부터 흡착된 아미노실란을 제거할 수도 있다.
일부 실시예들에서, 기판의 표면 상의 흡착은 기판의 표면의 대부분 또는 전체 상에 아미노실란의 박층을 형성할 수도 있다. 박층은 모노레이어보다 작을 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다.
동작 108 동안, 불활성 가스가 흐를 수도 있다. 불활성 가스는 동작 106에 대해 상기 기술된 바와 같은, 임의의 불활성 가스일 수도 있다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 증발, 반응물질의 보다 신속한 전달을 보조하도록 제공될 수도 있다.
동작 108에서 사용된 아미노실란은 다음과 같은 화학식을 갖고:
Figure pct00007
여기서 x는 1 내지 3 이내의 정수이고, x + y = 4 이고 R1 및 R2 각각은 수소 또는 알킬 리간드이다. 예를 들어, 일부 실시예들에서, 아미노실란은, 화학 구조:
Figure pct00008
를 갖는 모노아미노실란이고, R1 및 R2 각각은 수소 또는 알킬 리간드이다.
일부 실시예들에서 아미노실란은 모노아미노실란, 디아미노실란, 트리아미노실란, 테트라아미노실란, 및 이들의 조합들 중 어느 하나일 수도 있다. 이들 예들을 위한 화학 구조들은 이하에 제공된다:
Figure pct00009
상기 주지된 바와 같이, R1 및 R2 은 임의의 알킬 리간드일 수도 있다. 일 예에서, 아미노실란은 다음 구조를 갖는 N'N'-디메틸실란디아민 (N'N'-dimethylsilanediamine) 일 수도 있다:
Figure pct00010
다른 실리콘-함유 전구체들은 일부 실시예들에서 사용될 수도 있는, 실리콘 알콕사이드들 및 실리콘 할라이드들을 포함한다. 예시적인 실리콘 할라이드들은 이로 제한되는 것은 아니지만, 실리콘 클로라이드, 실리콘 아이오다이드, 및 실리콘 브로마이드를 포함한다.
동작 110에서, 프로세스 챔버는 기판 표면 상에 흡착하지 않는, 아미노실란을 제거하도록 선택가능하게 퍼징된다. 챔버를 퍼징하는 단계는 다른 동작들에 사용된 캐리어 가스일 수도 있고 또는 다른 가스일 수도 있는, 퍼징 가스 또는 스윕핑 가스를 흘리는 단계를 수반할 수도 있다. 일부 실시예들에서, 퍼징은 챔버를 배기하는 단계를 수반할 수도 있다. 퍼징 가스는 일부 실시예들에서 불활성 가스일 수도 있다. 퍼징 가스는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 작용할 수도 있다. 예시적인 퍼징 가스들은 아르곤, 질소, 수소, 헬륨, 네온, 및 이들의 조합들을 포함한다. 일부 실시예들에서, 동작 110은 프로세스 챔버를 배기하기 위해 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 동작 110은 일부 실시예들에서 생략될 수도 있다는 것이 인식될 것이다. 동작 110은 약 0 초 내지 약 60 초와 같이, 예를 들어 약 0.01 초의 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 퍼징 가스들의 플로우 레이트를 상승시키는 것은 동작 110의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼징 가스 플로우 레이트가 동작 110의 지속기간을 수정하기 위한 다양한 반응물질 열역학 특성들 및/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙의 기하구조 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼징 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 기판 쓰루풋을 개선할 수도 있는 증착 사이클 시간을 감소시킬 수도 있다. 퍼지 후에, 아미노실란 분자들은 기판 표면 상에 흡착된 채 남는다. 일부 실시예들에서, 아미노실란 전구체는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버로 흐른다.
도 1에서, 실리콘 옥사이드가 기판 상에 선택적으로 증착되게 되면, 동작 111 동안, 기판은 노출된 제 1 표면 상에 실리콘 옥사이드를 선택적으로 형성하기 위해 플라즈마를 사용하지 않고 약 산화제에 노출된다. 약 산화제는 약 산화제가 기판의 차단된 제 2 표면과 반응하지 않도록 선택된다. 차단된 제 2 표면의 표면 상의 차단기들 (예를 들어, 케톤-종단된 기들) 을 제거하지 않도록 이 동작 동안 플라즈마는 사용되지 않는다. 약 산화제가 기판에 제공될 때, 흡착된 전구체는 노출된 제 1 표면의 표면 상에 실리콘 옥사이드를 형성하도록 약 산화제와 반응한다. 반대로, 차단된 제 2 표면은 표면 상에 벌키 알킬기를 포함하기 때문에, 동작 106에서 실리콘-함유 전구체가 케톤-종단된 표면 상에 흡착될 필요는 없을 수도 있고 따라서 실리콘 옥사이드는 노출된 제 2 표면 상에 형성되지 않고 선택적인 증착이 달성된다. 예시적인 약 산화제들은 물, 과산화수소, 및 오존을 포함한다. 다양한 실시예들에서, 고도로 환원된 형태의 산화제가 약 산화제로서 이 동작에 사용된다.
도 8은 실리콘 옥사이드 (223) 가 차단된 제 2 표면 (213) 상에 증착되지 않고 실리콘 표면들 (202) 상에 선택적으로 증착되는, 도 4의 기판의 예를 도시한다.
도 1을 다시 참조하면, 실리콘 나이트라이드가 기판 상에 선택적으로 증착되면, 동작 112는 동작 111 대신 수행될 수도 있다. 동작 112 동안, 기판은 노출된 제 1 표면 상에 실리콘 나이트라이드를 선택적으로 형성하도록 플라즈마를 사용하지 않고 암모니아 또는 하이드라진에 노출된다. 동작 112 동안 사용된 하이드라진들은:
Figure pct00011
구조를 갖고, 여기서 R3, R4, R5, 및 R6 은 각각 수소 또는 알킬기들이다. 예를 들어, 하이드라진이 사용될 수도 있고, 하이드라진은 다음 구조를 갖는다:
Figure pct00012
또 다른 예에서, 다음의 구조를 갖는, t-부틸하이드라진이 사용될 수도 있다:
Figure pct00013
또 다른 예에서, 다음의 구조를 갖는, 테트라메틸 하이드라진이 사용될 수도 있다:
Figure pct00014
암모니아 또는 하이드라진은 암모니아 또는 하이드라진이 기판의 차단된 제 2 표면과 반응하지 않도록 선택되다. 플라즈마가 이 동작 동안 차단된 제 2 표면의 케톤-종단된 표면을 제거하지 않도록 사용되지 않는다. 암모니아 또는 하이드라진이 기판으로 제공될 때, 흡착된 전구체는 노출된 제 1 표면의 표면 상에 실리콘 나이트라이드를 형성하도록 암모니아 또는 하이드라진과 반응한다. 반대로, 차단된 제 2 표면이 표면 상의 벌키 알킬기를 포함하기 때문에, 동작 108의 실리콘-함유 전구체는 케톤-종단된 표면 상에 흡착될 필요가 없을 수도 있고, 따라서 실리콘 나이트라이드는 노출된 제 2 표면 상에 형성되지 않고 선택적인 증착이 달성된다. 다양한 실시예들에서, 고도로 환원된 형태의 질소-함유 반응물질이 이 동작 동안 사용된다.
도 9는 실리콘 나이트라이드 (206) 가 차단된 제 2 표면 (213) 상에 증착되지 않고 실리콘 표면들 (202) 상에 선택적으로 증착되는, 도 4로부터의 기판의 일 예를 도시한다.
동작 114에서, 챔버는 모든 잔여 부산물들을 제거하도록 선택가능하게 퍼징된다. 동작 114는 동작 110에 대해 상기 기술된 임의의 조건들을 사용하여 퍼징될 수도 있다.
동작 116에서, 목표된 두께의 막이 증착되었는지 여부가 결정된다. 그렇지 않다면, 동작들 (108, 110, 111, 및 114) 이 목표된 두께의 실리콘 옥사이드 막을 제 1 노출된 표면 상에 선택적으로 증착하도록 충분한 사이클들로 반복된다. 대안적으로, 동작들 (108, 110, 112, 및 114) 은 목표된 두께의 실리콘 나이트라이드 막을 제 1 노출된 표면 상에 선택적으로 증착하도록 충분한 사이클들로 반복된다. 임의의 적합한 수의 증착 사이클들이 목표된 막 두께의 실리콘 옥사이드 또는 실리콘 나이트라이드를 증착하도록 ALD 프로세스에 포함될 수도 있다. 예를 들어, 약 50 증착 사이클들이 개시된 실시예들을 사용하여 기판 상에 막을 증착하도록 수행될 수도 있다.
도 1 및 본 명세서에 제공된 기술이 약 산화제 또는 암모니아/하이드라진 노출 전에 실리콘-함유 전구체로의 노출이 발생하는 것을 기술하지만, 일부 실시예들에서, 약 산화제 또는 암모니아/하이드라진 노출이 실리콘-함유 전구체 노출 전에 수행될 수도 있다는 것이 이해될 것이라는 것을 주의한다. 즉, 일부 실시예들에서, 동작 106 후에, 동작 111 또는 동작 112가 수행될 수도 있고, 동작 114와 같은 퍼지 동작이 이어지고, 이어서 동작 108이 수행될 수도 있고, 퍼지 동작 110이 이어진다. 일부 실시예들에서, 동작 106에 이어서, 동작 108이 수행되고, 동작 110, 및 동작 111 또는 동작 112, 및 동작 114이 이어진다.
도 10은 특정한 개시된 실시예들에 따라, 수행된 방법의 사이클들의 예를 도시하는 타이밍 시퀀스도이다. 타이밍 시퀀스도는 캐리어 가스, 실리콘 클로라이드 가스, 제 2 반응물질 가스 (예컨대 약 산화제, 또는 암모니아, 또는 하이드라진), 및 아실 클로라이드 가스의 플로우들의 온 또는 오프 페이즈를 도시한다. 실리콘 클로라이드가 도 3의 예에서 실리콘 전구체로서 제공되었지만, 임의의 적합한 실리콘-함유 전구체가 실리콘 클로라이드 대신 사용될 수도 있다는 것이 이해될 것이다.
도 10은 2개의 증착 사이클들 (1099A 및 1099B) 을 갖는 프로세스 (1000) 를 포함하고, 증착 사이클 1099A는 1 ALD 사이클을 구성하고, 증착 사이클 1099B는 또한 1 ALD 사이클을 구성한다. 2개의 증착 사이클들만이 도시되지만, 보다 많은 증착 사이클들이 사용될 수도 있다. 프로세스 (1000) 는 도 1의 동작 106에 대응할 수도 있는 아실 클로라이드 노출 페이즈 1006A로 시작된다. 아실 클로라이드 노출 페이즈 1006A 동안, 캐리어 가스 플로우가 턴온된다. 캐리어 가스는 도 1의 동작 106 내지 동작 114에 대해 상기 기술된 임의의 가스일 수도 있다. 아실 클로라이드 노출 페이즈 1006A 동안, 실리콘 클로라이드 플로우 및 제 2 반응물질 플로우들은 턴오프되는 한편, 아실 클로라이드 플로우가 턴온된다. 아실 클로라이드 노출 페이즈 1006A에 이어서, 실리콘 클로라이드 노출 페이즈 1008A, 퍼징 페이즈 1010A, 제 2 반응물질 노출 페이즈 1011A, 및 퍼징 페이즈 1014A를 포함하는, 증착 사이클 1099A이 수행된다. 실리콘 클로라이드 노출 페이즈 1008A 동안, 실리콘 클로라이드 플로우가 턴온되는 동안 캐리어 가스가 계속해서 흐를 수도 있다. 제 2 반응물질 플로우는 오프로 남고 아실 클로라이드 플로우는 턴오프된다. 이는 도 1의 동작 108에 대응할 수도 있다. 도 1의 동작 110에 대응할 수도 있는, 퍼징 페이즈 1010A 동안, 실리콘 클로라이드 플로우, 제 2 반응물질 플로우, 및 아실 클로라이드 플로우가 모두 오프되는 동안, 캐리어 가스 플로우는 계속해서 흐르고 퍼징 가스로서 사용될 수도 있다. 제 2 반응물질 노출 페이즈 1011A 동안, 캐리어 가스 플로우는, 제 2 반응물질 플로우가 턴온되고 실리콘 클로라이드 플로우 및 아실 클로라이드 플로우가 오프로 남는 동안 계속해서 흐를 수도 있다. 이는 증착될 재료에 따라, 실리콘 옥사이드 증착을 위한 도 1의 동작 111 또는 실리콘 나이트라이드 증착을 위한 도 1의 동작 112에 대응할 수도 있다. 퍼징 페이즈 1014A에서, 실리콘 클로라이드 플로우, 제 2 반응물질 플로우, 및 아실 클로라이드 플로우들이 턴오프되는 동안, 캐리어 가스 플로우는 온으로 남는다. 도 1의 동작 114에 대응할 수도 있다. 이 페이즈에 이어서 증착 사이클 1099B가 반복되도록 막을 증착하기 위해 동작들을 반복하는 것으로 결정된다. 이에 따라, 증착 사이클 1099A에 이어서, 실리콘 클로라이드 노출 페이즈 1008B, 퍼징 페이즈 1010B, 제 2 반응물질 노출 페이즈 1011B, 및 퍼징 페이즈 1014B를 포함하는, 증착 사이클 1099B가 수행된다. 증착 사이클 1099A과 유사하게, 실리콘 클로라이드 노출 페이즈 1008B 동안, 캐리어 가스 플로우 및 실리콘 클로라이드 플로우가 턴온되는 한편 제 2 반응물질 가스 플로우 및 아실 클로라이드 플로우는 턴오프된다. 이는 도 1의 동작 108의 반복된 동작에 대응할 수도 있다. 퍼징 페이즈 1010B에서, 실리콘 클로라이드 플로우, 제 2 반응물질 플로우, 및 아실 클로라이드 플로우가 턴오프되는 동안 캐리어 가스가 흐른다. 제 2 반응물질 노출 페이즈 1011B동안, 캐리어 가스 플로우 및 제 2 반응물질 가스 플로우들은 턴오프되는 한편 실리콘 클로라이드 플로우 및 아실 클로라이드 플로우가 턴오프된다. 퍼징 페이즈 1014B는 캐리어 가스 플로우들이 턴온되는 한편, 실리콘 클로라이드 플로우, 제 2 반응물질 플로우, 및 아실 클로라이드 가스 플로우가 모두 턴오프되는 것을 포함할 수도 있다. 후속하는 증착 사이클들이 이어질 수도 있다.
장치
도 11은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (1102) 를 갖는 ALD (atomic layer deposition) 프로세스 스테이션 (1100) 의 일 실시예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (1100) 은 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 12는 멀티-스테이션 프로세싱 툴 (1200) 의 일 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것을 포함하는 ALD 프로세스 스테이션 (1100) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (1150) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (1100) 은 분배 샤워헤드 (1106) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (1101a) 과 유체로 연통한다. 반응물질 전달 시스템 (1101a) 은 분배 샤워헤드 (1106) 로 전달을 위해, 아실 클로라이드, 실리콘-함유 전구체 가스, 약 산화제 가스, 암모니아 가스, 또는 하이드라진 가스와 같은 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel)(1104) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (1120) 은 프로세스 가스들의 혼합 용기 (1104) 로의 도입을 제어할 수도 있다.
예로서, 도 11의 실시예는 혼합 용기 (1104) 로 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (1103) 을 포함한다. 일부 실시예들에서, 기화 지점 (1103) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립가능하지 않은 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막을 수도 있고, 밸브 동작을 방해할 수도 있고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근 방법들은 잔류 반응물질을 제거하기 위해 전달 파이프를 퍼징 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼징하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋을 열화시킨다. 따라서, 일부 실시예들에서, 기화 지점 (1103) 의 전달 파이프 다운스트림은 열 추적될 (heat traced) 수도 있다. 일부 예들에서, 혼합 용기 (1104) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (1103) 의 파이프 다운스트림은 혼합 용기 (1104) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 캐리어 가스 스트림 업스트림 내로 주입할 수도 있다. 일 실시예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flashing) 함으로써 반응물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (1103) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (1104) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (1106) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (1103) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세스 스테이션 (1100) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
분배 샤워헤드 (1106) 는 기판 (1112) 을 향해 프로세스 가스들을 분배한다. 도 11에 도시된 실시예에서, 기판 (1112) 은 분배 샤워헤드 (1106) 밑에 위치되고 페데스탈 (1108) 상에 놓인 것으로 도시된다. 분배 샤워헤드 (1106) 는 임의의 적합한 형상을 가질 수도 있고, 프로세스 가스들을 기판 (1112) 으로 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (1108) 은 기판 (1112) 과 분배 샤워헤드 (1106) 사이의 볼륨에 기판 (1112) 을 노출하기 위해 상승 또는 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (1150) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (1108) 의 높이를 조정하는 것은 플라즈마가 점화되는 실시예들에서 프로세스의 플라즈마 활성화 사이클들 동안 플라즈마 밀도로 하여금 가변되게 할 수도 있다. 프로세스 페이즈의 종료시, 페데스탈 (1108) 은 페데스탈 (1108) 로부터 기판 (1112) 의 제거를 허용하도록 또 다른 기판 이송 페이스 동안 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (1108) 은 히터 (1110) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (1108) 은 개시된 실시예들에 기술된 바와 같이, 실리콘 나이트라이드 막들의 선택적인 증착 동안, 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세스 스테이션 (1100) 에 대한 압력 제어는 버터플라이 밸브 (1118) 에 의해 제공될 수도 있다. 도 11의 실시예에 도시된 바와 같이, 버터플라이 밸브 (1118) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다 (throttle). 그러나, 일부 실시예들에서, 프로세스 스테이션 (1100) 의 압력 제어는 프로세스 스테이션 (1100) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다.
일부 실시예들에서, 분배 샤워헤드 (1106) 의 위치는 기판 (1112) 과 분배 샤워헤드 (1106) 사이의 볼륨을 가변하도록 페데스탈 (1108) 에 대해 조정될 수도 있다. 또한, 페데스탈 (1108) 및/또는 분배 샤워헤드 (1106) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시예들에서, 페데스탈 (1108) 은 기판 (1112) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (1150) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
실리콘 나이트라이드 표면을 처리하기 위해와 같이, 상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 실시예들에서, 분배 샤워헤드 (1106) 및 페데스탈 (1108) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (1114) 및 매칭 네트워크 (1116) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (1114) 및 매칭 네트워크 (1116) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 약 150W 내지 약 6000W이다. RF 전력 공급부 (1114) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (1114) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 크거나, 27 ㎒보다 크거나, 40 ㎒보다 크거나, 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 전압 센서, 전류 센서 (예를 들어, VI 프로브들) 중 하나 이상에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인시츄 플라즈마 모니터들로부터의 측정값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 위한 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, IR (infrared) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시예들에서, 제어기 (1150) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 아실 클로라이드 가스의 플로우 레이트의 설정을 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 가스 및/또는 실리콘-함유 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼징 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 약 산화제, 암모니아, 또는 하이드라진 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 가스 또는 퍼징 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응물질 가스의 플로우 레이트를 조절 또는 중단하기 위한 인스트럭션들, 캐리어 가스 또는 퍼징 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 5 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 개시된 실시예들의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다. 일부 실시예들에서, 제어기 (1150) 는 도 12의 시스템 제어기 (1250) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 12는 인바운드 로드록 (1202) 및 아웃바운드 로드록 (1204) 을 갖는 멀티-스테이션 프로세싱 툴 (1200) 의 실시예의 개략도를 도시하고, 인바운드 로드록 (1202) 및 아웃바운드 로드록 (1204) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (1206) 은, 카세트로부터 포드 (1208) 를 통해 인바운드 로드록 (1202) 으로 로딩된 웨이퍼들을 대기 포트 (1210) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (1202) 내의 페데스탈 (1212) 상에 로봇 (1206) 에 의해 배치되고, 대기 포트 (1210) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (1202) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1214) 내로 도입되기 전에 로드록 내에서 주변 분위기에 노출될 수도 있고 또는 H2O 내 HF의 선택가능한 약한 에천트에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (1202) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1214) 로의 챔버 이송 포트 (1216) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 12에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1214) 는 도 12에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 1218로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 PEALD (plasma-enhanced ALD) 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (1214) 는 ALD 프로세스 스테이션 또는 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (1214) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 12는 또한 프로세싱 챔버 (1214) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1290) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (1290) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 12는 또한 프로세스 툴 (1200) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1250) 의 실시예를 도시한다. 시스템 제어기 (1250) 는 하나 이상의 메모리 디바이스들 (1256), 하나 이상의 대용량 저장 디바이스들 (1254), 및 하나 이상의 프로세서들 (1252) 을 포함할 수도 있다. 프로세서 (1252) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1250) 는 프로세스 툴 (1200) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1250) 는 대용량 저장 디바이스 (1254) 에 저장되고 메모리 디바이스 (1256) 내로 로딩되어 프로세서 (1252) 상에서 실행되는 시스템 제어 소프트웨어 (1258) 를 실행한다. 대안적으로, 제어 로직은 제어기 (1250) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (1258) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (500) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (1258) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (1258) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (1258) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (1250) 와 연관된 대용량 저장 디바이스 (1254) 및/또는 메모리 디바이스 (1256) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상으로 기판을 로딩하고 프로세스 툴 (500) 의 다른 부품과 기판 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은, 아실 클로라이드 가스들 예컨대 아세틸 클로라이드, 실리콘-함유 전구체 가스들 예컨대 실리콘 클로라이드 또는 아미노실란, 및 약 산화제, 암모니아, 또는 하이드라진 가스들, 캐리어 가스들 및/또는 퍼징 가스들) 및 플로우 레이트들을 제어하기 위해 그리고 선택가능하게 프로세스 스테이션의 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 스로틀 밸브 (throttle valve), 프로세스 스테이션 내로 가스 플로우, 등을 조절함으로써 프로세스 스테이션의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 열 전달 가스 (예컨대 헬륨) 의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1250) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (1250) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 (예컨대 RF 바이어스 전력 레벨들), 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들이 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1250) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상의 출력일 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, 압력 센서들 (예컨대 마노미터들), 서모커플들, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
시스템 제어기 (1250) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른, 막 스택들의 인시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (1250) 는 장치가 개시된 실시예들에 따른 방법을 수행하도록 통상적으로 하나 이상의 메모리 디바이스들 및 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (1250) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (1250) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (1250) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1250) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (1250) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (1250) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (1250) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1250) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 시스템 제어기 (1250) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 시스템 제어기 (1250) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (1250) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 적절한 장치는 각각이 전체가 참조로서 본 명세서에 인용된, 2011년 4월 11일 출원되고, 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원번호 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호); 및 2011년 4월 11일 출원되고, 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원번호 제 13/084,305 호에 더 기술되고 논의된다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들이 공통 제조 설비에서 함께 사용되거나 실행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 다음 동작들: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트의 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광 또는 UV 광 또는 x-레이 광에 포토레지스트를 노출하는 동작; (4) 레지스트를 선택적으로 제거하여 습식 벤치와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (29)

  1. 기판의 노출된 제 1 표면 상에 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법에 있어서,
    노출된 제 1 표면, 및 노출된 제 2 표면을 갖는 기판을 제공하는 단계로서,
    상기 노출된 제 1 표면은 폴리실리콘, 비정질 실리콘, 금속들, 및 단일 2차 아민 말단기들을 갖는 실리콘 나이트라이드로 구성된 그룹으로부터 선택된 재료를 갖고, 그리고
    상기 노출된 제 2 표면은 하이드록실 및 1차 아민으로 구성된 그룹으로부터 선택된 표면 말단기를 갖는 실리콘-함유 재료를 포함하는, 상기 기판을 제공하는 단계;
    실리콘-함유 유전체 재료를 증착하기 전에, 상기 노출된 제 2 표면 상에 차단기들을 형성하기 위해 상기 노출된 제 2 표면과 선택적으로 반응성인 아실 클로라이드에 상기 기판을 노출하는 단계로서, 상기 아실 클로라이드는,
    Figure pct00015

    의 화학 구조를 갖고, 여기서 R1 은 수소 또는 알킬기인, 상기 기판을 아실 클로라이드에 노출하는 단계; 및
    상기 노출된 제 1 표면 상에 상기 실리콘-함유 유전체 재료를 선택적으로 증착하도록 열적 ALD (atomic layer deposition) 의 1 이상의 사이클들을 수행하는 단계를 포함하고, 사이클 각각은,
    상기 기판의 상기 노출된 제 1 표면 상에 흡착하도록 선택된 실리콘-함유 전구체에 상기 기판을 노출하는 단계, 및
    상기 기판의 상기 노출된 제 1 표면 상에 상기 실리콘-함유 유전체 재료 선택적으로 형성하도록 제 2 반응물질에 상기 기판을 노출하는 단계를 포함하는, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘-함유 유전체 재료는 실리콘 나이트라이드인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 제 2 반응물질은 암모니아 및 화학 구조
    Figure pct00016

    를 갖는 하이드라진들로 구성된 그룹으로부터 선택되고, 여기서 R2, R3, R4, 및 R5 는 각각 수소 또는 알킬기인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  4. 제 2 항에 있어서,
    상기 실리콘-함유 전구체는 실리콘 할라이드들 및 아미노실란들로 구성된 그룹으로부터 선택되는, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 실리콘-함유 유전체 재료는 실리콘 옥사이드인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  6. 제 5 항에 있어서,
    상기 제 2 반응물질은 약 산화제인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  7. 제 5 항에 있어서,
    상기 제 2 반응물질은 물, 과산화수소, 및 오존으로 구성된 그룹으로부터 선택되는, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 아실 클로라이드는 아세틸 클로라이드인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계; 및 상기 처리되지 않은 실리콘 나이트라이드 표면을 암모니아와 수소 가스의 혼합물에 노출하고 1차 아민기들을 포함하는 상기 노출된 제 2 표면을 형성하도록 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 더 포함하는, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  10. 제 9 항에 있어서,
    상기 암모니아와 수소의 혼합물의 암모니아의 양은 체적으로 약 1 % 미만인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계 및 상기 처리되지 않은 실리콘 나이트라이드 표면을 질소 및 수소 가스의 혼합물에 노출하고 1차 아민기들을 포함하는 상기 노출된 제 1 표면을 형성하도록 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 더 포함하는, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  12. 제 11 항에 있어서,
    상기 질소와 수소의 혼합물의 질소의 양은 체적으로 약 1 % 미만인, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  13. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 노출된 제 2 표면은 약 500 ℃보다 높은 증착 온도에서 CVD (chemical vapor deposition) 에 의해 증착된 실리콘 나이트라이드의 표면 종단된 1차 아민기들을 포함하는, 실리콘-함유 유전체 재료를 선택적으로 증착하는 방법.
  14. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버;
    진공에 커플링하기 위한 적어도 하나의 유출구;
    하나 이상의 프로세스 가스 유입구들; 및
    상기 장치의 동작들을 제어하기 위한 제어기를 포함하고,
    상기 제어기는,
    실리콘-함유 전구체 가스 또는 제 2 반응물질 가스의 도입을 유발하기 전에, 상기 적어도 하나의 프로세스 챔버에 하우징된 상기 기판에 아실 클로라이드의 도입을 유발하기 위한 머신-판독가능 인스트럭션; 및
    실리콘-함유 유전체 막을 형성하기 위해 열적 ALD (atomic layer deposition) 의 시간상 분리된 펄스들로 상기 실리콘-함유 전구체 가스 및 상기 제 2 반응물질 가스의 도입을 유발하기 위한 머신-판독가능 인스트럭션을 포함하고,
    상기 실리콘-함유 전구체 가스의 펄스 및 상기 제 2 반응물질 가스의 펄스는 일 열적 ALD (atomic layer deposition) 사이클을 구성하는, 반도체 기판 프로세싱 장치.
  15. 제 14 항에 있어서,
    상기 제어기는, 상기 아실 클로라이드의 도입을 유발하기 전에, 실리콘 나이트라이드의 형성을 유발하기 위한 인스트럭션; 및 상기 아실 클로라이드의 도입을 유발하기 전에, 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마의 생성 동안 암모니아와 수소의 혼합물 가스의 도입을 유발하기 위한 인스트력션을 더 포함하는, 반도체 기판 프로세싱 장치.
  16. 제 15 항에 있어서,
    상기 제어기는, 체적으로 약 1 % 미만이 되는 암모니아의 양을 사용하여 상기 암모니아와 수소의 혼합물 가스로 하여금 도입되게 하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  17. 제 14 항에 있어서,
    상기 제어기는, 상기 아실 클로라이드의 도입을 유발하기 전에, 실리콘 나이트라이드의 형성을 유발하기 위한 인스트럭션; 및 상기 아실 클로라이드의 도입을 유발하기 전에, 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마의 생성 동안 질소 및 수소 가스의 혼합물의 도입을 유발하기 위한 인스트럭션을 더 포함하는, 반도체 기판 프로세싱 장치.
  18. 제 17 항에 있어서,
    상기 제어기는, 체적으로 약 1 % 미만이 되는 질소의 양을 사용하여 상기 질소 및 수소 가스의 혼합물로 하여금 도입되게 하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  19. 제 14 항에 있어서,
    상기 제어기는, 상기 아실 클로라이드의 도입을 유발하기 전에, 약 500 ℃보다 높은 온도로 상기 페데스탈의 온도를 설정하기 위한 인스트럭션 및 CVD (chemical vapor deposition) 에 의한 실리콘 나이트라이드의 형성을 유발하기 위한 인스트럭션을 더 포함하는, 반도체 기판 프로세싱 장치.
  20. 제 14 항 내지 제 19 항 중 어느 한 항에 있어서,
    상기 제어기는, 상기 실리콘-함유 전구체 가스와 상기 제 2 반응물질 가스의 시간상 분리된 펄스들 사이에 상기 적어도 하나의 프로세스 챔버의 퍼징을 유발하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  21. 제 14 항 내지 제 19 항 중 어느 한 항에 있어서,
    상기 제어기는, 상기 기판을 하우징하는 상기 적어도 하나의 프로세스 챔버로 불활성 가스의 도입을 유발하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  22. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버;
    진공에 커플링하기 위한 적어도 하나의 유출구;
    하나 이상의 프로세스 가스 유입구들; 및
    상기 장치의 동작들을 제어하기 위한 제어기를 포함하고,
    상기 제어기는,
    금속-함유 전구체 가스 또는 제 2 반응물질 가스의 도입을 유발하기 전에, 상기 적어도 하나의 프로세스 챔버에 하우징된 상기 기판에 아실 클로라이드의 도입을 유발하기 위한 머신-판독가능 인스트럭션; 및
    금속-함유 유전체 막을 형성하기 위해 열적 ALD (atomic layer deposition) 의 시간상 분리된 펄스들로 상기 금속-함유 전구체 가스 및 상기 제 2 반응물질 가스의 도입을 유발하기 위한 머신-판독가능 인스트럭션을 포함하고,
    상기 금속-함유 전구체 가스의 펄스 및 상기 제 2 반응물질 가스의 펄스는 일 열적 ALD (atomic layer deposition) 사이클을 구성하는, 반도체 기판 프로세싱 장치.
  23. 제 22 항에 있어서,
    상기 제어기는, 상기 아실 클로라이드의 도입을 유발하기 전에, 실리콘 나이트라이드의 형성을 유발하기 위한 인스트럭션; 및 상기 아실 클로라이드의 도입을 유발하기 전에, 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마의 생성 동안 암모니아와 수소의 혼합물 가스의 도입을 유발하기 위한 인스트력션을 더 포함하는, 반도체 기판 프로세싱 장치.
  24. 제 23 항에 있어서,
    상기 제어기는, 체적으로 약 1 % 미만이 되는 암모니아의 양을 사용하여 상기 암모니아와 수소의 혼합물 가스로 하여금 도입되게 하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  25. 제 22 항에 있어서,
    상기 제어기는, 상기 아실 클로라이드의 도입을 유발하기 전에, 실리콘 나이트라이드의 형성을 유발하기 위한 인스트럭션; 및 상기 아실 클로라이드의 도입을 유발하기 전에, 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마의 생성 동안 질소 및 수소 가스의 혼합물의 도입을 유발하기 위한 인스트럭션을 더 포함하는, 반도체 기판 프로세싱 장치.
  26. 제 25 항에 있어서,
    상기 제어기는, 체적으로 약 1 % 미만이 되는 질소의 양을 사용하여 상기 질소 및 수소 가스의 혼합물로 하여금 도입되게 하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  27. 제 22 항에 있어서,
    상기 제어기는, 상기 아실 클로라이드의 도입을 유발하기 전에, 약 500 ℃보다 높은 온도로 상기 페데스탈의 온도를 설정하기 위한 인스트럭션 및 CVD (chemical vapor deposition) 에 의한 실리콘 나이트라이드의 형성을 유발하기 위한 인스트럭션을 더 포함하는, 반도체 기판 프로세싱 장치.
  28. 제 22 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제어기는, 상기 금속-함유 전구체 가스와 상기 제 2 반응물질 가스의 시간상 분리된 펄스들 사이에 상기 적어도 하나의 프로세스 챔버의 퍼징을 유발하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
  29. 제 22 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 제어기는, 상기 기판을 하우징하는 상기 적어도 하나의 프로세스 챔버로 불활성 가스의 도입을 유발하기 위한 인스트럭션들을 더 포함하는, 반도체 기판 프로세싱 장치.
KR1020197029568A 2017-03-10 2018-03-09 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장 KR102491771B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/456,301 US10043656B1 (en) 2017-03-10 2017-03-10 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US15/456,301 2017-03-10
PCT/US2018/021823 WO2018165598A1 (en) 2017-03-10 2018-03-09 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide

Publications (2)

Publication Number Publication Date
KR20190119158A true KR20190119158A (ko) 2019-10-21
KR102491771B1 KR102491771B1 (ko) 2023-01-25

Family

ID=63014121

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197029568A KR102491771B1 (ko) 2017-03-10 2018-03-09 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장

Country Status (6)

Country Link
US (2) US10043656B1 (ko)
JP (1) JP2020510314A (ko)
KR (1) KR102491771B1 (ko)
CN (2) CN110402477B (ko)
TW (1) TW201903184A (ko)
WO (1) WO2018165598A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023244040A1 (ko) * 2022-06-17 2023-12-21 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN112424916B (zh) * 2018-07-17 2024-06-21 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
JP7488815B2 (ja) * 2018-10-19 2024-05-22 ラム リサーチ コーポレーション 窒化ケイ素膜を堆積させる方法
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
CN113423864B (zh) * 2019-02-14 2023-11-07 恩特格里斯公司 氮化硅的选择性沉积
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
CN110265288A (zh) * 2019-06-05 2019-09-20 深圳市华星光电技术有限公司 一种在基板上制备二氧化硅膜的方法及装置、阵列基板
KR20220057617A (ko) 2019-09-10 2022-05-09 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름의 비등각 증착을 위한 조성물 및 이를 이용하는 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
KR20230158462A (ko) * 2021-03-23 2023-11-20 토레 엔지니어링 가부시키가이샤 적층체 제조 장치 및 자기 조직화 단분자막의 형성 방법
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20120315740A1 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
KR20130005262A (ko) * 2010-02-17 2013-01-15 에이에스엠 아메리카, 인코포레이티드 증착으로부터 반응성 부위의 비활성화
JP2013079447A (ja) * 2011-10-04 2013-05-02 Imec 金属/金属窒化物基板上に貴金属を選択的に堆積させるための方法
US20130189837A1 (en) * 2010-06-10 2013-07-25 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
KR20160061983A (ko) * 2013-09-27 2016-06-01 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US20160163725A1 (en) * 2014-12-04 2016-06-09 SanDisk Technologies, Inc. Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US20160322213A1 (en) * 2015-05-01 2016-11-03 Applied Materials, Inc. Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
KR20170016310A (ko) * 2015-08-03 2017-02-13 에이에스엠 아이피 홀딩 비.브이. 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
CN1332451C (zh) 2001-09-12 2007-08-15 日本电气株式会社 半导体器件及其制造方法
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20110178092A1 (en) * 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
EP2257561B1 (en) 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
EP2675560B1 (en) * 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
EP3134479A1 (en) * 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11001599B2 (en) * 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
KR20130005262A (ko) * 2010-02-17 2013-01-15 에이에스엠 아메리카, 인코포레이티드 증착으로부터 반응성 부위의 비활성화
US20130189837A1 (en) * 2010-06-10 2013-07-25 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20120315740A1 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
JP2013079447A (ja) * 2011-10-04 2013-05-02 Imec 金属/金属窒化物基板上に貴金属を選択的に堆積させるための方法
KR20160061983A (ko) * 2013-09-27 2016-06-01 인텔 코포레이션 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US20160163725A1 (en) * 2014-12-04 2016-06-09 SanDisk Technologies, Inc. Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US20160322213A1 (en) * 2015-05-01 2016-11-03 Applied Materials, Inc. Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
KR20170016310A (ko) * 2015-08-03 2017-02-13 에이에스엠 아이피 홀딩 비.브이. 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023244040A1 (ko) * 2022-06-17 2023-12-21 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Also Published As

Publication number Publication date
US10199212B2 (en) 2019-02-05
TW201903184A (zh) 2019-01-16
CN110402477A (zh) 2019-11-01
JP2020510314A (ja) 2020-04-02
US10043656B1 (en) 2018-08-07
US20180261448A1 (en) 2018-09-13
CN116970921A (zh) 2023-10-31
CN110402477B (zh) 2023-07-04
KR102491771B1 (ko) 2023-01-25
WO2018165598A1 (en) 2018-09-13

Similar Documents

Publication Publication Date Title
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20210152447A (ko) 초박 원자층 증착 막 정확도 두께 제어
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US11404275B2 (en) Selective deposition using hydrolysis
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant