KR20160061983A - 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성 - Google Patents

인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성 Download PDF

Info

Publication number
KR20160061983A
KR20160061983A KR1020167004742A KR20167004742A KR20160061983A KR 20160061983 A KR20160061983 A KR 20160061983A KR 1020167004742 A KR1020167004742 A KR 1020167004742A KR 20167004742 A KR20167004742 A KR 20167004742A KR 20160061983 A KR20160061983 A KR 20160061983A
Authority
KR
South Korea
Prior art keywords
thin layers
forming
thick layer
layer
region
Prior art date
Application number
KR1020167004742A
Other languages
English (en)
Other versions
KR102138719B1 (ko
Inventor
로버트 엘. 브리스톨
제임스 엠. 블랙웰
스캇 비. 클렌데닝
플로리안 그스트레인
응낙 한
그랜트 엠. 클로스터
자넷 엠. 로버츠
패트리시오 이. 로메로
라미 휴라니
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20160061983A publication Critical patent/KR20160061983A/ko
Application granted granted Critical
Publication of KR102138719B1 publication Critical patent/KR102138719B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

일 양태의 방법은, 선택적 화학 반응에 의해 제1의 복수의 얇은 층들 각각을 별개로 형성함으로써 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 제1 두꺼운 층을 형성하는 단계를 포함한다. 이 방법은, 제1 영역에 인접한 제2 영역 위에서의 제1의 복수의 얇은 층들 각각의 침범을 제한하는 단계를 또한 포함한다. 제1 표면 재료와는 상이한 제2 표면 재료를 갖는 제2 영역 위에 제2 재료의 제2 두꺼운 층이 형성된다.

Description

인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성{FORMING LAYERS OF MATERIALS OVER SMALL REGIONS BY SELECTIVE CHEMICAL REACTION INCLUDING LIMITING ENCROACHMENT OF THE LAYERS OVER ADJACENT REGIONS}
여기서 설명되는 실시예들은 일반적으로 기판의 작은 영역 위에 재료 층을 형성하는 것에 관한 것이다. 구체적으로는, 여기서 설명되는 실시예들은 일반적으로 선택적 또는 적어도 우선적 화학 반응(preferential chemical reaction)에 의해 기판의 작은 영역 위에 재료 층을 형성하는 것에 관한 것이다.
집적 회로는 일반적으로 반도체 기판에 형성된 회로(예를 들어, 트랜지스터들 및 기타의 회로 요소들)와 외부 시그널링 매체(예를 들어, 패키지, 핀, 인쇄 회로 보드 등)를 전기적으로 결합하기 위한 인터커넥트 구조들을 포함한다. 종종, 유전체 또는 절연 층 내에 배치되는 복수의 레벨의 대체로 공면 금속 또는 기타의 인터커넥트 라인들을 포함하는 다층 인터커넥트 구조가 채용된다. 비아는, 상이한 레벨들 상의 인터커넥트 라인들 사이에 유전체 또는 절연 재료를 통해 전기 전도성 경로들을 제공함으로써 상이한 레벨들 상의 인터커넥트 라인들 사이의 선택적인 전기적 결합을 제공하기 위해 일반적으로 이용된다.
금속 라인들 및 비아들은 통상적으로, 이들의 위치와 치수를 정의하기 위해 리소그래피 패터닝(lithographic patterning)을 이용하는 프로세스에 의해 형성된다. 많은 현대의 프로세서들에서 흔히 발견되는 구리 인터커넥트 라인의 경우, 듀얼 다마신(dual damascene) 유형의 프로세스가 일반적으로 채용된다. 대표적으로, 한 이러한 프로세스에서, 포토레지스트 층이 기판 위의 유전체 층 위에, 종종 에칭 전사를 용이하게 하기 위해 사이에 얇은 하드 마스크층을 두고, 스핀 코팅될 수 있다. 비아를 위한 개구는, 포토레지스트 층을 하나 이상의 패터닝된 마스크를 통해 패터닝된 화학 방사선에 노출시키고 포토레지스트 층을 현상하여 비아를 위한 개구를 형성함으로써, 초기에 포토레지스트 층에 패터닝될 수 있다. 그 다음, 비아를 위한 리소그래피 정의된 개구들은 하위 유전체 층 내의 비아를 위한 개구를 에칭하기 위한 에칭 마스크로서 이용될 수 있다. 후속해서, 금속 라인을 위한 개구가 포토레지스트 층에서 리소그래피로 유사하게 형성될 수 있다. 금속 라인을 위한 리소그래피 정의된 개구들은 하위 유전체 층 내의 금속 라인을 위한 트렌치 또는 라인 개구를 에칭하기 위한 에칭 마스크로서 이용될 수 있다. 유전체 층에 형성된 비아 및 금속 라인을 위한 개구 내에 금속(예를 들어, 하나 이상의 장벽층, 벌크 구리 등)이 도입될 수 있다. 금속 라인 외부에 존재하는 잉여 금속을 제거하기 위해 화학 기계적 연마(chemical mechanical polishing)(CMP)가 흔히 이용된다. 비아와 인터커넥트 라인의 추가적인 상위 레벨을 형성하기 위해 이러한 프로세스는 일반적으로 반복될 수 있다. 일반적으로, 리소그래피는 인접한 더 낮은/하위 레벨 상의 것들에 관해 더 높은/상위 레벨의 비아와 인터커넥트 라인을 배치 및 정렬하는데 이용된다.
적어도 소정 유형의 집적 회로(예를 들어, 프로세서, 칩셋 컴포넌트, 그래픽 칩, 기타의 고등 집적 회로 등)에 대한 인터커넥트 구조들의 지속적으로 감소하는 크기와 간격을 향한 과거와 현재의 일반적 기조가 있어왔다. 미래에는 인터커넥트 구조의 크기와 간격이 지속적으로 꾸준히 감소할 가능성이 크다. 인터커넥트 구조의 크기의 한 척도는 임계 치수(예를 들어, 라인폭 및/또는 비아 개구들의 폭)이다. 인터커넥트들의 간격의 한 척도는 피치(예를 들어, 라인 피치 및/또는 비아 피치)이다. 피치는 가장 가까운 인접한 인터커넥트 구조들(예를 들어, 인접한 라인들 또는 인접한 비아들)간의 중심-대-중심 거리를 나타낼 수 있다.
이러한 리소그래피 프로세스에 의해 극히 작은 인터커넥트 구조들 및/또는 극히 작은 피치의 인터커넥트 구조들을 패터닝할 때, 특히, 피치가 약 50 나노미터(nm) 이하일 때 및/또는 라인 및/또는 비아의 임계 치수가 약 20nm 이하일 때, 몇 가지 해결과제들이 제기되는 경향이 있다. 한 경우, 비아와 상위 인터커넥트 사이의 오버레이, 비아와 하위 기저 인터커넥트 사이의 오버레이 양쪽 모두가 높은 공차로 제어될 필요가 있다. 피치들(예를 들어, 비아 피치들)은 시간이 갈수록 지속적으로 더 작아지므로, 오버레이 공차는 리소그래피 장비가 따라잡을 수 있는 속도보다 일반적으로 더 높은 속도로 이들과 함께 스케일링되는 경향이 있다. 게다가, 개구(예를 들어, 비아 개구)의 임계 치수는 리소그래피 스캐너의 해상도 능력보다 빠르게 스케일링되는 경향이 있다. 개구의 임계 치수를 축소시키는 축소(shrink) 기술이 존재한다. 그러나, 축소량은, 최소 피치에 의해서 뿐만 아니라, 광학 근접 보정(optical proximity correction)(OPC)을 충분히 중립화하고 라인폭 거칠기(line width roughness)(LWR) 및/또는 임계 치수 균일성(critical dimension uniformity)(CDU)을 현저히 양보하지 않는 축소 프로세스의 능력에 의해 제한되는 경향이 있다. 또한, 포토레지스트의 LWR 및/또는 CDU 특성은, 일반적으로, 임계 치수가 감소함에 따라 임계 치수 버짓(critical dimension budget)의 동일한 전체 비율을 유지하기 위하여 개선될 필요가 있다. 그러나, 많은 포토레지스트의 LWR 및/또는 CDU 특성은 임계 치수가 감소하는 만큼 신속하게 개선되지 않고 있다.
본 발명은 본 발명의 실시예들을 설명하기 위해 이용되는 첨부된 도면과 이하의 상세한 설명을 참조함으로써 최상으로 이해될 수 있다. 도면에서:
도 1은, 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 재료의 제1 및 제2 두꺼운 층들을 형성하는 방법으로서 제2 영역 위에서의 제1 두꺼운 층의 침범을 제한하는 단계를 포함하는 방법의 실시예의 블록 흐름도이다.
도 2는 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 두꺼운 층들을 형성하는 방법으로서 제1 및 제2 두꺼운 층들 각각을 이루는 얇은 층들을 교대로 형성하는 단계를 포함하는 방법의 실시예의 블록 흐름도이다.
도 3a 내지 도 3e는 도 2의 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다.
도 4a 내지 도 4c는 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 두꺼운 층들을 형성하는 방법으로서, 제1 및 제2 두꺼운 층들 각각을 이루는 얇은 층들을 교대로 형성하되, 상이한 재료들의 얇은 층들이 서로의 위에 성장되는 단계를 포함하는 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다.
도 5a 내지 도 5e는 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 두꺼운 층들을 형성하는 방법으로서, 제1 및 제2 두꺼운 층들 각각을 이루는 얇은 층들을 교대로 형성하되, 층들 중 하나가 희생 유기 재료를 포함하는 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다.
도 6a 내지 도 6c는 희생 유기 재료를 제거하고 희생 유기 재료가 제거된 곳으로부터 또 다른 재료를 도입하는 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다.
도 7은, 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 재료의 제1 및 제2 두꺼운 층들을 형성하는 방법으로서 제1 재료의 얇은 층들을 형성하는 것과 인접한 제2 영역 위에서의 제1 재료의 얇은 층들의 측방향 침범을 감소시키는 것을 교대로 수행하는 단계를 포함하는 방법의 실시예의 블록 흐름도이다.
도 8a 내지 도 8e는 도 7의 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다.
도 9a 내지 도 9e는 비아 형성 프로세스의 실시예 동안에 생성된 중간 기판의 실시예의 상이한 도면들을 나타낸다.
도 10은 본 발명의 일 구현에 따른 컴퓨팅 디바이스를 나타낸다.
인접한 영역들 위에서의 층들의 침범을 제한하는 단계를 포함하는 선택적 화학 반응에 의해 기판의 작은 영역 위에 재료 층을 형성하기 위한 방법 및 장치가 개시된다. 일부 실시예들에서, 방법 및 장치는, 비아, 인터커넥트 구조, 작은 마이크로전자 구조, 또는 기타의 작은 구조를 형성하는데 이용될 수 있다. 이하의 설명에서, 수 많은 특정한 세부사항들(예를 들어, 특정한 유형의 구조, 재료, 반응, 재료 퇴적 및 제거 접근법, 동작들의 순서 등)이 개시된다. 그러나, 본 발명의 실시예들은 이들 구체적인 세부사항 없이도 실시될 수 있다는 것을 이해할 것이다. 다른 예들에서, 공지된 회로, 구조, 및 기술은 본 설명의 이해를 흐리게 하지 않기 위하여 상세히 도시되지 않았다.
도 1은, 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 재료의 제1 및 제2 두꺼운 층들을 형성하는 방법으로서 제2 영역 위에서의 제1 두꺼운 층의 침범을 제한하는 단계를 포함하는 방법(100)의 실시예의 블록 흐름도이다. 일부 실시예들에서, 제1 및 제2 두꺼운 층들은, 비아, 인터커넥트 구조, 마이크로전자 구조, 또는 기타의 작은 구조를 형성하는데 이용될 수 있다.
이 방법은, 블록(101)에서, 선택적 또는 적어도 우선적 화학 반응에 의해 제1의 복수의 얇은 층들 각각을 별개로 형성함으로써 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 제1 두꺼운 층을 형성하는 단계를 포함한다. 즉, 제1 두꺼운 층은, 복수의 분리된 또는 이산적인 얇은 층들을 형성함으로써, 계단식으로, 순차적으로, 또는 단계적으로 형성될 수 있다. 일부 실시예들에서, 제1 두꺼운 층은 적어도 5개의 얇은 층들로부터 형성될 수 있다. 예를 들어, 다양한 실시예에서, 제1 두꺼운 층은, 약 5개 내지 약 50개의 얇은 층들로부터, 또는 약 5개 내지 약 30개의 얇은 층들로부터, 또는 약 7개 내지 약 20개의 얇은 층들로부터 형성될 수 있다. 여기서 사용될 때, 용어 "얇은(thinner)" 및 "두꺼운(thicker)"은 상대적 용어(즉, 서로에 관하여)이지 절대적 용어는 아니다(즉, 각각의 얇은 층은 두꺼운 층보다 얇다). 일부 실시예에서, 얇은 층들 각각은 선택적 또는 적어도 우선적 화학 반응에 의해 형성될 수 있다. 선택적 또는 적어도 우선적 반응은, 다른 노출된 재료(예를 들어, 제2 표면 재료 및 제2 재료)에 비해, 처음에는 제1 표면 재료에 대해 및 그 다음 반응에 의해 퇴적된 제1 재료에 대해 선택적 또는 우선적이다.
다시 도 1을 참조하면, 이 방법은, 블록(102)에서, 제1 영역에 인접한 제2 영역 위에서의 얇은 층들 각각의 침범을 제한하는 단계를 포함한다. 본 명세서의 다른 곳에서 개시된 침범을 제한하기 위한 접근법들의 다양한 상이한 실시예들이 적절하다.
이 방법은, 블록(103)에서, 제2 표면 재료를 갖는 제2 영역 위에 제2 재료의 제2 두꺼운 층을 형성하는 단계를 포함한다. 여기서 사용될 때, 또 다른 층 "위에" 형성된 층은, 그 또 다른 층 "상에" 직접 형성된 층 뿐만 아니라, 하나 이상의 중간 층 또는 재료에 의해 그 또 다른 층과 분리되어 있는 그 또 다른 층 "위에" 있는 층을 포함한다. 일부 실시예에서, 제2 두꺼운 층을 형성하는 단계는 선택적 또는 적어도 우선적 화학 반응에 의해 제2의 복수의 얇은 층들 각각을 형성하는 단계를 포함할 수 있다. 다른 실시예들에서, 제2 두꺼운 층을 형성하는 단계는 단일의 퇴적을 통해 단일의 층을 형성하는 단계를 포함할 수 있다.
제1 및 제2 표면 재료는 상이한 재료들을 나타낸다. 일부 실시예들에서, 제1 표면 재료는 금속이고, 제2 표면 재료는 유전체 재료이지만, 본 발명의 범위는 이것으로 제한되지 않는다. 예를 들어, 다른 실시예들에서, 제1 및 제2 표면 재료는, 단지 몇 가지 예를 들자면, 반도체 재료, 금속, 유전체 재료, 및 유기 재료(예를 들어, 중합체 재료) 중에서 임의의 2개를 나타낼 수 있다.
유익하게도, 이 방법은 제2 영역 위에서의 제1의 복수의 얇은 층들 각각의 침범을 제한하는 단계를 포함한다. 작은 영역들 위에 형성된 재료 층들을 통해 비아, 인터커넥트 구조, 마이크로전자 구조, 또는 기타의 작은 구조를 형성할 때, 인접한 영역들 위에서의 재료 층들의 침범을 제한하는 것이 종종 바람직하다. 이것은, 영역들이 매우 작을 때 및/또는 재료 층의 두께가 영역의 작은 크기에 비해 현저할 때 특히 타당한 경향이 있다. 일부 실시예에서, 제1 영역 및/또는 제2 영역은 매우 작은 영역을 나타낼 수 있다. 예를 들어, 일부 실시예에서, 제1 영역 및/또는 제2 영역은, 약 100nm 미만, 예를 들어, 약 5nm 내지 약 100nm 범위의, 또는 약 10nm 내지 약 50nm 범위의, 또는 약 10nm 내지 약 30nm 범위의 측방향 단면 치수 또는 임계 치수를 가질 수 있지만, 본 발명의 범위는 이것으로 제한되지 않는다. 일부 실시예에서, 제1 및/또는 제2 두꺼운 층들의 두께는 제1 영역 및/또는 제2 영역의 치수에 비해 현저할 수 있지만(예를 들어, 제1 및/또는 제2 층들의 두께는 제1 및 제2 영역들 중 하나의 임계 치수의 적어도 5%일 수 있음), 본 발명의 범위는 이것으로 제한되지 않는다. 다양한 실시예에서, 제1 두꺼운 층 및/또는 제2 두꺼운 층은, 약 5nm 내지 약 100nm의 범위, 또는 약 10nm 내지 약 50nm의 범위, 또는 약 10nm 내지 약 30nm의 범위의 두께를 가질 수 있지만, 본 발명의 범위는 이것으로 제한되지 않는다.
도 2는 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 두꺼운 층들을 형성하는 방법으로서 제1 및 제2 두꺼운 층들 각각의 얇은 층들을 교대로 형성하는 단계를 포함하는 방법(200)의 실시예의 블록 흐름도이다. 도 3a 내지 도 3e는 도 2의 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다. 명료성을 위해, 도 2의 방법은 도 3a 내지 도 3e의 중간 기판들과 연관하여 설명될 것이다. 도 3a 내지 도 3e의 중간 기판들에 대해 설명된 컴포넌트들, 피쳐들, 및 특정한 선택사항적 상세사항들은 또한, 이러한 중간 기판들을 이용하여 실시예들이 수행될 수 있는 도 2의 동작 및/또는 방법에도 선택사항으로 적용된다. 대안으로서, 도 2의 동작 및/또는 방법은 도 3a 내지 도 3e의 것들과는 상이한 기판들에서 이용될 수 있다. 게다가, 도 3a 내지 도 3e의 기판들은 도 2의 것과는 상이한 동작 및/또는 방법들에서 이용될 수 있다.
이 방법은, 블록(205)에서, 선택적 또는 적어도 우선적 화학 반응에 의해 제1 표면 재료(예를 들어, 금속)를 갖는 제1 영역 위에 제1 재료의 얇은 층을 형성하는 단계를 포함한다. 일부 실시예에서, 제1 재료의 얇은 층은 제1 영역에 인접한 제2 영역 상의 얇은 층 및/또는 제1 재료의 침범을 제한하기에 충분한 두께를 가질 수 있다. 예로서, 다양한 실시예에서, 제1 재료의 얇은 층은 약 0.5nm 내지 약 4nm의, 또는 약 1nm 내지 약 2nm의 두께를 가질 수 있다. 이러한 얇은 층을 형성하는 것은, 인접한 영역 위에서의 얇은 층의 침범을 제한하기 위한 접근법의 일 실시예를 나타낸다.
도 3a는 기판(310)을 도시한다. 일부 실시예에서, 기판은 반도체 기판을 나타낼 수 있다. 반도체 기판은 집적 회로, 마이크로전자 디바이스 등을 제작하는데 이용되는 작업물을 나타낼 수 있다. 반도체 기판은 웨이퍼 또는 기타의 실리콘이나 다른 반도체 재료를 종종 포함한다. 적절한 반도체 기판은, 단결정 실리콘, 다결정질 실리콘, 및 실리콘 온 인슐레이터(silicon on insulator)(SOI) 뿐만 아니라, 다른 유형의 반도체 재료로 형성된 기판을 포함하지만, 이것으로 제한되는 것은 아니다. 반도체 외에도, 기판은, 금속, 유전체, 도펀트, 및 집적 회로에서 흔히 발견되는 기타의 재료를 역시 포함할 수 있다. 대안으로서, 관련 기술분야에 알려진 기타 임의의 적절한 유형의 기판이 대신에 이용될 수 있다(즉, 집적 회로 제조만으로 제한되지 않는다). 반도체 기판은, 제조 스테이지에 따라, 종종 그 내부에 트랜지스터, 집적 회로 등이 형성되지만, 본 발명의 범위는 이것으로 제한되지 않는다.
기판은 노출된 상부 표면(311)을 가진다. 여기서 사용될 때, 용어, 상부, 하부, 아래, 위 등은, 도면에서 볼 수 있는 바와 같이 구조를 지칭하기 위해 여기서 사용된다. 구조는 다양한 상이한 배향들로 이용될 수 있다는 것을 이해해야 한다. 상부 표면은, 제1 표면 재료(312)를 갖는 제1 패터닝된 영역과, 상이한 제2 표면 재료(314)를 갖는 제2 패터닝된 영역을 가진다. 일부 실시예들에서, 제1 패터닝된 영역 및/또는 제2 패터닝된 영역 각각은, 약 5nm 내지 약 100nm의 범위, 또는 약 10nm 내지 약 30nm의 범위의 측방향 단면 치수를 가질 수 있지만, 본 발명의 범위는 이것으로 제한되지 않는다.
일부 실시예들에서, 제1 표면 재료는 금속이고, 제2 표면 재료는 유전체 재료일 수 있지만, 본 발명의 범위는 이것으로 제한되지 않는다. 예로서, 비아의 형성을 수반하는 실시예들에서, 금속은 노출된 인터커넥트 라인의 상부 표면을 나타낼 수 있고, 유전체 재료는 층간 유전체(inter-layer dielectric)(ILD)의 노출된 상부 표면을 나타낼 수 있다. 여기서 사용될 때, 용어 금속은, 순수 금속 뿐만 아니라, 합금, 스택, 및 2개 이상의 상이한 유형의 금속 또는 금속 재료의 기타의 조합을 포함할 수 있다. 예를 들어, 금속 인터커넥트 라인은, 장벽층, 2개 이상의 상이한 금속의 스택, 2개 이상의 상이한 유형의 금속의 합금, 또는 이들의 일부 조합을 포함할 수 있다. 일부 실시예들에서, 금속은 구리(예를 들어, 순수 구리 또는 인터커넥트 라인에 적합한 임의의 다양한 종래의 구리 합금)를 포함할 수 있다. 다른 실시예들에서, 금속은, 인터커넥트 라인에 적합한, 구리와 함께 또는 구리 없이, 다른 전도성 금속(예를 들어, 매우 작은 인터커넥트 라인에 매우 적합한 금속)을 포함할 수 있다. 흔히, 유전체 재료는, 잠재적으로/선택사항으로서 하나 이상의 다른 재료(예를 들어, 탄소, 첨가제, ILD에서 발견되는 기타의 재료 등)와 결합된 실리콘 및 산소(예를 들어, 임의의 다양한 실리콘 산화물)를 포함할 수 있다. 적절한 유전체 재료의 구체적인 예는, 실리콘 산화물(예를 들어, 실리콘 이산화물(SiO2), 실리콘의 도핑된 산화물, 실리콘의 불화 산화물, 실리콘의 탄소 도핑된 산화물, 관련 기술분야에 공지된 다양한 로우-k 유전체 재료, 및 이들의 조합을 포함하지만, 이것으로 제한되는 것은 아니다. 대안으로서, 예를 들어, 금속, 유전체, 반도체, 및 유기 재료의 임의의 조합 등의, 기타의 재료가 대안적으로 이용될 수 있다.
다시 도 3a를 참조하면, 제1 재료(예를 들어, 금속)의 제1 세트의 얇은 층들(316-1)이 선택적 또는 적어도 우선적 화학 반응에 의해 제1 표면 재료(예를 들어, 금속)(312)를 갖는 제1 영역 위에 선택적으로 형성되었다. 제1 재료의 얇은 층들은 두께(t1)과 인접한 제2 영역 위로의 침범(e1)을 가진다. 일반적으로, 침범량은 층 두께 증가에 따라 증가하는 경향이 있는데, 그 이유는 층을 형성하는데 이용되는 화학 반응이 얇은 층의 상부 주요 표면으로부터 수직 상방향으로 뿐만 아니라 얇은 층의 수직 측벽으로부터 측방향으로 재료를 퇴적 또는 형성하는 경향이 있기 때문이다. 즉, 층들의 성장은, 부분적으로 층의 수직 측면들로부터의 재료의 측방향 성장에 기인하여 "급성장(mushroom)"하는 경향이 있을 수 있다. 일부 실시예들에서, 두께(t1)는, 인접한 제2 영역 및/또는 제2 표면 재료 상에서의, 제1 재료의 얇은 층들의 침범을 제한하기에 충분할 수 있다. 예를 들어, 다양한 실시예에서, 두께(t1)은 약 0.5nm 내지 약 4nm 또는 약 1nm 내지 약 2nm일 수 있다. 이러한 얇은 층을 형성하는 것은, 인접한 영역 위에서의 얇은 층의 침범을 제한하는 것을 돕는 접근법의 일 실시예를 나타낸다.
다시 도 2로 돌아가면, 이 방법은, 블록(206)에서, 선택적 또는 적어도 우선적 화학 반응에 의해 제2 표면 재료를 갖는 제2 영역 위에 제2 재료의 얇은 층을 형성하는 단계를 포함한다. 일부 실시예들에서, 제2 재료의 얇은 층은, 인접한 제1 영역 상에서의 얇은 층 및/또는 제1 재료의 침범을 제한하기에 충분한 두께를 가질 수 있다. 예로서, 다양한 실시예에서, 제2 재료의 얇은 층은 약 0.5nm 내지 약 4nm의, 또는 약 1nm 내지 약 2nm의 두께를 가질 수 있다. 이러한 얇은 층을 형성하는 것은, 인접한 영역 위에서의 층들의 측방향 침범을 제한하는 것을 돕는 접근법의 일 실시예를 나타낸다.
도 3b는, 선택적 또는 적어도 우선적 화학 반응에 의해 제2 표면 재료(314)(예를 들어, 유전체 재료)를 갖는 제2 영역 위에 형성된 제2 재료(예를 들어, 유전체 재료)의 제1 세트의 얇은 층들(318-1)을 도시한다. 제2 재료의 얇은 층은 두께(t2)를 가진다. 이 실시예에서, 두께(t2)는 제1 재료의 얇은 층의 두께(t1)와 거의 동일하지만, 이것이 요구되는 것은 아니다. 앞서와 같이, 일부 실시예들에서, 두께(t2)는, 인접한 제2 영역 및/또는 제2 표면 재료 상에서의, 제2 재료의 얇은 층들의 침범을 제한하기에 충분할 수 있다. 앞선 언급된 두께들은 적합하다.
도 3b에서 잘 볼 수 있는 바와 같이, 제2 재료의 얇은 층들(318-1)은 인접한 제1 영역 및/또는 제1 표면 재료(312) 위를 침범하지 않는다. 오히려, 제2 재료의 얇은 층들(318-1)은, 제1 재료와 제2 재료의 얇은 층들이 서로 인접할 때까지, 제1 재료의 얇은 층들(316-1) 사이의 공간에 형성된다. 일단 제2 재료의 얇은 층이 제1 재료의 얇은 층과 인접하면, 제2 재료의 얇은 층의 높이가 제1 재료의 얇은 층의 높이를 넘어서지 않는 한 일반적으로 더 이상의 측방향 성장은 발생하지 않을 것이다. 유익하게도, 제1 재료의 얇은 층들(316-1)은, 인접한 제1 영역 및/또는 제1 표면 재료(312) 위로의 제2 재료의 얇은 층들(318-1)의 침범을 한정하거나, 제약하거나, 기타의 방식으로 제한하는 것을 도울 수 있다. 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 재료의 얇은 층들을 교대로 형성하는 것은, 인접한 영역들 위에서의 층들의 침범을 제한하는 것을 돕는 접근법의 일 실시예를 나타낸다.
인접한 영역들 위로의 층들의 침범을 제한하는 것을 돕는 접근법의 또 다른 실시예는 최소량의 측방향 침범을 갖는 층을 퇴적함으로써 시작하는 것이다. 일부 실시예들에서, 주어진 레벨로 형성된 초기의 얇은 층들(예를 들어, 도시된 실시예에서는 제1 재료의 얇은 층들(316-1))은 층 두께당 측방향 침범의 최소량을 제공하는 것이 되도록 선택될 수 있다. 이런 방식으로, 얇은 층은, 비교적 가장 적은 양의 침범으로 형성될 수 있고, 층 두께당 더 높은 양의 측방향 침범을 갖는 다른 재료의 침범을 한정, 제약, 또는 기타의 방식으로 제한하는데 이용될 수 있다. 이것은 인접한 영역 위로의 얇은 층의 침범량을 줄이는 것을 돕는 접근법의 또 다른 실시예를 나타낸다.
다시 도 2를 참조하면, 제1 및/또는 제2 재료의 누적 층들이 충분히 두껍지 않다면, 이 방법은 1회 이상 블록(205 및 206)을 재방문할 수 있다. 일부 실시예에서, 이 방법은, 적어도 5개의 얇은 층이 제1 및 제2 재료 각각으로 형성될 때까지 블록들(205 및 206)을 루핑할 수 있다. 예를 들어, 일부 실시예들에서, 약 5개 내지 약 50개, 또는 약 5개 내지 약 30개, 또는 약 7개 내지 약 20개의 제1 및 제2 재료 각각의 얇은 층들이 존재할 수 있다. 층들 각각은 실질적으로 전술된 바와 같이 형성될 수 있다. 소정의 시점에서, 제1 및 제2 재료의 누적된 두꺼운 층들이 충분히 두꺼우면, 이 방법은, 블록(208)에서 종료할 수 있다. 실제의 구현에서, 루프의 각각의 반복에서 이러한 판정이 없을 수 있고, 오히려, 제1 및 제2 재료의 얇은 층들을 교대로 퇴적하는 방법이 단순히 소정의 또는 미리 결정된 횟수만큼 반복될 수도 있다는 것을 이해해야 한다.
도 3c는 선택적 또는 적어도 우선적 화학 반응에 의해 초기에 퇴적된 제1 재료(312)의 얇은 층들 위에 선택적으로 형성된 제1 재료(예를 들어, 금속)의 추가적인 제2 세트의 얇은 층들(316-2)을 도시한다. 도 3d는 선택적 또는 적어도 우선적 화학 반응에 의해 초기에 퇴적된 제2 재료(314)의 얇은 층들 위에 선택적으로 형성된 제2 재료(예를 들어, 유전체 재료)의 추가적인 제2 세트의 얇은 층들(318-2)을 도시한다. 도 3e는 제1 패터닝된 영역 위에 선택적으로 형성된 제1 재료의 적어도 5개의 얇은 층들과 제2 패터닝된 영역 위에 선택적으로 형성된 제2 재료의 적어도 5개의 얇은 층들을 도시한다. 다른 실시예들에서, 더 적거나 더 많은 층들이 존재할 수 있다. 이들 층들 각각은 실질적으로 본 명세서의 다른 곳에서 설명된 바와 같이 형성될 수 있다. 이들 층들은, 순차적으로, 단계별로, 계단식으로, 또는 사이클로 형성되고, 상이한 유형의 재료의 층들을 교대로 형성함으로써 점진적 구축 프로세스를 통해 양쪽 모두의 두꺼운 층들의 점진적 형성을 허용한다.
도 4a 내지 도 4c는 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 두꺼운 층을 형성하는 방법으로서, 제1 및 제2 두꺼운 층들 각각의 얇은 층들을 교대로 형성하되, 상이한 재료들의 얇은 층들이 서로의 위에 성장되는 단계를 포함하는 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다. 일부 실시예들에서, 중간 기판들은 도 2의 방법에서 이용될 수 있고, 중간 기판들에 대해 설명된 컴포넌트, 피쳐, 및 특정한 선택사항적인 세부사항들은 도 2의 동작 및/또는 방법에도 선택사항으로서 적용된다. 대안으로서, 도 2의 동작 및/또는 방법은 상이한 기판들에서 이용될 수 있다. 게다가, 도 4a 내지 도 4c의 중간 기판들은 상이한 동작 및/또는 방법들에서 이용될 수 있다.
도 4a는, 기판(410), 기판의 제1 영역 위의 제1 표면 재료(412)(예를 들어, 금속), 및 기판의 제2 영역 위의 제2 표면 재료(414)(예를 들어, 유전체 재료)를 도시한다. 기판, 제1 재료 및 제2 재료 각각은 전술된 것들과 유사하거나 동일할 수 있다. 제1 재료의 제1 얇은 층(416-1)은 선택적 또는 적어도 우선적 화학 반응을 통해 제1 표면 재료(412) 및/또는 제1 영역 위에 형성된다. 층(412)은 실질적으로 전술된 바와 같이 형성될 수 있다. 층(412)은 두께(t1)를 가진다. 전술된 두께가 적절하다(예를 들어, 약 0.5nm 내지 약 4nm, 또는 약 1nm 내지 약 2nm).
도 4b는, 선택적 또는 적어도 우선적 화학 반응을 통해 제2 표면 재료(414) 및/또는 제2 영역 위에 형성된 제2 재료의 제1 얇은 층(418-1)을 도시한다. 층(418-1)은, 층(416-1)의 두께(t1)보다 큰 두께(t2)를 가진다. 층(418-1)은 층(416-1) 위의 소정 높이에서 끝난다. 층(418-1)의 추가 높이는, 제1 재료의 후속 형성된 제2 얇은 층의 침범을 한정, 제약, 또는 기타의 방식으로 제한하는 것을 후속해서 도울 수 있는 제2 재료의 수직 측벽(420)을 효과적으로 생성하거나 형성한다.
도 4c는, 선택적 또는 적어도 우선적 화학 반응을 통해 제1 표면 재료(412) 및/또는 제1 영역 위에 형성된 제1 재료의 제2 얇은 층(416-2)을 도시한다. 참조번호(422)로 도시된 바와 같이, 층(416-2)의 측방향 침범은 초기에 수직 측벽(420)에 의해 차단된다. 즉, 수직 측벽(420) 및/또는 층(416-1) 위의 층(418-1)의 추가 높이는 층(416-2)의 침범을 한정, 제약, 또는 기타의 방식으로 제한하는 것을 도울 수 있다. 층(416-2)의 두께가 수직 측벽(420)의 높이를 넘어선 후에만 측방향 침범이 제2 영역을 향해서 및 제2 영역 위에서 발생하기 시작한다. 게다가, 이러한 측방향 침범은, 제2 재료의 후속 층의 측방향 침범을 한정, 제약, 또는 기타의 방식으로 제한하는 것을 도울 수 있는 그 자신의 수직 측벽을 형성하는 것을 도울 수 있기 때문에 용인될 수 있다.
관련 기술분야에 공지된 선택적 또는 적어도 우선적 반응성 퇴적의 많은 상이한 유형들이 여기서 개시된 다양한 실시예에 적합하다. 일부 실시예들에서, 무전해 금속 퇴적 및/또는 전기화학적 원자층 퇴적 등의 기술을 이용한 솔루션에서 선택적 금속상의 금속(metal-on-metal) 반응성 퇴적이 달성될 수 있다. 이러한 금속상의 금속 반응성 퇴적에 적합한 금속의 예로서는, 구리(Cu), 니켈(Ni), 코발트(Co), 철(Fe), 망간(Mn), 크롬(Cr), 티타늄(Ti), 탄탈룸(Ta), 루테늄(Ru), 팔라듐(Pd), 및 다양한 합금, 스택, 또는 이들의 다른 조합들이 포함되지만, 이것으로 제한되는 것은 아니다. 무전해 금속 퇴적 및/또는 전기화학적 원자층 퇴적을 이용한 선택적 반응에 의해 퇴적될 수 있는 다른 금속들이 역시 일반적으로 적합할 수 있다.
일부 실시예들에서, 선택적 금속상의 금속 반응성 퇴적은 동종리간드성 금속 디아자부타디엔 착물(homoleptic metal diazabutadiene complexes)[M{N(R)C(H)C(H)N(R')}2]로 수행될 수 있다. 이 화학식에서, M은, 니켈(Ni), 코발트(Co), 철(Fe), 망간(Mn), 또는 크롬(Cr)으로부터 선택된 금속 원자를 나타낼 수 있다. 유기 관능기(organic functional group) R과 R'는, 임의의 다양한 치환된 또는 치환되지 않은 알킬(alkyl) 또는 아릴(aryl) 관능기를 나타낼 수 있다. R과 R'의 예로서는, 치환된 또는 치환되지 않은 2 내지 8개의 탄소 알킬기, 페닐기 등이 포함되지만, 이것으로 제한되는 것은 아니다. (예를 들어, 상이한 금속 원자들을 갖는) 상이한 착물의 조합도 역시 선택사항으로서 이용될 수 있다. 금속(예를 들어, 순수 금속 또는 합금 또는 복수의 금속들의 스택)이, 공반응물(co-reactant)(예를 들어, 수소(H2), 암모니아(NH3), 히드라진 등)을 수반하거나 수반하지 않고 CVD(chemical vapor deposition)를 이용함으로써 시작 금속(starting metal) 상에 및/또는 이들 착물로부터 퇴적된 금속들 상에 퇴적될 수 있다. 원자층 퇴적(ALD)이 대안적으로 이용될 수도 있다. 이러한 퇴적은, 유전체 재료, 반도체 재료, 및 유기 중합체 재료에 비해, 일반적으로 선택적일 것이다.
일부 실시예들에서, 금속 퇴적을 촉진하고 및/또는 다른 재료(예를 들어, 유전체)에 비해 금속 위의 금속 퇴적의 선택성을 증가시키기 위해 인가된 전압 및/또는 광전 효과가 이용될 수 있다. 일부 실시예들에서, 웨이퍼 또는 기타의 기판과 금속 퇴적 장비의 전도성 하드웨어 사이에, 예를 들어, 웨이퍼 척(chuck)과 웨이퍼 척 상의 웨이퍼 위의 코일 사이에, 전압 바이어스가 인가될 수 있다. 이 전압 바이어스는 직류(DC) 또는 교류(AC), 예를 들어, 무선 주파수 AC일 수 있다. 인가된 전압 바이어스는, 예를 들어, 부분적으로 광전 효과로 인해, 다른 재료(예를 들어, 유전체)보다 금속(예를 들어, 인터커넥트 라인 또는 인터커넥트 라인 위에 형성된 금속 재료)으로부터 비교적 더 많이 전자(예를 들어, 2차 전자)를 생성하는 경향이 있거나, 전자를 방출 또는 기타의 방식으로 제공하는데 필요한 에너지를 감소시키는 경향이 있다. 일부 실시예들에서, 금속으로부터 멀어지는 방향으로 전자를 가속하는 것을 돕기 위하여 순방향 전압 바이어스가 인가될 수 있다. DC 전압 바이어스가 이용될 때, 일부 전자들이 금속으로부터 방출되고 순 정극성 전하를 갖기 시작한 후에, 금속으로의 전도성 경로가 있지 않는 한, 전자의 방출이 느려질 가능성이 있다. 그러나, AC 전압 바이어스의 인가는 일반적으로, 중간 사이클들에서 금속을 전자로 지원공급함으로써 이것을 회피하는 것을 도울 수 있다. 금속 퇴적을 촉진하고 및/또는 다른 재료(예를 들어, 유전체)에 비해 금속 위의 금속 퇴적의 선택성을 증가시키기 위해 전자가 이용될 수 있다. 전자는, 예를 들어, 이러한 생성된 전자에 의해 촉진될 수 있는 금속의 ALD 또는 CVD 퇴적이나 기타의 금속 퇴적 프로세스 등의, 선택적 금속 퇴적 반응을 구동 또는 촉진하도록 에너지를 제공하는 것을 도울 수 있다. 일부 실시예에서, 자외선 광원이 전압 바이어스와 함께 이용되어 금속 부근에 광전자를 추가로 생성하는 것을 도울 수 있다. 이것은 또한, 금속 퇴적 및 금속 퇴적 선택성을 촉진하는 것을 도울 수 있다.
일부 실시예에서, 선택적 유전체 상의 유전체(dielectric-on-dielectric) 반응성 퇴적은 졸-겔 프로세스 등의 용액상(solution phase) 기술에 의해 달성될 수 있다. 선택적 유전체 상의 유전체 반응성 퇴적은 또한, CVD, ALD, MLD 또는 기타의 기상(vapor phase) 기술에 의해 달성될 수 있다. 이러한 유전체 상의 유전체 반응성 퇴적에 대한 적절한 재료의 예로서는, 단지 몇 가지 예로서 거명하자면, 실리콘의 산화물(예를 들어, 실리콘 이산화물(SiO2)), 실리콘의 탄소 도핑된 산화물, 실리콘의 질화물(예를 들어, 실리콘 질화물(SiN)), 실리콘의 탄화물(예를 들어, 실리콘 탄화물(SiC)), 실리콘의 탄질화물(예를 들어, SiCN), 알루미늄의 산화물(예를 들어, 알루미늄 산화물(Al2O3)), 티타늄의 산화물(예를 들어, 티타늄 산화물(TiO2)), 지르코늄의 산화물(예를 들어, 지르코늄 산화물(ZrO2)), 하프늄의 산화물(예를 들어, 하프늄 산화물(HfO2)), 및 이들의 조합이 포함되지만, 이것으로 제한되는 것은 아니다. 관련 기술분야에 공지된 다른 유전체 및 로우-k 유전체 재료도 역시 잠재적으로 적합하다. 카르보실록산(carbosiloxane) 재료도 역시 선택사항으로서 이용될 수 있다. 졸-겔, ALD, CVD, 및 MLD 등의 기술을 이용하여 금속에 비해 이러한 재료들을 선택적으로 또는 적어도 우선적으로 퇴적하는 반응의 다양한 예들이 관련 기술분야에 공지되어 있다.
일부 실시예들에서, 탄소 나노튜브, 그래핀(graphene), 및 흑연 중 하나 이상이 금속 표면 재료 위에 성장되거나 형성될 수 있다. 금속 표면 재료는, 탄소 나노튜브, 그래핀, 또는 흑연의 성장에 대한 촉매성을 갖는 촉매성 금속 표면 재료를 나타낼 수 있다. 촉매성 금속 표면 재료는 관련 기술분야에 공지된 기술을 이용하여 가열되고 적절한 탄화수소 및 기타 임의의 공반응물에 노출될 수 있다. 적절한 촉매성 표면 및 반응물 세트의 한 예는 일산화탄소 및 수소에 노출된 코발트 표면이다. 이러한 반응의 촉진을 돕기 위해 전술된 전압 바이어스 접근법도 역시 잠재적으로 이용될 수 있다.
일부 실시예들에서, 또 다른 표면 재료에 대한 반응의 선택성 또는 우선성을 증가시키는 것을 돕기 위하여, 보호제(passivant) 재료 또는 층이 선택사항으로서 상이한 표면 재료들 위에 인가되거나 형성될 수 있다. 이러한 보호제 재료의 이용은 일반적으로, 층을 형성하기 위해 이용할 수 있는 가능한 선택적/우선적 반응의 개수를 확장시키는 것을 돕는다. 표면 재료들 중 한 재료의 다른 재료에 비교한 반드시 선택적/우선적이지는 않은 반응은, 그럼에도 불구하고, 보호제 재료에 비해 표면 재료들 중 하나에 대해 선택적일 수 있다. 예로서, 보호제 재료는, 보호제 재료에 비해 제2 표면 재료에 대한 주어진 퇴적 반응의 선택성/우선성을 증가시키기 위하여 제2 표면 재료가 아니라 제1 표면 재료에 인가될 수 있다. 재료들 중 하나에 비해 선택적으로 형성되도록 동작가능하고 반응의 선택성/우선성을 증가시키도록 동작가능한 대부분의 보호제 재료가 일반적으로 적합할 것이다. 이러한 보호제는 기상 또는 용액상으로 인가될 수 있다. 이러한 보호제는 선택적 퇴적 프로세스 동안에 한번 또는 복수회 인가될 수도 있다. 선택적/우선적 반응을 통해 층이 형성된 후에, 보호제 재료는 제거될 수 있다. 예를 들어, 보호제 재료는, 열적, 광분해적, 화학적, 또는 전기화학적 요법을 통해 제거될 수 있다. 일부 실시예들에서, 또 다른 보호제 재료가 선택사항으로서 다른 표면 재료에 인가될 수 있지만, 이것은 요구사항은 아니다. 다시 한번, 선택사항인 이러한 보호제 재료의 이용은, 여기서 언급된 다양한 층들을 형성하는데 이용될 수 있는, 가능한 선택적 또는 적어도 우선적 화학 반응의 개수를 확장시키는 것을 도울 수 있다.
일부 경우에 재료들 중 하나는 유전체 재료이고, 다른 재료는, 금속 재료, 또는 유기 중합체 재료, 또는 아미노실란, 할로실란, 알콕시실란 또는 기타의 관능화된(functionalized) 실란과 반응하지 않는 기타의 재료일 수 있다. 아미노실란, 할로실란(예를 들어, 클로로실란, 플루오로실란 등) 및 알콕시실란(예를 들어, 메톡시실란, 에톡시실란, 및 기타의 알콕시실란)은 금속 재료에 비해 유전체 재료의 표면 상에서 히드록실기(hydroxylated group)와 선택적으로 또는 적어도 우선적으로 반응할 수 있다. 적절한 실란의 특정 예로서는, 트리클로로옥타데실실란(trichlorooctadecylsilane), 옥타데실클로로실란(octadecylchlorosilane), 디에틸아미노트리메틸 실란(diethylaminotrimethyl silanes), 비스(디메틸아미노)디메틸실란(bis(dimethylamino)dimethylsilane), 메톡시실란, 에톡시실란, 및 기타의 유사한 실란, 및 이들의 조합이 포함되지만, 이것으로 제한되는 것은 아니다. 이들 반응의 반응 생성물은 유전체 재료의 노출된 표면을 선택적으로 덮는데 이용될 수 있다. 소정의 대체로 더 적은 반응량이 금속 재료 상에서 발생한다면, 이것은 예를 들어 물을 이용한 세척에 의해 제거될 수 있다. 실란은, 실란의 화학적 속성을 변경하고 원하는 화학적 속성을 달성하기 위하여, 예를 들어, 직쇄형 알칸 체인(straight alkane chains), 분지형 알칸 체인(branched alkane chains), 기타의 직쇄형 또는 분지형 유기 체인, 벤질기(benzylic groups), 또는 기타의 유기기(organic groups), 또는 다양한 다른 공지된 관능기 등의, 하나 이상의 다른 기를 포함할 수 있다. 또 다른 예로서, 이관능성, 삼관능성, 다관능성 친전자체(multi-functional electrophiles), 또는 이들의 조합은, 재료(예를 들어, ILD)의 히드록실기와 반응된 다음, 결과의 활성화된 반응 생성물을 갖는 중합체의 관능기와의 반응이 후속될 수 있다.
일부 경우에 재료들 중 하나는 금속 재료이고, 다른 재료는, 유전체 재료, 유기 중합체 재료, 또는 포스폰산(phosphonic acid)과 반응하지 않는 또 다른 재료일 수 있다. 다양한 포스폰산들은, 네이티브(native) 금속 표면 또는 산화된 금속 표면과 선택적으로 또는 적어도 우선적으로 반응하여 유전체 재료(예를 들어, 실리콘의 산화물)의 표면 위에 우선적으로 또는 훨씬 선택적으로 강하게 결합된 금속 포스포네이트(metal phosphonates)를 형성할 수 있다. 적절한 포스폰산의 특정한 예는 옥타데실포스폰산(octadecylphosphonic acid)(ODPA)이다. 이러한 표면 코팅은 일반적으로 많은 유기 용제에서 안정적인 경향이 있지만 강하지 않은 수성산 및 염기 용액을 이용하여 제거될 수 있다. 포스핀(예를 들어, 유기포스핀(organophosphines)이 선택사항으로서 이용될 수도 있다. 술폰산(sulfonic acids), 술핀산(sulfinic acids), 카르복실산(carboxylic acids) 등의 다른 일반적인 산이 역시 선택사항으로서 이용될 수 있다.
유전체 재료 또는 유기 중합체 재료 또는 기타의 재료에 비해 금속 재료에 대해 선택적이거나 적어도 우선적인 반응의 또 다른 예는, 예를 들어, 인터커넥트 구조를 보호하기 위해 화학 기계적 연마 동안에 이용되는 것들과 같은, 다양한 금속 부식 억제제이다. 특정한 예는, 벤조트리아졸, 기타의 트리아졸 관능기, 기타의 적절한 헤테로시클릭 기(heterocyclic groups)(예를 들어, 헤테로시클릭계 부식 억제제), 및 관련 기술분야에 공지된 기타의 금속 부식 억제제를 포함한다. 트리아졸기 외에도, 금속에 대한 원하는 친화성 또는 반응성을 제공하기 위해 다른 관능기들이 이용될 수 있다. 다양한 금속 킬레이트화제(metal chelating agents)가 역시 잠재적으로 적합하다. 다양한 아민(예를 들어, 유기아민)도 역시 잠재적으로 적합하다.
유전체 재료 또는 유기 중합체 재료 또는 기타의 재료에 비해 금속 재료에 선택적이거나 적어도 우선적인 반응의 역시 또 다른 예는 다양한 티올(thiols)이다. 또 다른 예로서, 1,2,4-트리아졸 또는 유전체 및 소정의 다른 재료에 비해 금속과 선택적으로 반응하기 위해 유사한 방향족 헤테로사이클 화합물들(aromatic heterocycle compounds)이 이용될 수 있다. 관련 기술분야에 공지된 다양한 다른 금속 중독 화합물(metal poisoning compound)이 역시 잠재적으로 이용될 수 있다. 이들은 몇 가지 예시들일 뿐이며, 역시 다른 예들이 본 개시를 접한 관련 기술분야의 통상의 기술자에게는 명백할 것이라는 점을 이해해야 한다.
또 다른 실시예에서, 표면으로부터 성장하기를 원하지 않는 원자 또는 재료를 제거하기 위하여 선택적 퇴적 프로세스 동안에 하나 이상의 에천트 종(etchant species)이 선택사항으로서 도입될 수 있다. 에천트 종은 원치 않는 비의도적으로 퇴적된 재료를 제거할 수 있다. 이러한 에천트 종은 액상 또는 기상으로 적용될 수 있고, 수소, 할로겐, 할로산, 및 금속 원자가 제거되기에 적합한 유기금속 리간드(organometallic ligands)의 중성 형태를 포함할 수 있지만 이것으로 제한되는 것은 아니다. 이러한 접근법은 또한, 퇴적의 선택성을 증가시키는 것을 돕기 위해, 여기서 개시된 다른 실시예들과 조합하여 이용될 수도 있다.
일부 실시예들에서, 제1 및 제2 재료 중 하나로서 희생 재료(예를 들어, 희생 유기 중합체 재료)가 이용될 수 있다. 유익하게도, 이것은, 제1 및 제2 두꺼운 층들을 형성하는데 이용될 수 있는 상이한 선택적 또는 적어도 우선적 반응의 이용가능한 개수를 확장시키는 것을 도울 수 있다.
도 5a 내지 도 5e는 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 두꺼운 층을 형성하는 방법으로서, 제1 및 제2 두꺼운 층들 각각의 얇은 층들을 교대로 형성하되, 층들 중 하나가 희생 유기 중합체 재료를 포함하는 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다. 일부 실시예들에서, 중간 기판들은 도 2의 방법에서 이용될 수 있고, 중간 기판들에 대해 설명된 컴포넌트, 피쳐, 및 특정한 선택사항적인 세부사항들은 도 2의 동작 및/또는 방법에도 선택사항으로서 적용된다. 대안으로서, 도 2의 동작 및/또는 방법은 상이한 기판들에서 이용될 수 있다. 게다가, 도 5a 내지 도 5e의 중간 기판들은 도 2의 것과는 상이한 동작 및/또는 방법들에서 이용될 수 있다.
도 5a는, 기판(510), 제1 표면 재료에 해당하는 금속(512), 및 제2 표면 재료에 해당하는 유전체 재료(514)를 도시한다. 기판, 금속, 및 유전체 재료 각각은 본 명세서의 다른 곳에서 설명된 것들과 유사하거나 동일할 수 있다. 이 실시예에서, 희생 유기 중합체 재료는 금속 위에 형성되지만, 본 발명의 범위는 이것으로 제한되지 않는다. 금속은 관능화된(functionalized) 금속 표면(524)을 가진다. 예를 들어, 일부 실시예들에서, 금속 표면은, 티올, 포스폰산, 또는 중합 반응을 개시하는 잠재 개시 사이트(latent initiation site)(X)를 갖는 기타의 관능기로 관능화될 수 있다. 일부 실시예들에서, 보호제 재료(미도시)는, 예를 들어, 아미노실란 또는 기타의 적절한 실란을 인가함으로써 유전체 재료에 선택사항으로서 인가될 수 있지만, 이것은 요구사항은 아니다.
도 5b는 관능화된 금속 표면(524)을 반응성 매질(526)과 접촉시키는 것을 도시한다. 다양한 실시예들에서, 반응성 매질은, 기상, 응축상, 또는 용액을 나타낼 수 있다. 반응성 매질은, 유기 중합체 재료를 형성하는데 이용될 수 있는 소정의 중합 반응에 적합한 하나 이상의 상이한 유형의 단량체(M)를 포함한다.
도 5c는 금속의 표면 위에 활성화된 반응성 사이트(A)(528)를 형성하기 위해 잠재 개시 사이트(X)를 개시 또는 활성화하는 것을 도시하고 있다. 다양한 실시예들에서, 반응성 사이트를 활성화 또는 개시하는 것은, 가열, 광조사, 화학 반응의 수행에 의해, 또는 특정한 사이트에 적합한 다른 접근법들에 의해 수행될 수 있다. 활성화된 반응 사이트(A)는 단량체(M)와 반응하도록 동작할 수 있다. 한 예로서, 잠재 라디칼(radical) 사이트로부터 라디칼이 생성된 다음, 생성된 라디칼 사이트는 단량체와 반응할 수 있다. 다른 실시예들에서, 활성 루테늄 또는 기타의 촉매성 금속 센터는, 열적으로, 광화학적으로, 또는 촉매성 촉진에의 도입을 통해 생성될 수 있고 적절한 단량체(예를 들어, 노르보르넨(norbornene) 유형의 단량체)와 반응하는데 이용될 수 있다. 반응은 계속 진행되어, 단량체를, 금속의 표면에 접합되거나 부착되는 성장하는 중합체 체인 또는 분자들에 효과적으로 접합 또는 부착시킨다. 중합 반응은 유전체 재료에 비해 금속 및 그 자체(즉, 성장하는 유기 중합체)에 대해 선택적이거나 적어도 우선적이다.
도 5d는 금속의 표면 위에 형성된 유기 중합체 재료의 제1 얇은 층(516-1)을 도시한다. 유기 중합체 재료는 원하는 층 두께가 달성될 때까지 단량체 분자들을 접합시킴으로써 성장된 유기 중합체의 체인 또는 분자들을 포함할 수 있다. 유기 중합체 재료는 두께(t1)를 갖도록 형성된다. 일부 실시예들에서, 유기 중합체 재료의 얇은 층이 금속 위에 형성될 수 있다(예를 들어, 약 0.5nm 내지 약 4nm, 또는 약 1nm 내지 약 2nm). 반응은 종료되었고 반응성 매질은 제거되었다. 반응은, 예를 들어, 반응 억제제를 첨가하거나, 자외선 또는 반응을 유도하는데 이용되는 기타의 화학광(actinic light)을 오프하거나, 온도를 감소시키는 등에 의해 종료될 수 있다. 도시된 바와 같이, 잠재 개시 사이트(X)는 재생성될 수 있다. 유기 중합체 재료가 유전체 재료가 아니라 금속 위에 선택적으로 형성된다는 점에 유의한다.
적절한 유기 중합체 재료의 다양한 예를 생각해 볼 수 있다. 일부 실시예들에서, 유기 중합체 재료는 루테늄 또는 기타의 적절한 금속 촉매에 의해 촉진된 개환 복분해 중합(ring-opening metathesis polymerization)을 이용하여 폴리-노르보르넨 또는 기타의 중합체로서 형성될 수 있다. 다른 실시예들에서, 유기 중합체 재료는, 관련 기술분야에 공지된 라디칼 및 음이온 중합 방법들을 이용하여 폴리스티렌, 폴리아크릴레이트, 또는 기타의 중합체로서 형성될 수 있다. 역시 다른 실시예들에서, 유기 중합체 재료는, 2개의 이관능성 단량체의 교대 반응을 통해 형성된 임의의 다양한 축합 중합체로서 형성될 수 있다. 이러한 중합체의 예로서는, 나일론, 폴리우레아(polyureas), 카르바메이트(carbamates), 폴리이미드(polyimides), 및 관련 기술분야에 공지된 기타의 것들이 포함되지만, 이것으로 제한되지 않는다. 추가의 실시예들에서, 유기 중합체 재료는, 팔라듐, 구리, 니켈, 또는 기타의 금속 촉매화된 프로세스에 의해, 폴리티오펜(polythiophene), 폴리아렌(polyarene), 폴리아세틸리드(polyacetylide), 또는 기타의 전도성 중합체로서 형성될 수 있다. 역시 추가적인 실시예들에서, 유기 중합체 재료는, Ziegler-Natta 또는 기타의 중합 방법에 의해, 폴리에틸렌 또는 기타의 유기 중합체로서 형성될 수 있다.
도 5e는, 유전체 재료(514) 위에 유기 중합체 재료의 제1 얇은 층(516-1)에 인접하게 형성된 유전체 재료의 제1 얇은 층(518-1)을 도시한다. 일부 실시예들에서, 유전체 재료의 층은 유기 중합체 재료에 비해 유전체 재료에 대해 선택적이거나 적어도 우선적인 반응에 의해 형성될 수 있다. 유전체 재료를 형성하기 위한 앞서 설명된 접근법들, 또는 관련 기술분야에 공지된 기타의 것들이 이용될 수 있다. 대안으로서, 유전체 재료보다는, 카르보실록산 재료가 선택사항으로서 이용될 수 있다. 앞서 논의된 바와 같이, 상이한 재료들의 얇은 층들을 교대로 형성하는 것은 측방향 침범을 제한하는 것을 도울 수 있다. 유전체 재료의 층은 두께(t2)를 가진다. 예시된 실시예에서, 두께(t2)는 유기 중합체 재료의 층의 두께(t1)과 대략적으로 동일하다. 대안으로서, 도 4a 내지 도 4c에 도시된 것과 유사한 접근법이 선택사항으로서 그 대신 이용될 수도 있다.
어느 한쪽 또는 양쪽 반응에서 완벽한 선택성이 요구되는 것은 아니다. 예를 들어, 유전체 재료 위의 소량의 유기 중합체 성장은 유전체 재료를 퇴적하기 위한 다른 충분한 사이트가 남아 있다면 용인될 수 있다. 게다가, 유기 중합체 재료는 궁극적으로 (예를 들어, 열 분해 프로세스(thermal degradation process)에 의해) 선택사항으로서 유전체 재료로부터 제거될 수 있다. 마찬가지로, 금속 위의 유기 중합체 재료 내의 소량의 카르보실록산 또는 유전체 재료 퇴적은, 추가의 유기 중합체 성장을 방해하지 않고 희생 유기 중합체 재료의 후속된 제거를 방해하지 않는다면 용인될 수 있다.
도 5a 내지 도 5e는, 각각, 하부 금속(512)과 유전체 재료(514) 위에서의 유기 중합체 재료의 얇은 층(516-1)과 유전체 재료의 얇은 층(518-1)의 형성을 도시한다. 일부 실시예들에서, 도 5a 내지 도 5e의 접근법은, 명세서의 다른 곳에서 설명된 바와 같이 복수의 순차적 및 교대하는 얇은 층들(예를 들어, 종종, 각각의 재료의 5개 이상의 얇은 층들)로 구성된 두꺼운 층을 형성하기 위해 일반적으로 복수회 반복될 수 있다. 일부 실시예에서, 수십 나노미터 정도의(예를 들어, 약 5 내지 약 100nm, 약 5 내지 약 50nm, 약 10 내지 약 20nm 등) 두꺼운 층들이 형성될 수 있다.
도시된 예시적인 실시예에서, 유기 중합체 재료의 얇은 층(516-1)은, 유전체 재료의 얇은 층(518-1)의 형성에 앞서 형성되었지만, 이것이 요구사항은 아니다. 또 다른 실시예에서, 유전체 재료의 얇은 층이 먼저 형성될 수 있다. 일부 실시예들에서, 인접한 영역 위로의 최소량의 측방향 침범을 갖는 유기 중합체 재료와 유전체 재료 중 어느 것이든 먼저 형성될 수도 있다. 이것은 측방향 침범을 제한하기 위한 접근법의 일 실시예를 나타낸다. 역시 다른 실시예에서, 단일의 반응성 매질로부터 서로 직교하는 2개의 선택적 반응(예를 들어, 라디칼 대 축합 반응)을 수행함으로써 상이한 재료들의 얇은 층들이 함께 또는 동시에 성장될 수 있다.
예시된 실시예에서, 희생 유기 중합체 재료가 금속 위에 형성되었지만, 이것이 요구사항은 아니다. 또 다른 실시예에서, 희생 유기 중합체 재료가 유전체 재료 위에 형성될 수 있다. 역시 다른 실시예에서, 층들은 금속 및 유전체 재료 외에 다른 유형의 표면 재료(예를 들어, 반도체 재료, 유기 중합체 재료 등) 위에 형성될 수 있다.
도 6a 내지 도 6c는 희생 유기 중합체 재료를 제거하고 희생 유기 중합체 재료가 제거된 곳으로부터 또 다른 재료를 도입하는 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다.
도 6a는, 기판(610), 제1 표면 재료에 해당하는 금속(612), 및 제2 표면 재료에 해당하는 유전체 재료(614)를 도시한다. 기판, 금속, 및 유전체 재료 각각은 본 명세서의 다른 곳에서 설명된 것들과 유사하거나 동일할 수 있다. 두꺼운 희생 유기 중합체 층(616)은 금속(612) 위에 형성되었다. 두꺼운 유전체 층(618)은 유전체 재료(614) 위에 형성되었다. 일부 실시예들에서, 두꺼운 희생 유기 중합체 층과 두꺼운 유전체 층은 도 5a 내지 도 5e에 도시된 접근법에 의해 형성될 수 있지만, 이것이 요구사항은 아니다. 도 5a 내지 도 5e에 대해 설명된 컴포넌트, 피쳐, 및 특정한 선택사항적 세부사항은 선택사항으로서 도 6a 내지 도 6c에 적용된다.
도 6b는 도 6a의 두꺼운 희생 유기 중합체 층(616)을 제거하여 대응하는 개구(630)를 형성하는 것을 도시한다. 개구는, 금속(612)의 상부 표면, 및 두꺼운 유전체 층(618)의 수직 측벽에 의해 정의된다. 두꺼운 희생 유기 중합체 층과 두꺼운 유전체 층은, 두꺼운 희생 유기 중합체 층이 선택적으로 제거되는 것을 허용하는, 예를 들어, 에칭 속도, 열 분해 특성 등의, 상이한 특성을 가질 수 있다. 일부 실시예들에서, 에칭은 두꺼운 희생 유기 중합체 층을 에칭하는데 이용될 수 있다. 유전체 재료에 비해 유기 중합체 재료를 선택적으로 또는 적어도 우선적으로 에칭할 수 있는 임의의 에칭이 잠재적으로 이용될 수 있다. 다른 실시예들에서, 두꺼운 희생 유기 중합체 층을 열적으로 저하시키고 제거하기 위해 열처리가 이용될 수 있다.
도 6c는 개구(630) 내로의 및 위로의 및 두꺼운 유전체 층(618) 위로의 금속층(532)의 블랭킷 퇴적을 도시한다. 금속이, 희생 유기 중합체 층이 제거된 개구(630) 내에 도입된다. 일 양태에서, 이것은 금속의 후채움(back fill)을 나타낼 수 있다. 대안으로서, 관련 기술분야에 공지된 금속 재료를 도입하는 다른 방식들이 이용될 수도 있다. 일부 경우에, 예를 들어, 화학 기계적 연마(CMP), 에칭(예를 들어, 건식 에칭 또는 습식 에칭), 기타의 탑-다운 재료 제거 방법 등에 의해, 기판의 상부 표면이 후속해서 평탄화되어 두꺼운 유전체 층의 상부 표면을 노출할 수 있다.
예시된 실시예에서, 희생 유기 중합체 재료가 금속 위에 있지만, 이것이 요구사항은 아니다. 또 다른 실시예에서, 희생 유기 중합체 재료는 유전체 재료 위에 있을 수 있다. 역시 다른 실시예에서, 금속 및 유전체 재료 외의 다른 재료들(예를 들어, 반도체 재료, 유기 중합체 재료 등)이 이용될 수도 있다.
도 7은, 각각의 제1 및 제2 인접한 영역들 위에 제1 및 제2 재료의 제1 및 제2 두꺼운 층들을 형성하는 방법으로서 제1 재료의 얇은 층들을 교대로 형성하는 단계와 인접한 제2 영역 위에서의 제1 재료의 얇은 층들의 측방향 침범을 감소시키는 단계를 포함하는 방법(700)의 실시예의 블록 흐름도이다. 도 8a 내지 도 8e는 도 7의 방법의 상이한 스테이지들에서의 중간 기판들의 측단면도이다. 명료성을 위해, 도 7의 방법은 도 8a 내지 도 8e의 중간 기판들과 연관하여 설명될 것이다. 도 8a 내지 도 8e의 중간 기판들에 대해 설명된 컴포넌트들, 피쳐들, 및 특정한 선택사항적 상세사항들은 또한, 이러한 중간 기판들을 이용하여 실시예들이 수행될 수 있는 도 7의 동작 및/또는 방법에도 선택사항으로서 적용된다. 대안으로서, 도 7의 동작 및/또는 방법은 도 8a 내지 도 8e의 것들과는 상이한 기판들에서 이용될 수 있다. 게다가, 도 8a 내지 도 8e의 기판들은 도 7의 것과는 상이한 동작 및/또는 방법들에서 이용될 수 있다.
이 방법은, 블록(740)에서, 선택적 또는 적어도 우선적 화학 반응에 의해 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 얇은 층을 형성하는 단계를 포함한다. 일부 실시예들에서, 제1 표면 재료는 금속일 수 있다. 다른 실시예들에서, 제1 표면 재료는 유전체 재료일 수 있다. 대안으로서, 금속 및 유전체 재료 외의 다른 재료들이 이용될 수도 있다. 본 명세서의 다른 곳에서 설명된 선택적 또는 적어도 우선적 반응에 의해 이들 얇은 층들을 형성하기 위한 다양한 접근법들이 적합하다. 일부 실시예에서, 제1 재료의 얇은 층은 제1 영역에 인접한 제2 영역 상의 얇은 층 및/또는 제1 재료의 침범을 제한하기에 충분한 두께를 가질 수 있다. 예로서, 다양한 실시예에서, 제1 재료의 얇은 층은 약 1nm 내지 약 6nm의, 또는 약 1nm 내지 약 4nm의, 또는 약 1nm 내지 약 2nm의 두께를 가질 수 있다. 이러한 얇은 층을 형성하는 것은, 인접한 영역 위에서의 얇은 층의 침범을 제한하기 위한 접근법의 일 실시예를 나타낸다.
도 8a는, 기판(810), 기판의 제1 영역 위의 제1 표면 재료(812)(예를 들어, 금속 또는 유전체 재료), 및 기판의 인접한 제2 영역 위의 상이한 제2 표면 재료(814)(예를 들어, 금속 또는 유전체 재료)를 도시한다. 기판, 제1 표면 재료, 및 제2 표면 재료 각각은 전술된 것들과 유사하거나 동일할 수 있다. 제1 재료의 제1 얇은 층(850-1)은 선택적 또는 적어도 우선적 화학 반응을 통해 제1 표면 재료(812) 및/또는 제1 영역 위에 형성된다. 층(850-1)은 실질적으로 전술된 바와 같이 형성될 수 있다. 층(850-1)은 두께(t1)를 가진다. 다양한 실시예에서, 두께는 약 1nm 내지 약 6nm, 또는 약 1nm 내지 약 4nm, 또는 약 1nm 내지 약 2nm일 수 있다. 이러한 얇은 층을 형성하는 것은, 인접한 영역 위에서의 얇은 층의 침범을 제한하기 위한 접근법의 일 실시예를 나타낸다. 층(850-1)은 제2 표면 재료 및/또는 제2 영역 위에서 침범(e1)을 가진다.
다시 도 7을 참조하면, 이 방법은, 블록(741)에서, 제2 표면 재료 및/또는 인접한 제2 영역 위에서의 제1 재료의 얇은 층의 침범을 감소시키는 단계를 포함한다. 일부 실시예들에서, 침범은 트림 에칭(trim etch)을 수행함으로써 감소될 수 있다. 예를 들어, 측방향 잉여 재료를 에칭, 트리밍, 또는 클립핑에 의해 침범을 감소시키기 위해, 지향성 에칭, 반응성 이온 에칭, 플라즈마 건식 에칭이 이용될 수 있다. 이러한 에칭은, 하부 표면에 직교하거나, 적어도 더욱 직교하는 측벽을 달성할 수 있다. 측방향 침범은, 층들의 에지와 중앙 영역에서의 침범간의 전형적인 두께 차이로 인해 상부 표면보다 일찍 에칭 제거될 수 있다. 에칭 시간은 원하는 침범량을 제거하도록 제어될 수 있다. 예로서, 알루미늄 또는 하프늄 금속에 대해 염소 또는 브롬화 수소 에칭이 이용될 수 있고, 코발트 금속에 대해 일산화탄소 또는 불화 암모늄 에칭이 이용될 수 있고, 산화물 계열의 유전체 재료에 대해 불산 또는 4불화 탄소 에칭이 이용될 수 있다.
다른 실시예들에서, 침범은 어닐링을 수행함으로써 감소될 수 있다. 어닐링은 제1 재료의 얇은 층의 온도를 증가시킬 수 있다. 다양한 양태에서, 온도는, 베이킹, 오븐내 가열, 열 램프에 의한 가열, 적외선 조사 적용, 레이저를 이용한 가열, 또는 기타의 방식으로의 열 인가 및/또는 온도 증가에 의해, 증가될 수 있다. 일부 실시예들에서, 온도는, 제1 재료가 용융, 재결정화, 리폼(reform), 수직 성장을 달성, 또는 기타의 방식으로 침범을 감소시킬 때까지 증가될 수 있다. 적절한 어닐링의 한 특정한 예는, 침범을 감소시키는 것을 도울 수 있는, 금속을 재결정화하고 결정축을 따른 성장을 구동하기에 충분한 급속 열 어닐링이다. 다른 실시예들에서, 다른 열처리, 결정화 처리, 또는 기타의 침범 감소 처리가 이용될 수 있다.
도 8b는 제1 재료의 제1 수정된 감소된 침범의 얇은 층(816-1)을 도시한다. 침범량은, 특정한 구현에 따라 원하는 대로, 약간 또는 많이 감소될 수 있다. 일부 실시예들에서, 침범은, 침범량이 대략 제로가 될 때까지 감소될 수 있다. 대안으로서, 더 적은 침범량은 남아 있는 것이 허용될 수 있다.
다시 도 7을 참조하면, 블록(742)에서, 제1 재료의 누적된 두꺼운 층들이 충분히 두껍지 않다면, 이 방법은 1회 이상 블록(740 및 741)을 재방문할 수 있다. 일부 실시예들에서, 이 방법은, 제1 재료의 적어도 5개의 얇은 층이 형성될 때까지 블록들(740 및 741)을 루핑할 수 있다. 예를 들어, 일부 실시예들에서, 약 5개 내지 약 50개, 또는 약 5개 내지 약 30개, 또는 약 7개 내지 약 20개의 제1 재료의 얇은 층들이 존재할 수 있다. 층들 각각은 실질적으로 전술된 바와 같이 형성될 수 있다. 소정의 시점에서, 제1 재료의 누적된 두꺼운 층이 충분히 두꺼우면, 이 방법은, 블록(743)으로 진행할 수 있다. 실제의 구현에서, 루프의 각각의 반복에서 실제의 판정이 없을 수 있고, 오히려, 이 방법이 단순히 소정의 또는 미리 결정된 횟수만큼 반복될 수도 있다는 것을 이해해야 한다.
이 방법은 제1 영역 위에 제1 재료의 얇은 층들을 형성하는 것과 인접한 제2 영역 위에서의 제1 재료의 얇은 층들의 침범을 감소시키는 것을 교대로 수행할 수 있다. 본 명세서의 다른 곳에서 언급된 바와 같이, 얇은 층들을 형성하는 것은, 침범량은 일반적으로 층 두께 증가와 더불어 증가하는 경향이 있기 때문에, 침범을 제한하기 위한 한 접근법을 나타낸다. 게다가, 이들 얇은 층들 각각 상의 침범을 감소시키는 것은 각각의 층 상의 침범량을 후퇴시켜 추가의 얇은 층들이 퇴적됨에 따라 침범량이 지속적으로 꾸준히 증가하지 않게 하는 것을 도울 수 있다. 이것은 침범을 제한하기 위한 적절한 접근법의 또 다른 실시예를 나타낸다.
도 8c는, 선택적 또는 적어도 우선적 화학 반응을 통해 제1 재료의 제1 감소된 침범의 얇은 층(816-1) 위에 선택적으로 형성된 제1 재료의 제2 얇은 층(850-2)을 도시한다. 도 8d는, 층(850-2)의 침범을 감소시킴으로써 형성된 제1 재료의 제2 수정된 감소된 침범의 얇은 층(816-2)을 도시한다. 앞서와 같이, 침범량은, 특정한 구현에 대해 원하는 대로, 약간 또는 많이 감소될 수 있다.
다시 도 7의 블록(742)을 참조하면, 소정의 시점에서, 제1 재료의 누적된 두꺼운 층은 충분한 두께에 도달할 것이다. 이 방법은 블록(742)으로부터 블록(743)으로 진행할 수 있다. 블록(743)에서, 제2 재료의 제2 두꺼운 층이 제2 상이한 표면 재료를 갖는 인접한 제2 영역 위에 형성될 수 있다. 일부 실시예들에서, 제2 두꺼운 층은, 단일의 퇴적을 통해 단일의 층으로서 형성될 수도 있다. 일부 실시예들에서, 제2 두꺼운 층은, 단일의 퇴적 프로시져에서 기판의 상부 표면 위로서 제1 재료의 두꺼운 층들 사이에 제2 재료를 블랭킷 퇴적하거나 후채움함으로써 형성될 수 있다. 다양한 실시예에서, ALD, CVD, 졸-겔, 용액-기반의 스핀온 접근법, 또는 관련 기술분야에 공지된 기타의 접근법에 의해 제2 재료를 퇴적함으로써 제2 두꺼운 층이 형성될 수 있다. 예를 들어, 제2 재료가 유전체 재료인 경우, 본 명세서의 다른 곳에서 개시된 다양한 유전체 재료들 중 임의의 것이, 관련 기술분야에 공지된 CVD, ALD, MLD, 졸-겔, 또는 스핀온 프로세스에 의해 퇴적될 수 있다. 제1 재료의 두꺼운 층들이 이미 형성되었기 때문에, 선택적 또는 우선적 화학 반응에 대한 필요성이 없다. 다른 실시예들에서, 제2 두꺼운 층은, 본 명세서의 다른 곳에서 개시된 다양한 접근법을 이용하여 선택적 또는 적어도 우선적 화학 반응에 의해 형성될 수 있다.
도 8e는, 제2 영역 및/또는 제2 표면 재료(814) 위에 및 제1 재료의 두꺼운 층(816)의 상부 위에 형성된 제2 재료(832)의 두꺼운 층을 도시한다. 앞서 언급된 접근법들 중 임의의 것이 이 층을 형성하는데 이용될 수 있다. 일부 실시예에서, 표면을 전반적으로 평탄화하고 제1 재료의 두꺼운 층의 상부를 노출하기 위해 CMP 또는 다른 평탄화 접근법들이 선택사항으로서 이용될 수 있지만, 이것이 요구사항은 아니다.
다른 실시예들에서, 탄소 나노튜브가 도 7 및 도 8a 내지 도 8e에 도시된 것과 유사한 접근법을 이용하여 금속 표면 위에 비교적 선택적으로 성장될 수 있다. 그러나, 종종 탄소 나노튜브는, 트림 에칭 등을 수행함으로써 침범량을 간헐적으로 감소시킬 필요성이 없도록 제한된 침범량으로 성장될 수 있다.
도 9a 내지 도 9e는, 금속(960)과 유전체 재료(961)의 교대하는 두꺼운 층들의 2차원 배열이 상위 레벨 ILD 격자의 융기된 ILD 라인 구조들(964) 사이의 하부 금속 라인(962)과 ILD(963) 그리드 위에 형성되는 비아 형성 프로세스의 실시예 동안에 생성된 중간 기판의 실시예의 상이한 도면들을 나타낸다. 도 9a는 상부 평면도이다. 도 9b는 상위 레벨 ILD 격자의 융기된 ILD 라인 구조(964)를 통해 절취선(9B-9B)을 따라 취해진 측단면도이다. 도 9c는, 상위 레벨 ILD 격자의 인접한 융기된 ILD 라인 구조들(964) 사이의 금속(960)과 유전체 재료(961)의 교대하는 두꺼운 층들을 통해 절취선(9C-9C)을 따라 취해진 측단면도이다. 도 9d는, 금속(960)의 두꺼운 층과 상위 레벨 ILD 격자의 인접한 융기된 ILD 라인 구조(964)를 횡단하여 취해진 절취선(9D-9D)을 따라 취해진 측단면도이다. 도 9e는, 유전체 재료(961)의 두꺼운 층과 상위 레벨 ILD 격자의 인접한 융기된 ILD 라인 구조(964)를 횡단하여 취해진 절취선(9E-9E)을 따라 취해진 측단면도이다.
알 수 있는 바와 같이, 6개의 병렬 수직 융기된 ILD 라인 구조(964)는 상위 레벨 ILD 격자를 나타낸다. 6개의 수직 융기된 ILD 라인 구조는 ILD(963) 내의 배치되거나 임베딩된 6개의 병렬 수평 금속 라인(962)을 갖는 ILD(963) 그리드 및 금속 라인(962) 위에 오버레이되어 상이한 쌍의 인접 금속 라인들(962) 사이에서 6개의 병렬 ILD 라인 구조들(963)의 상부 각각을 드러낸다. 수직 상위 레벨 융기된 ILD 라인 구조(964)는 하부 수평 금속(962)과 ILD(963) 그리드에 수직이다. 도 9a 및 도 9c에 잘 도시된 바와 같이, 금속(960) 및 유전체 재료(961)의 교대하는 두꺼운 층들의 1차원 배열 또는 컬럼이 융기된 수직 ILD 라인 구조(964) 사이에서 하부 금속 라인(962)과 ILD(963) 그리드 위에 형성된다. 금속(960)과 유전체 재료(961)의 두꺼운 층들은 기본적으로 하부 금속(962)과 ILD(963)를 융기된 수직 ILD 라인 구조 사이에서 위쪽으로 확장시킨다. 금속(960)의 두꺼운 층들은, 하부와 노출된 상부를 제외하고 모든 측면에서 절연 재료(예를 들어, 융기된 수직 ILD 라인 구조(964)와 유전체 재료(961)의 두꺼운 층들)에 의해 포위되어 있다.
금속(960)의 두꺼운 층들은 모든 하부의 노출된 금속 표면들 위에(예를 들어, 모든 가능한 비아 위치에서) 비선택적으로 형성되었다. 언급된 바와 같이, 이들 금속의 두꺼운 층들은 비아로서 이용될 수 있고, 도시된 실시예에서, 이들 금속의 두꺼운 층들은 유전체 재료에 의해 포위된 모든 가능한 비아 위치들에 형성되었다. 비아를 위해 일반적으로 금속(960)의 이들 두꺼운 층들의 일부만이 필요한 반면, 나머지는 비아로서의 이용에 필요하지 않을 것이다. 일부 실시예들에서, 비아를 위해 필요하지 않은 금속(960)의 이들 두꺼운 층들의 서브셋은 선택적으로 제거되면서, 비아로서 이용되고자 하는 또 다른 부분을 남겨둘 수 있다. 대표적으로, 필요하다면, 기판의 표면을 평탄화하기 위해 화학 기계적 평탄화(CMP) 또는 기타의 적절한 표면 평탄화 기술이 선택사항으로서 이용될 수 있다. 그 다음, 추가적인 하드 마스크의 퇴적을 수반하거나 수반하지 않는 리소그래피가 수행되어 예를 들어 감법 금속 에칭(subtractive metal etch)에 의해 어느 비아가 제거될 것인지를 정의할 수 있다. 예를 들어, 비아로서 이용될 금속(960)의 두꺼운 층들의 제1 서브셋 위에 한 부분이 남고, 또 다른 부분은 제거되어 비아를 위해 필요하지 않은 금속(960)의 두꺼운 층들의 제2 서브셋 위에 에칭 액세스 개구를 드러내도록 포토레지스트 층이 리소그래피로 패터닝될 수도 있다. 그 다음, 금속(960)의 두꺼운 층들의 제2 서브셋을 선택적으로 제거하기 위해 에칭 액세스 개구를 통해 에칭이 수행될 수 있다. 그 다음, 원치 않는 비아를 제거함으로써 형성된 개구들 내에 유전체 재료가 후채움되거나 기타의 방식으로 도입될 수 있다. 유전체를 퇴적하거나 도입하기 위한 적절한 접근법들의 예로서는, 용액-기반의 스핀온 접근법, CVD, ALD 등을 포함하지만, 이것으로 제한되는 것은 아니다. 그 다음, 선택사항적인 CMP 또는 기타의 평탄화 기술이 적용되어 표면을 평탄화하고 비아의 단부(ends)를 드러낼 수 있다.
일부 실시예들에서, 여기서 개시된 바와 같이 형성된 층들은 극히 작은 구조(예를 들어, 비아) 및/또는 극히 작은 피치의 구조를 형성하는데 이용될 수 있다. 예를 들어, 일부 실시예들에서, 조립된 구조는 약 20nm 이하의 임계 치수를 갖는 비아 및/또는 약 50nm 이하의 피치의 비아를 형성하는데 이용될 수 있다. 예를 들어, 약 20nm 이하의 단면 치수를 갖는 비아가 약 20nm 이하의 라인 폭을 갖는 인터커넥트 라인 위에 형성될 수 있다. 이러한 극히 작은 구조 및/또는 피치는 일반적으로 리소그래피에 대한 해결과제를 제기하는 경향이 있다. 유익하게도, 재료의 층들은 상이한 하부의 재료 패턴들에 관해 실질적으로 정렬되기 때문에, 비아 또는 인터커넥트 구조도 역시 상이한 하부의 재료 패턴 경계들에 관해 정렬되거나 배치될 수 있다. 즉, 재료의 층들 및/또는 인터커넥트 구조들의 소위 "바텀 업(bottoms up)" 형성이 존재할 수 있다. 이것은, 특히 극히 작은 구조 또는 극히 작은 피치의 구조를 형성할 때, 이러한 정렬을 위해 리소그래피(예를 들어, "탑 다운" 접근법)에 의존할 필요 없이, 정렬을 향상시키는 것을 도울 수 있다. 다른 실시예들은 이러한 작은 크기 및/또는 피치로 제한되지 않는다.
여기서 개시된 실시예들은, 광범위한 상이한 유형의 집적 회로 및/또는 마이크로전자 디바이스를 제작하는데 이용될 수 있다. 이러한 집적 회로의 예로서는, 프로세서, 칩셋 컴포넌트, 그래픽 프로세서, 디지털 신호 프로세서, 마이크-제어기 등이 포함되지만, 이것으로 제한되는 것은 아니다. 다른 실시예들에서, 반도체 메모리가 제작될 수 있다. 게다가, 관련 기술분야에 공지된 광범위한 전자 디바이스에서 집적 회로 또는 기타의 마이크로전자 디바이스들이 이용될 수 있다. 예를 들어, 컴퓨터 시스템(예를 들어, 데스크탑, 랩탑, 서버), 셀룰러폰, 개인용 전자 장치(personal electronics) 등. 집적 회로는 시스템 내의 버스 및 다른 컴포넌트들과 결합될 수 있다. 예를 들어, 프로세서는 하나 이상의 버스에 의해 메모리, 칩셋 등에 결합될 수 있다. 프로세서, 메모리, 및 칩셋들 각각은 잠재적으로 여기서 개시된 접근법을 이용하여 제작될 수 있다.
도 10은 본 발명의 일 구현에 따른 컴퓨팅 디바이스(1000)를 나타낸다. 컴퓨팅 디바이스(1000)는 보드(1002)를 하우징한다. 보드(1002)는, 프로세서(1004) 및 적어도 하나의 통신 칩(1006)을 포함한 그러나 이것으로 제한되지 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(1004)는 보드(1002)에 물리적으로 및 전기적으로 결합된다. 일부 구현들에서, 적어도 하나의 통신 칩(1006)은 또한 보드(1002)에 물리적으로 및 전기적으로 결합된다. 추가의 구현에서, 통신 칩(1006)은 프로세서(1004)의 일부이다.
그 응용에 따라, 컴퓨팅 디바이스(1000)는, 보드(1002)에 물리적으로 및 전기적으로 결합되거나 결합되지 않을 수 있는 다른 컴포넌트들을 포함할 수 있다. 이들 다른 컴포넌트들은, 휘발성 메모리(예를 들어, DRAM), 비휘발성 메모리(예를 들어, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서(crypto processor), 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS(global positioning system) 디바이스, 나침반, 가속도계, 자이로스코프, 스피커, 카메라, (하드 디스크 드라이브, 컴팩트 디스크(CD), DVD(digital versatile disk) 등과 같은) 대용량 저장 디바이스를 포함할 수 있지만, 이것으로 제한되는 것은 아니다.
통신 칩(1006)은 컴퓨팅 디바이스(1000)와의 데이터 전송을 위한 무선 통신을 가능케 한다. 용어 "무선(wireless)" 및 그 파생어들은, 비-고체 매체를 통한 변조된 전자기 복사(modulated electromagnetic radiation)를 이용하여 데이터를 전달할 수 있는, 회로, 디바이스, 시스템, 방법, 기술, 통신 채널 등을 기술하는데 이용될 수 있다. 이 용어는 연관된 디바이스가 어떠한 유선도 포함하지 않는다는 것을 암시하는 것은 아니지만, 일부 실시예들에서는 아닐 수도 있다. 통신 칩(1006)은, Wi-Fi(IEEE 802.11군), WiMAX(IEEE 802.16군), IEEE 802.20, 롱텀 에볼루션(LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 그 파생물 뿐만 아니라 3G, 4G, 5G, 및 그 이상으로 표기된 기타 임의의 무선 프로토콜을 포함하지만 이들로 제한되지 않는 다수의 무선 표준이나 프로토콜 중 임의의 것을 구현할 수 있다. 컴퓨팅 디바이스(1000)는 복수의 통신 칩(1006)을 포함할 수 있다. 예를 들어, 제1 통신 칩(1006)은 Wi-Fi 및 블루투스 등의 단거리의 무선 통신에 전용일 수 있고, 제2 통신 칩(1006)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등의 장거리의 무선 통신에 전용일 수 있다.
컴퓨팅 디바이스(1000)의 프로세서(1004)는 프로세서(1004) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 일부 구현들에서, 프로세서의 집적 회로 다이는, 본 발명의 구현에 따라 구축된, 자기정렬 비아 및 플러그 등의 하나 이상의 구조를 포함한다. 용어 "프로세서"란, 레지스터 및/또는 메모리로부터의 전자적 데이터를 처리하여 그 전자적 데이터를 레지스터 및/또는 메모리에 저장될 수 있는 다른 전자적 데이터로 변환하는 임의의 디바이스 또는 디바이스의 일부를 말한다.
통신 칩(1006)은 또한 통신 칩(1006) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 또 다른 구현들에 따르면, 통신 칩의 집적 회로 다이는, 본 발명의 구현에 따라 구축된, 자기정렬 비아 및 플러그 등의 하나 이상의 구조를 포함한다.
추가 구현들에서, 컴퓨팅 디바이스(1000) 내에 하우징된 또 다른 컴포넌트는, 본 발명의 구현에 따라 구축된, 자기정렬 비아 및 플러그 등의 하나 이상의 구조를 포함하는 집적 회로 다이를 포함할 수 있다.
다양한 구현에서, 컴퓨팅 디바이스(1000)는, 랩탑, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라 모바일 PC, 모바일폰, 데스크탑 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋탑 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 뮤직 플레이어, 또는 디지털 비디오 레코더일 수 있다. 추가 구현들에서, 컴퓨팅 디바이스(1000)는, 데이터를 처리하는 기타 임의의 전자 디바이스일 수 있다.
실시예들이 집적 회로용 비아를 형성하는 정황에서 상세히 설명되었지만, 본 발명의 범위는 이것으로 제한되지 않는다. 다른 실시예들은, 메모리 디바이스, 포토다이오드 어레이, MEMS(microelectromagnetic systems), 또는 다른 유형의 디바이스들을 위한 다른 유형의 구조의 형성에 속한다. 실시예들은 2개의 상이한 유형의 하부 재료(예를 들어, 금속 및 유전체)에 대해 상세히 설명되었다. 그러나, 다른 실시예들에서, 3개 이상의 상이한 유형의 하부 재료가 선택사항으로서 이용될 수 있다.
여기서 개시된 다양한 접근법들은 명시적으로 도시된 것들 이외의 다양한 다른 조합으로 이용될 수 있다는 것을 이해해야 한다. 임의의 기판에 대해 여기서 설명된 컴포넌트, 피쳐, 및 선택사항적 세부사항도 역시 선택사항으로서 여기서 설명된 연관된 방법들에 적용될 수 있다. 예를 들어, 도 3a 내지 도 3e 및/또는 도 4a 내지 도 4c 및/또는 도 5a 내지 도 5e 및/또는 도 6a 내지 도 6c 중 임의의 것에 대해 설명된 컴포넌트, 피쳐, 및 세부사항들은 또한 선택사항으로서, 도 1 및/또는 도 2의 방법들에서 이용될 수 있다. 마찬가지로, 도 8a 내지 도 8e 중 임의의 것에 대해 설명된 컴포넌트, 피쳐, 및 상세사항들은 역시 도 1 및/또는 도 7의 방법에서 선택사항으로서 이용될 수 있다. 또한, 도 9에 대해 설명된 컴포넌트, 피쳐, 및 상세사항들은 역시 도 1 및/또는 도 2 및/또는 도 7의 방법에서 선택사항으로서 이용될 수 있다. 역시, (예를 들어, 트림 에칭, 어닐링 처리 등을 이용해) 침범을 감소시키는 것은, 명시적으로 도시된 것들(예를 들어, 도 2의 방법) 외에 여기서 개시된 다른 실시예들에서 이용될 수 있다.
상세한 설명 및 청구항에서, 용어 "결합된(coupled)" 및 "접속된(connected)"은, 이들의 파생어와 함께, 여기서 사용될 수 있다. 이들 용어들은 서로에 대한 동의어로서 의도하지는 않은 것이다. 오히려, 특정한 실시예에서, "접속된"은 2개 이상의 요소가 서로 물리적 및/또는 전기적으로 직접 접촉한다는 것을 나타내기 위해 사용될 수 있다. "결합된"은 2개 이상의 요소들이 서로 직접 물리적 또는 전기적으로 접촉한다는 것을 의미할 수 있다. 그러나, "결합된"은 또한, 2개 이상의 요소가 서로 직접 접촉하지 않는다는 것을 의미할 수도 있다. 유사하게, 제2 요소 "위에"(또는 "아래에") 있는 제1 요소란, 바로 제2 요소 "상에" 있는 제1 요소(또는 바로 제1 요소 "상에" 있는 제2 요소)를 말하거나, 제1 요소와 제2 요소 사이에 배치된 하나 이상의 중간 요소가 있을 수 있다는 것을 말한다.
용어 "및/또는"이 사용될 수 있다. 여기서 사용될 때, 용어 "및/또는"은 한쪽 또는 다른 쪽 또는 양쪽 모두를 의미한다(예를 들어, A 및/또는 B는, A, 또는 B, 또는 A와 B 양쪽 모두를 의미한다).
상기의 설명에서, 설명의 목적을 위해, 본 발명의 실시예들의 철저한 이해를 제공하기 위하여 수 많은 구체적인 세부사항이 개시되었다. 그러나, 이들 구체적인 세부사항들 중 일부가 없이도 하나 이상의 다른 실시예들이 실시될 수 있다는 것은 관련 기술분야의 통상의 기술자에게 명백할 것이다. 설명된 특정한 실시예들은 본 발명을 제한하기 위함이 아니라 예시적인 실시예들을 예시하기 위해 제공된 것이다. 본 발명의 범위는 상기 구체적인 예들에 의해 결정되는 것이 아니라 청구항들에 의해서만 결정되어야 한다. 다른 사례에서, 공지된 회로, 요소, 및 동작들은, 설명의 이해를 모호하게 하는 것을 피하기 위하여 블록도의 형태로 또는 상세사항 없이 도시되었다.
적절하다고 여겨지는 경우, 참조 번호, 또는 참조 번호의 말단부는, 달리 명시되거나 뚜렷하게 명백하지 않은 한, 선택사항적으로 유사하거나 동일한 특성을 가질 수 있는 대응하거나 유사한 요소들을 나타내기 위해 도면들 중에서 반복되었다.
다양한 동작들 및 방법들이 설명되었다. 방법들 중 일부는 비교적 기본적인 형태로 흐름도로 설명되었지만, 동작들은 선택사항으로서 방법들에 추가되거나 및/또는 방법들로부터 제거될 수 있다. 또한, 흐름도는 예시적인 실시예에 따라 동작들의 특정한 순서를 보여주고 있지만, 그 특정한 순서는 예시적이다. 대안적인 실시예들은 선택사항으로서 상이한 순서로 동작들을 수행할 수 있고, 소정의 동작을 결합하고, 소정 동작들을 중첩할 수 있고, 등등이다.
본 명세서를 전체를 통해 "일 실시예", "실시예", 또는 "하나 이상의 실시예"라는 언급은, 예를 들어, 특정한 피쳐가 본 발명의 실시에 포함될 수도 있다는 것을 이해해야 한다. 마찬가지로, 본 설명에서 다양한 피쳐들은, 때때로 단일 실시예, 도면, 또는 그 설명에서, 본 개시의 간소화와 다양한 발명적 양태의 이해를 보조하기 위해 함께 그룹화된다는 것을 이해해야 한다. 그러나, 본 개시의 이러한 방법은, 본 발명이 각각의 청구항에서 명시적으로 기재되어 있는 것 보다 많은 피쳐들을 요구한다는 의도를 반영하는 것으로서 해석되어서는 안 된다. 오히려, 이하의 청구항들이 반영하는 바와 같이, 발명적 양태는 하나의 개시된 실시예의 모든 피쳐들보다 적다. 따라서, 상세한 설명에 후속하는 청구항들은 바로 이 상세한 설명에 분명히 병합되는 것이며, 각각의 청구항은 본 발명의 별개의 실시예로서 서로 독립적이다.
예시적인 실시예들
이하의 예들은 추가 실시예에 관한 것이다. 예에서의 구체적인 사항은 하나 이상의 실시예의 임의의 곳에서 이용될 수 있다.
예 1은, 선택적 화학 반응에 의해 제1의 복수의 얇은 층들 각각을 별개로 형성함으로써 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 제1 두꺼운 층을 형성하는 단계를 포함하는 방법이다. 이 방법은 또한, 상기 제1 영역에 인접한 제2 영역 위로의 상기 제1의 복수의 얇은 층들 각각의 침범을 제한하는 단계를 포함한다. 이 방법은, 상기 제1 표면 재료와는 상이한 제2 표면 재료를 갖는 상기 제2 영역 위에 제2 재료의 제2 두꺼운 층을 형성하는 단계를 더 포함한다.
예 2는, 상기 제2 두꺼운 층을 형성하는 단계는 선택적 화학 반응에 의해 제2의 복수의 얇은 층들 각각을 별개로 형성함으로써 상기 제2 두꺼운 층을 형성하는 단계를 포함하는, 예 1의 방법을 포함한다.
예 3은, 상기 침범을 제한하는 단계는 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제2의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것을 교대로 행하는 단계를 포함하는, 예 2의 방법을 포함한다.
예 4는, 상기 침범을 제한하는 단계는, 상기 제2 영역 위로의 제한된 침범만을 제공하도록 상기 제1의 복수의 얇은 층들 중의 얇은 층들 각각을 4nm 이하의 두께로 형성하는 단계를 포함하는, 예 3의 방법을 포함한다. 상기 제2의 복수의 얇은 층들 중의 얇은 층들 각각은 상기 제1 영역 위로의 제한된 침범만을 제공하도록 4nm 이하의 두께로 형성된다.
예 5는, 상기 침범을 제한하는 단계는, 층 두께마다 최소량의 측방향 침범을 제공하는, 상기 제1 및 제2 재료 중 하나의 재료의 상기 제1 및 제2의 복수의 얇은 층들 중의 초기의 얇은 층을 형성하는 단계를 포함하는, 예 3의 방법을 포함한다.
예 6은, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1의 복수의 얇은 층들 중의 적어도 5개의 얇은 층을 형성하는 단계를 포함하는, 예 3 내지 예 5 중 어느 하나의 방법을 포함한다. 또한, 상기 제2 두꺼운 층을 형성하는 단계는, 상기 제2의 복수의 얇은 층들 중의 적어도 5개의 얇은 층을 형성하는 단계를 포함한다.
예 7은, 상기 제1 두꺼운 층을 형성하는 단계는, 선택적 중합 반응에 의해 상기 제1의 복수의 얇은 층들 각각을 별개로 형성함으로써 상기 제1 표면 재료를 갖는 상기 제1 영역 위에 유기 중합체 재료의 상기 제1 두꺼운 층을 형성하는 단계를 포함하는, 예 3 내지 예 5 중 어느 하나의 방법을 포함한다. 상기 제1 및 제2 표면 재료 중 하나의 표면 재료는 금속이고, 다른 표면 재료는 유전체 재료이다.
예 8은, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1 표면 재료로서 금속을 갖는 상기 제1 영역 위에 상기 유기 중합체 재료의 제1 두꺼운 층을 형성하는 단계를 포함하는, 예 7의 방법을 포함한다. 이 방법은 상기 제1 영역 위로부터 상기 유기 중합체 재료의 제1 두꺼운 층을 제거하는 단계; 및 상기 유기 중합체 재료의 제1 두꺼운 층이 제거된 상기 제1 영역 위에 금속을 도입하는 단계를 더 포함한다.
예 9는, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1 표면 재료로서 유전체 재료를 갖는 상기 제1 영역 위에 상기 유기 중합체 재료의 제1 두꺼운 층을 형성하는 단계를 포함하는, 예 7의 방법을 포함한다. 이 방법은 상기 제1 영역 위로부터 상기 유기 중합체 재료의 제1 두꺼운 층을 제거하는 단계; 및 상기 유기 중합체 재료의 제1 두꺼운 층이 제거된 상기 제1 영역 위에 유전체 재료를 도입하는 단계를 더 포함한다.
예 10은 상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제1의 복수의 얇은 층들 중의 얇은 층들의 침범을 감소시키는 것을 교대로 행하는 단계를 포함하는, 예 1의 방법을 포함한다.
예 11은, 상기 침범을 감소시키는 것은, 상기 얇은 층들에 대해 트림 에칭을 수행하는 것을 포함하는, 예 10의 방법을 포함한다.
예 12는, 상기 침범을 감소시키는 것은, 상기 얇은 층들에 대해 어닐링, 열 처리 및 재결정화 처리 중 적어도 하나를 수행하는 것을 포함하는, 예 10의 방법을 포함한다.
예 13은, 상기 제2 두꺼운 층을 형성하는 단계는 상기 제2 영역 위에 상기 제2 재료의 단일의 두꺼운 층을 퇴적하는 단계를 포함하는, 예 10 내지 예 12 중 어느 하나의 방법을 포함한다.
예 14는, 상기 제1 영역의 최대 측방향 단면 치수는 50nm 이하이고, 상기 제1 두꺼운 층은 적어도 10nm의 두께를 갖는, 예 1 내지 예 13 중 어느 하나의 방법을 포함한다.
예 15는, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1의 복수의 얇은 층들 중의 적어도 5개의 얇은 층을 형성하는 단계를 포함하는, 예 1 내지 예 13 중 어느 하나의 방법을 포함한다.
예 16은, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1 표면 재료로서 금속을 갖는 상기 제1 영역 위에 금속과 희생 유기 중합체 재료 증 하나인 상기 제1 재료의 상기 제1 두꺼운 층을 형성하는 단계를 포함하는, 예 1 내지 예 6 및 예 10 내지 예 15 중 어느 하나의 방법을 포함한다. 상기 제2 두꺼운 층을 형성하는 단계는, 상기 제2 표면 재료로서 유전체 재료를 갖는 상기 제2 영역 위에 유전체 재료의 상기 제2 두꺼운 층을 형성하는 단계를 포함한다.
예 17은, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1 표면 재료로서 금속을 갖는 상기 제1 영역 위에 상기 제1 재료의 상기 제1 두꺼운 층을 형성하는 단계를 포함하는, 예 1 내지 예 6 및 예 10 내지 예 15 중 어느 하나의 방법을 포함한다. 상기 제2 두꺼운 층을 형성하는 단계는, 상기 제2 표면 재료로서 유전체 재료를 갖는 상기 제2 영역 위에 유전체 재료와 희생 유기 중합체 재료 중 하나인 제2 재료의 상기 제2 두꺼운 층을 형성하는 단계를 포함한다.
예 18은, 상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중 주어진 얇은 층의 침범을, 상기 주어진 얇은 층에 관해 트림 에칭, 어닐링, 열처리, 및 재결정화 처리 중 적어도 하나를 수행함으로써 감소시키는 단계를 포함하는, 예 1 내지 예 17 중 어느 하나의 방법을 포함한다.
예 19는, 선택적 화학 반응에 의해 적어도 5개의 얇은 층들 각각을 별개로 형성함으로써 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 제1 두꺼운 층을 형성하는 단계를 포함하는 방법이다. 상기 제1 영역의 최대 측방향 단면 치수는 50nm 이하이다. 상기 제1 두꺼운 층은 적어도 10nm의 두께를 가진다. 이 방법은 또한, 상기 제1 영역에 인접한 제2 영역 위로의 상기 제1의 복수의 얇은 층들 각각의 침범을 제한하는 단계를 포함한다. 이 방법은, 상기 제1 표면 재료와는 상이한 제2 표면 재료를 갖는 상기 제2 영역 위에 제2 재료의 제2 두꺼운 층을 형성하는 단계를 더 포함한다. 상기 제1 및 제2 표면 재료 중 하나의 표면 재료는 금속이고, 다른 표면 재료는 유전체 재료이다.
예 20은, 상기 제2 두꺼운 층을 형성하는 단계는 선택적 화학 반응에 의해 제2의 복수의 얇은 층들 각각을 별개로 형성함으로써 상기 제2 두꺼운 층을 형성하는 단계를 포함하는, 예 19의 방법을 포함한다. 상기 침범을 제한하는 단계는 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제2의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것을 교대로 행하는 단계를 포함한다.
예 21은, 상기 침범을 제한하는 단계는 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제1의 복수의 얇은 층들 중의 얇은 층들의 침범을 감소시키는 것을 교대로 행하는 단계를 포함하는, 예 19 또는 예 20의 방법을 포함한다. 상기 침범을 감소시키는 것은, 상기 얇은 층들에 대해 트림 에칭, 어닐링, 열 처리 및 재결정화 처리 중 적어도 하나를 수행하는 것을 포함한다.
예 22는, 상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중 적어도 하나의 얇은 층의 침범을, 상기 적어도 하나의 얇은 층에 관해 트림 에칭, 어닐링, 열처리, 및 재결정화 처리 중 적어도 하나를 수행함으로써 감소시키는 단계를 포함하는, 예 19 또는 예 20의 방법을 포함한다.
예 23은, 유전체 재료, 상기 유전체 재료 내에 배치된 인터커넥트 라인, 및 상기 인터커넥트 라인 위의 비아를 포함하는 집적 회로이다. 상기 비아의 단면 치수는 30nm 이하이다. 유전체 재료는 상기 비아를 측방향으로 포위하고, 상기 비아에 인접한 부분을 포함한다. (a) 상기 비아가 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것; 및 (b) 상기 비아에 인접한 유전체 재료의 부분이 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것 중 적어도 하나가 만족된다.
예 24는, 상기 비아는 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하고, 상기 비아의 얇은 층들 각각은 4nm 이하의 두께를 갖는, 예 23의 집적 회로를 포함한다.
예 25는, 상기 비아는 서로의 위에 수직으로 적층된 적어도 7개의 얇은 층들을 포함하고, 상기 비아의 얇은 층들 각각은 0.5nm 내지 3nm의 두께를 갖는, 예 24의 집적 회로를 포함한다.
예 26은, 상기 비아에 인접한 유전체 재료의 부분은 서로의 위에 수직으로 적층된 상기 적어도 5개의 얇은 층들을 포함하는, 예 23의 집적 회로를 포함한다. 상기 유전체 재료의 부분의 얇은 층들 각각은 4nm 이하의 두께를 가진다.
예 27은, 상기 비아에 인접한 유전체 재료의 부분은 서로의 위에 수직으로 적층된 적어도 7개의 얇은 층들을 포함하는, 예 26의 집적 회로를 포함한다. 상기 유전체 재료의 부분의 얇은 층들 각각은 0.5nm 내지 3nm의 두께를 가진다.
예 28은, 상기 비아가 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것 및 상기 비아에 인접한 유전체 재료의 부분이 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것 양쪽 모두가 만족되며, 예 23 내지 예 27 중 어느 하나의 집적 회로를 포함한다. 상기 비아의 각각의 얇은 층 및 상기 유전체 재료의 부분의 각각의 얇은 층은 0.5nm 내지 4nm의 두께를 가진다.
예 29는, 상기 비아의 얇은 층들의 상부 표면과 상기 유전체 층의 일부는 적어도 0.5nm의 두께만큼 서로의 위에 성장되는, 예 28의 집적 회로를 포함한다.
예 30은 예 1 내지 예 18 중 어느 하나의 방법에 의해 제조된 집적 회로 기판 또는 기타의 장치를 포함한다.
예 31은 예 1 내지 예 18 중 어느 하나의 방법을 수행하는 제조 시스템을 포함한다.
예 32는 예 1 내지 예 18 중 어느 하나의 방법을 수행하는 수단을 포함하는 제조 시스템을 포함한다.
예 33은 예 19 내지 예 22 중 어느 하나의 방법에 의해 제조된 집적 회로 기판 또는 기타의 장치를 포함한다.
예 34는 예 19 내지 예 22 중 어느 하나의 방법을 수행하는 제조 시스템을 포함한다.
예 35는 예 19 내지 예 22 중 어느 하나의 방법을 수행하는 수단을 포함하는 제조 시스템을 포함한다.
예 36은, 상기 제1 재료의 제1 두꺼운 층을 형성하는 단계는, 상기 제1의 복수의 얇은 층들 중 적어도 하나의 얇은 층을 형성하는 동안에 상기 제1 표면 재료에 전압 바이어스를 인가하는 단계를 포함하는, 예 1 내지 예 18 중 어느 하나의 방법을 포함한다. 전압 바이어스는 선택적 화학 반응의 선택성의 증가를 돕는다.
예 37은, 적어도 하나의 얇은 층은 금속 층을 포함하고, 전압 바이어스는 무선 주파수 교류 전류 전압 바이어스로서 인가되는, 예 36의 방법을 포함한다.

Claims (25)

  1. 제조 방법으로서,
    선택적 화학 반응에 의해 제1의 복수의 얇은 층들 각각을 별개로 형성함으로써 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 제1 두꺼운 층을 형성하는 단계;
    상기 제1 영역에 인접한 제2 영역 위에서의 상기 제1의 복수의 얇은 층들 각각의 침범(encroachment)을 제한하는 단계; 및
    상기 제1 표면 재료와는 상이한 제2 표면 재료를 갖는 상기 제2 영역 위에 제2 재료의 제2 두꺼운 층을 형성하는 단계
    를 포함하는 제조 방법.
  2. 제1항에 있어서,
    상기 제2 두꺼운 층을 형성하는 단계는, 선택적 화학 반응에 의해 제2의 복수의 얇은 층들 각각을 별개로 형성함으로써 상기 제2 두꺼운 층을 형성하는 단계를 포함하는 제조 방법.
  3. 제2항에 있어서,
    상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제2의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것을 교대로 행하는 단계를 포함하는 제조 방법.
  4. 제3항에 있어서,
    상기 침범을 제한하는 단계는,
    상기 제2 영역 위에서 제한된 침범만을 제공하도록 상기 제1의 복수의 얇은 층들 중의 얇은 층들 각각을 4nm 이하의 두께로 형성하는 단계; 및
    상기 제1 영역 위에서 제한된 침범만을 제공하도록 상기 제2의 복수의 얇은 층들 중의 얇은 층들 각각을 4nm 이하의 두께로 형성하는 단계
    를 포함하는 제조 방법.
  5. 제3항에 있어서,
    상기 침범을 제한하는 단계는, 층 두께마다 최소량의 측방향 침범을 제공하는, 상기 제1 및 제2 재료 중 하나의 재료의 상기 제1 및 제2의 복수의 얇은 층들 중의 초기의 얇은 층을 형성하는 단계를 포함하는 제조 방법.
  6. 제3항에 있어서,
    상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1의 복수의 얇은 층들 중의 적어도 5개의 얇은 층을 형성하는 단계를 포함하고, 상기 제2 두꺼운 층을 형성하는 단계는, 상기 제2의 복수의 얇은 층들 중의 적어도 5개의 얇은 층을 형성하는 단계를 포함하는 제조 방법.
  7. 제3항에 있어서,
    상기 제1 두꺼운 층을 형성하는 단계는, 선택적 중합 반응에 의해 상기 제1의 복수의 얇은 층들 각각을 별개로 형성함으로써 상기 제1 표면 재료를 갖는 상기 제1 영역 위에 유기 중합체 재료의 상기 제1 두꺼운 층을 형성하는 단계를 포함하고, 상기 제1 및 제2 표면 재료 중 하나의 표면 재료는 금속이고, 다른 표면 재료는 유전체 재료인 제조 방법.
  8. 제7항에 있어서,
    상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1 표면 재료로서 금속을 갖는 상기 제1 영역 위에 상기 유기 중합체 재료의 제1 두꺼운 층을 형성하는 단계를 포함하고,
    상기 방법은,
    상기 제1 영역 위로부터 상기 유기 중합체 재료의 제1 두꺼운 층을 제거하는 단계; 및
    상기 유기 중합체 재료의 제1 두꺼운 층이 제거된 상기 제1 영역 위에 금속을 도입하는 단계
    를 더 포함하는 제조 방법.
  9. 제7항에 있어서,
    상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1 표면 재료로서 유전체 재료를 갖는 상기 제1 영역 위에 상기 유기 중합체 재료의 제1 두꺼운 층을 형성하는 단계를 포함하고,
    상기 방법은,
    상기 제1 영역 위로부터 상기 유기 중합체 재료의 제1 두꺼운 층을 제거하는 단계; 및
    상기 유기 중합체 재료의 제1 두꺼운 층이 제거된 상기 제1 영역 위에 유전체 재료를 도입하는 단계
    를 더 포함하는 제조 방법.
  10. 제1항에 있어서,
    상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제1의 복수의 얇은 층들 중의 얇은 층들의 침범을 감소시키는 것을 교대로 행하는 단계를 포함하는 제조 방법.
  11. 제10항에 있어서,
    상기 침범을 감소시키는 것은, 상기 얇은 층들에 대해 트림 에칭들을 수행하는 것을 포함하는 제조 방법.
  12. 제10항에 있어서,
    상기 침범을 감소시키는 것은, 상기 얇은 층들에 대해 어닐링들, 열 처리들 및 재결정화 처리들 중 적어도 하나를 수행하는 것을 포함하는 제조 방법.
  13. 제1항에 있어서,
    상기 제1 영역의 최대 측방향 단면 치수는 50nm 이하이고, 상기 제1 두꺼운 층은 적어도 10nm의 두께를 가지며, 상기 제1 두꺼운 층을 형성하는 단계는, 상기 제1의 복수의 얇은 층들 중의 적어도 5개의 얇은 층을 형성하는 단계를 포함하는 제조 방법.
  14. 제조 방법으로서,
    선택적 화학 반응에 의해 적어도 5개의 얇은 층들 각각을 별개로 형성함으로써 제1 표면 재료를 갖는 제1 영역 위에 제1 재료의 제1 두꺼운 층을 형성하는 단계 - 상기 제1 영역의 최대 측방향 단면 치수는 50nm 이하이고, 상기 제1 두꺼운 층은 적어도 10nm의 두께를 가짐 -;
    상기 제1 영역에 인접한 제2 영역 위에서의 상기 제1의 복수의 얇은 층들 각각의 침범을 제한하는 단계; 및
    상기 제1 표면 재료와는 상이한 제2 표면 재료를 갖는 상기 제2 영역 위에 제2 재료의 제2 두꺼운 층을 형성하는 단계 - 상기 제1 및 제2 표면 재료 중 하나의 표면 재료는 금속이고, 다른 표면 재료는 유전체 재료임 -
    를 포함하는 제조 방법.
  15. 제14항에 있어서,
    상기 제2 두꺼운 층을 형성하는 단계는, 선택적 화학 반응에 의해 제2의 복수의 얇은 층들 각각을 별개로 형성함으로써 상기 제2 두꺼운 층을 형성하는 단계를 포함하고, 상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제2의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것을 교대로 행하는 단계를 포함하는 제조 방법.
  16. 제14항에 있어서,
    상기 침범을 제한하는 단계는, 상기 제1의 복수의 얇은 층들 중의 얇은 층들을 형성하는 것과 상기 제1의 복수의 얇은 층들 중의 얇은 층들의 침범을 감소시키는 것을 교대로 행하는 단계를 포함하고, 상기 침범을 감소시키는 것은, 상기 얇은 층들에 대해 트림 에칭들, 어닐링들, 열 처리들 및 재결정화 처리들 중 적어도 하나를 수행하는 것을 포함하는 제조 방법.
  17. 집적 회로로서,
    유전체 재료;
    상기 유전체 재료 내에 배치된 인터커넥트 라인;
    상기 인터커넥트 라인 위에 있는 비아 - 상기 비아의 단면 치수는 30nm 이하임 -; 및
    상기 비아를 측방향으로 포위하고, 상기 비아에 인접한 부분을 포함하는 유전체 재료
    를 포함하고,
    상기 비아가 서로의 위에(on one another) 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것; 및
    상기 비아에 인접한 유전체 재료의 부분이 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것
    중 적어도 하나가 만족되는 집적 회로.
  18. 제17항에 있어서,
    상기 비아는 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하고, 상기 비아의 얇은 층들 각각은 4nm 이하의 두께를 갖는 집적 회로.
  19. 제18항에 있어서,
    상기 비아는 서로의 위에 수직으로 적층된 적어도 7개의 얇은 층들을 포함하고, 상기 비아의 얇은 층들 각각은 0.5nm 내지 3nm의 두께를 갖는 집적 회로.
  20. 제17항에 있어서,
    상기 비아에 인접한 유전체 재료의 부분은 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하고, 상기 유전체 재료의 부분의 얇은 층들 각각은 4nm 이하의 두께를 갖는 집적 회로.
  21. 제20항에 있어서,
    상기 비아에 인접한 유전체 재료의 부분은 서로의 위에 수직으로 적층된 적어도 7개의 얇은 층들을 포함하고, 상기 유전체 재료의 부분의 얇은 층들 각각은 0.5nm 내지 3nm의 두께를 갖는 집적 회로.
  22. 제17항 내지 제21항 중 어느 한 항에 있어서,
    상기 비아가 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것 및 상기 비아에 인접한 유전체 재료의 부분이 서로의 위에 수직으로 적층된 적어도 5개의 얇은 층들을 포함하는 것 양쪽 모두가 만족되며, 상기 비아의 각각의 얇은 층 및 상기 유전체 재료의 부분의 각각의 얇은 층은 0.5nm 내지 4nm의 두께를 갖는 집적 회로.
  23. 제1항 내지 제13항 중 어느 한 항의 방법에 의해 형성되는 상기 제1 두꺼운 층 및 상기 제2 두꺼운 층을 포함하는 집적 회로 기판.
  24. 제1항 내지 제13항 중 어느 한 항의 방법을 수행하는 수단을 포함하는 제조 시스템.
  25. 제1항에 있어서,
    상기 제1 재료의 제1 두꺼운 층을 형성하는 단계는, 상기 제1의 복수의 얇은 층들 중의 적어도 하나의 얇은 층을 형성하는 동안에 상기 제1 표면 재료에 전압 바이어스를 인가하는 단계를 포함하고, 상기 전압 바이어스는 상기 선택적 화학 반응의 선택성의 증가를 돕는 제조 방법.
KR1020167004742A 2013-09-27 2013-09-27 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성 KR102138719B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/062456 WO2015047345A1 (en) 2013-09-27 2013-09-27 Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions

Publications (2)

Publication Number Publication Date
KR20160061983A true KR20160061983A (ko) 2016-06-01
KR102138719B1 KR102138719B1 (ko) 2020-07-28

Family

ID=52744239

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167004742A KR102138719B1 (ko) 2013-09-27 2013-09-27 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성

Country Status (5)

Country Link
US (1) US9530733B2 (ko)
EP (1) EP3050084A4 (ko)
KR (1) KR102138719B1 (ko)
CN (1) CN105556644B (ko)
WO (1) WO2015047345A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180106933A (ko) * 2017-03-17 2018-10-01 램 리써치 코포레이션 실리콘 나이트라이드의 선택적 성장
KR20190119158A (ko) * 2017-03-10 2019-10-21 램 리써치 코포레이션 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR20190127578A (ko) * 2018-05-02 2019-11-13 에이에스엠 아이피 홀딩 비.브이. 증착 및 제거를 이용한 선택적 층 형성
US11654454B2 (en) 2015-10-09 2023-05-23 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11728175B2 (en) 2016-06-01 2023-08-15 Asm Ip Holding B.V. Deposition of organic films
US11834742B2 (en) 2021-01-29 2023-12-05 SK Hynix Inc. Method of deposition and method of fabricating electronic device using the same

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) * 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
WO2018125111A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Self-aligned via
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10892161B2 (en) * 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process
US10782613B2 (en) * 2018-04-19 2020-09-22 International Business Machines Corporation Polymerizable self-assembled monolayers for use in atomic layer deposition
JP2019220494A (ja) * 2018-06-15 2019-12-26 株式会社Adeka 膜形成用組成物、膜付基板、その製造方法及び薄膜の製造方法
US11437284B2 (en) 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US10930556B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930555B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11437273B2 (en) 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
WO2023190750A1 (ja) * 2022-03-31 2023-10-05 日産化学株式会社 高分子膜形成組成物及び選択的高分子膜形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040064191A (ko) * 2003-01-09 2004-07-16 김정식 적층박막전주가공물과 그 제작방법.
US20060264043A1 (en) * 2005-03-18 2006-11-23 Stewart Michael P Electroless deposition process on a silicon contact
KR20100017210A (ko) * 2007-04-20 2010-02-16 마이크론 테크놀로지, 인크. 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4963511A (en) * 1987-11-30 1990-10-16 Texas Instruments Incorporated Method of reducing tungsten selectivity to a contact sidewall
JP2000155329A (ja) * 1998-11-19 2000-06-06 Hitachi Ltd 液晶表示装置
US7501328B2 (en) * 2003-05-07 2009-03-10 Microfabrica Inc. Methods for electrochemically fabricating structures using adhered masks, incorporating dielectric sheets, and/or seed layers that are partially removed via planarization
JP3980918B2 (ja) * 2002-03-28 2007-09-26 株式会社東芝 アクティブマトリクス基板及びその製造方法、表示装置
JP5180426B2 (ja) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8551560B2 (en) * 2008-05-23 2013-10-08 Intermolecular, Inc. Methods for improving selectivity of electroless deposition processes
JP5117421B2 (ja) 2009-02-12 2013-01-16 株式会社東芝 磁気抵抗効果素子及びその製造方法
US8809183B2 (en) * 2010-09-21 2014-08-19 International Business Machines Corporation Interconnect structure with a planar interface between a selective conductive cap and a dielectric cap layer
US9142508B2 (en) * 2011-06-27 2015-09-22 Tessera, Inc. Single exposure in multi-damascene process
US8771929B2 (en) * 2012-08-16 2014-07-08 International Business Machines Corporation Tone inversion of self-assembled self-aligned structures
US8715917B2 (en) * 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US9153457B2 (en) * 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040064191A (ko) * 2003-01-09 2004-07-16 김정식 적층박막전주가공물과 그 제작방법.
WO2004064135A1 (en) * 2003-01-09 2004-07-29 Graphion Technologies Usa Llc Composite shape electroforming member, its electroforming master and method for manufacturing the same
US20060264043A1 (en) * 2005-03-18 2006-11-23 Stewart Michael P Electroless deposition process on a silicon contact
KR20100017210A (ko) * 2007-04-20 2010-02-16 마이크론 테크놀로지, 인크. 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11654454B2 (en) 2015-10-09 2023-05-23 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11728175B2 (en) 2016-06-01 2023-08-15 Asm Ip Holding B.V. Deposition of organic films
KR20190119158A (ko) * 2017-03-10 2019-10-21 램 리써치 코포레이션 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR20180106933A (ko) * 2017-03-17 2018-10-01 램 리써치 코포레이션 실리콘 나이트라이드의 선택적 성장
KR20190127578A (ko) * 2018-05-02 2019-11-13 에이에스엠 아이피 홀딩 비.브이. 증착 및 제거를 이용한 선택적 층 형성
US11834742B2 (en) 2021-01-29 2023-12-05 SK Hynix Inc. Method of deposition and method of fabricating electronic device using the same

Also Published As

Publication number Publication date
KR102138719B1 (ko) 2020-07-28
EP3050084A4 (en) 2017-05-24
US9530733B2 (en) 2016-12-27
US20160190060A1 (en) 2016-06-30
WO2015047345A1 (en) 2015-04-02
EP3050084A1 (en) 2016-08-03
CN105556644A (zh) 2016-05-04
CN105556644B (zh) 2019-04-19

Similar Documents

Publication Publication Date Title
KR102138719B1 (ko) 인접한 영역들 위로의 층들의 침범을 제한하는 것을 포함한 선택적 화학 반응에 의한 작은 영역들 위에서의 재료 층들의 형성
US10464959B2 (en) Inherently selective precursors for deposition of second or third row transition metal thin films
US9932671B2 (en) Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9583389B2 (en) Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US10204830B2 (en) Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
KR102167352B1 (ko) Beol 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝
US20220102207A1 (en) Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US10892184B2 (en) Photobucket floor colors with selective grafting
US9405201B2 (en) Lithography process using directed self assembly
US20170345643A1 (en) Photodefinable alignment layer for chemical assisted patterning
TW201946223A (zh) 用於導電通孔製造之蝕刻停止層為基的方式以及其所得的結構

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant