KR102167352B1 - Beol 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝 - Google Patents

Beol 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝 Download PDF

Info

Publication number
KR102167352B1
KR102167352B1 KR1020167003004A KR20167003004A KR102167352B1 KR 102167352 B1 KR102167352 B1 KR 102167352B1 KR 1020167003004 A KR1020167003004 A KR 1020167003004A KR 20167003004 A KR20167003004 A KR 20167003004A KR 102167352 B1 KR102167352 B1 KR 102167352B1
Authority
KR
South Korea
Prior art keywords
dielectric
layer
lines
forming
metal
Prior art date
Application number
KR1020167003004A
Other languages
English (en)
Other versions
KR20160061968A (ko
Inventor
찰스 에이치. 월러스
폴 에이. 나이허스
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20160061968A publication Critical patent/KR20160061968A/ko
Application granted granted Critical
Publication of KR102167352B1 publication Critical patent/KR102167352B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

BEOL(back end of line) 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝이 설명된다. 일 예에서, 집적 회로에 대한 상호접속 구조체는 기판 위에 배치되는 상호접속 구조체의 제1 층을 포함한다. 제1 층은 제1 방향으로 교번하는 금속 라인들 및 유전체 라인들의 격자를 포함한다. 상호접속 구조체의 제2 층이 제1 층 위에 배치된다. 제2 층은 제1 방향에 수직인 제2 방향으로 교번하는 금속 라인들 및 유전체 라인들의 격자를 포함한다. 제2 층의 격자의 각각의 금속 라인은, 상호접속 구조체의 제1 층의 교번하는 금속 라인들 및 유전체 라인들에 대응하는 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역들을 갖는 리세싱된 유전체 라인 상에 배치된다. 제2 구조의 격자의 각각의 유전체 라인은 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역들과는 구분되는 제3 유전체 재료의 연속 영역을 포함한다.

Description

BEOL 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝{SELF-ALIGNED VIA AND PLUG PATTERNING FOR BACK END OF LINE(BEOL) INTERCONNECTS}
본 발명의 실시예들은 반도체 구조들 및 처리, 특히, BEOL(back end of line) 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝의 분야에 관한 것이다.
지난 수 십년 동안, 집적 회로들에서의 피쳐들의 스케일링은 지속적으로 성장하는 반도체 산업의 원동력이 되어 왔다. 점점 더 작은 피쳐들로의 스케일링은 반도체 칩들의 제한된 면적 상에서의 기능 유닛들의 증가된 밀도를 가능하게 한다. 예를 들어, 트랜지스터 사이즈를 축소하는 것은 칩 상에 증가된 수의 메모리 또는 로직 디바이스들의 통합을 고려하여, 용량이 증가된 제품들의 제조에 적합하게 된다. 그러나, 점점 많은 용량을 향한 추진이 쟁점이 없는 것은 아니다. 각각의 디바이스의 성능을 최적화할 필요성이 점점 더 중요해지고 있다.
집적 회로들은, 비아들 위의 금속 라인들 또는 다른 상호접속들을 비아들 아래의 금속 라인들 또는 다른 상호접속들에 전기적으로 접속하기 위한, 본 기술분야에서 비아들(vias)로 알려진 전기적 도전성 마이크로전자 구조들을 통상 포함한다. 비아들은 통상적으로 리소그래피 공정에 의해 형성된다. 대표적으로, 포토레지스트 층이 유전체 층 위에 스핀 코팅될 수 있고, 이러한 포토레지스트 층은 패터닝된 마스크를 통해 패터닝된 화학 방사선에 노출될 수 있고, 그리고 나서 이러한 노출된 층은 포토레지스트 층에 개구를 형성하기 위해 현상될 수 있다. 다음으로, 포토레지스트 층에서의 개구를 에칭 마스크로서 사용함으로써 비아를 위한 개구가 유전체 층 내에 에칭될 수 있다. 이러한 개구는 비아 개구로서 지칭된다. 마지막으로, 비아 개구는 비아를 형성하는 하나 이상의 금속들 또는 다른 도전성 재료들로 채워질 수 있다.
과거에는, 비아들의 사이즈들 및 간격이 점진적으로 감소되었고, 적어도 일부 타입들의 집적 회로들(예를 들어, 진보된 마이크로프로세서들, 칩셋 컴포넌트들, 그래픽 칩들, 등)에 대해, 미래에 비아들의 사이즈들 및 간격이 계속 점진적으로 감소할 것으로 예상된다. 비아들의 사이즈의 하나의 척도는 비아 개구의 임계 치수(critical dimension)이다. 비아들의 간격의 하나의 척도는 비아 피치(pitch)이다. 비아 피치는 가장 가까운 인접 비아들 사이의 중심간 거리를 나타낸다.
이러한 리소그래피 공정들에 의해 매우 작은 피치들로 매우 작은 비아들을 패터닝할 때, 특히, 피치들이 약 70 나노미터(nm) 이하일 때 및/또는 비아 개구들의 임계 치수가 약 35nm 이하일 때, 몇 가지 도전과제들이 존재한다. 하나의 이러한 도전과제는, 비아들과 상부 상호접속들 사이의 오버레이(overlay) 및 비아들과 하부 랜딩(landing) 상호접속들 사이의 오버레이가, 일반적으로 비아 피치의 1/4 정도의 높은 허용오차들(tolerances)로 제어될 필요가 있다는 점이다. 비아 피치가 시간이 지남에 따라 더 작게 스케일링됨에 따라, 이러한 오버레이 허용오차들은 리소그래피 장비가 유지할 수 있는 것보다 훨씬 더 큰 비율로 스케일링되는 경향이 있다.
다른 이러한 도전과제는, 비아 개구들의 임계 치수들이 일반적으로 리소그래피 스캐너들의 해상도(resolution) 성능들보다 더 빠르게 스케일링되는 경향이 있다는 점이다. 축소(shrink) 기법들은 비아 개구들의 임계 치수들을 축소하기 위해 존재한다. 그러나, 축소량은, 최소 비아 피치에 의해서, 뿐만 아니라 축소 공정의 능력에 의해서, 충분히 OPC(optical proximity correction) 중립(neutral)이 되도록, 및 LWR(line width roughness) 및/또는 CDU(critical dimension uniformity)를 현저히 위태롭게 하지 않도록, 제한되는 경향이 있다.
또 다른 이러한 도전과제는, 임계 치수 버짓(budget)의 동일한 전체 분율(overall fraction)을 유지하기 위해 비아 개구들의 임계 치수들이 감소함에 따라 포토레지스트들의 LWR 및/또는 CDU 특성들이 일반적으로 개선될 필요가 있다는 점이다. 그러나, 현재 대부분의 포토레지스트들의 LWR 및/또는 CDU 특성들은, 비아 개구들의 임계 치수들이 감소하는 만큼 급격하게 개선되고 있지 않다.
또 다른 이러한 도전 과제는, 매우 작은 비아 피치들은 일반적으로 심지어 EUV(extreme ultraviolet) 리소그래피 스캐너들의 해상도 성능들 아래가 되는 경향이 있다는 점이다. 결과적으로, 통상 2개, 3개 또는 더 많은 상이한 리소그래피 마스크들이 사용될 수 있고, 이는 비용들을 증가시키는 경향이 있다. 일부 지점에서, 피치들이 계속 감소하면, 다수의 마스크들에도 불구하고, EUV 스캐너들을 사용하여 이러한 매우 작은 피치들을 위한 비아 개구들을 인쇄하는 것이 가능하지 않을 수 있다.
따라서, 비아 제조 기법들의 영역에 개선들이 필요하다.
도 1-12는, 본 발명의 일 실시예에 따라, 자체-정렬형 비아 및 금속 패터닝의 방법에서의 다양한 단계들을 나타내는 집적 회로 층들의 부분들을 도시하며, 여기서:
도 1은 이전 층 금속화 구조체에 대한 옵션들의 평면도 및 대응 단면도들을 도시하고;
도 2는 도 1의 구조 위에 ILD(interlayer dielectric) 라인들을 형성한 다음의 도 1의 구조의 평면도 및 대응 단면도들을 도시하고;
도 3은 모든 플러그 위치들로부터 모든 잠재적 비아 위치들을 선택적 구분한 다음의 도 2의 구조의 평면도 및 대응 단면도들을 도시하고;
도 4a는 도 3의 하부 금속 및 ILD 라인들의 노출된 부분들에 구분 폴리머를 부가한 다음의 도 3의 구조의 평면도 및 대응 단면도들을 도시하고;
도 4b는, 본 발명의 다른 실시예에 따라, 하부 금속 및 ILD 라인들의 노출된 부분들을 선택한 다음의 도 2의 구조의 단면도를 도시하고;
도 5는 일종의 폴리머를 제거한 다음의 도 4a의 구조의 평면도 및 대응 단면도들을 도시하고;
도 6은 이러한 일종의 폴리머의 제거시 개방되는 위치들에 ILD 재료를 형성한 다음의 도 5의 구조의 평면도 및 대응 단면도들을 도시하고;
도 7은 비아 패터닝한 다음의 도 6의 구조의 평면도 및 대응 단면도들을 도시하고;
도 8은 비아 형성한 다음의 도 7의 구조의 평면도 및 대응 단면도들을 도시하고;
도 9는 제2 종의 폴리머를 제거하고 ILD 재료로 대체한 다음의 도 8의 구조의 평면도 및 대응 단면도들을 도시하고;
도 10은 선택된 플러그 위치들에 레지스트 또는 마스크를 패터닝한 다음의 도 9의 구조의 평면도 및 대응 단면도들을 도시하고;
도 11은 하드마스크를 제거하고 ILD 층을 리세싱한 다음의 도 10의 구조의 평면도 및 대응 단면도들을 도시하며;
도 12는 금속 라인을 형성한 다음의 도 11의 구조의 평면도 및 대응 단면도들을 도시한다.
도 13은 본 발명의 일 구현에 따른 컴퓨팅 디바이스를 도시한다.
BEOL(back end of line) 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝이 설명된다. 이하의 설명에서는, 본 발명의 실시예들에 대한 철저한 이해를 제공하기 위해, 특정 집적 및 재료 체제와 같은, 많은 특정 상세사항들이 제시된다. 본 발명의 실시예들은 이러한 특정 상세사항들 없이도 실시될 수 있다는 점이 본 분야의 기술자에게 명백할 것이다. 다른 경우들에서, 집적 회로 설계 레이아웃들과 같은, 잘 알려진 피쳐들은, 본 발명의 실시예들을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다. 더욱이, 도면들에 도시되는 다양한 실시예들은 예시적인 표현들이며, 반드시 축척대로 그려진 것은 아니라는 점이 이해되어야 한다.
본 명세서에 설명되는 하나 이상의 실시예들은 자체-정렬형 비아 및 플러그 패터닝에 관련된다. 본 명세서에 설명되는 공정들의 자체-정렬형 양상은, 이하 보다 상세히 설명되는 바와 같이, DSA(directed self-assembly) 메커니즘에 기초할 수 있다. 그러나, DSA-기반의 접근방식들 대신에, 또는 이와 조합하여, 선택적 성장 메커니즘들이 이용될 수 있다는 점이 이해되어야 한다. 일 실시예에서, 본 명세서에 설명되는 공정들은 라인의 백-엔드 피쳐 제조에 대한 자체-정렬형 금속화의 구현을 가능하게 한다.
문맥을 제공하기 위해, 대략 50 나노미터 피치 미만의 피쳐들의 패터닝 및 정렬은 반도체 제조 공정에 매우 비용이 많이 드는 많은 레티클들 및 임계 정렬 계획들을 요구한다. 일반적으로, 본 명세서에 설명되는 실시예들은 하부 층의 위치들에 기초하는 금속 및 비아 패턴들의 제조를 포함한다. 즉, 종래의 하향식 패터닝 접근방식들과 대조적으로, 금속 상호접속 공정이 효과적으로 반전되어 이전 층으로부터 위로 구축된다. 이는, ILD(interlayer dielectric)가 먼저 퇴적되고, 금속 및 비아 층들에 대한 패턴이 그 안에 후속하여 패터닝되는 종래의 접근방식과 대조적이다. 종래의 접근방식에서는, 이전 층에 대한 정렬이 리소그래피 스캐너 정렬 시스템을 사용하여 수행된다. ILD는 그리고 나서 에칭된다.
보다 구체적으로, 하나 이상의 실시예들은, 금속들("플러그들"이라 함) 사이에 도전성 비아들 및 비-도전성 스페이스들 또는 차단들을 구축하기 위한 템플릿으로서 하부 금속을 이용하는 접근방식에 관한 것이다. 정의에 의하면, 비아들은 이전 층 금속 패턴에 내려앉는데 사용된다. 이러한 맥락에서, 본 명세서에 설명되는 실시예들은 리소그래피 장비에 의한 정렬이 더 이상 의존되지 않기 때문에 보다 강건한 상호접속 제조 스킴을 가능하게 한다. 이러한 상호접속 제조 스킴은, 많은 정렬/노출들을 절약하는데 사용될 수 있고, 전기 접촉을 향상시키는데 사용될 수 있고(예를 들어, 비아 저항을 감소시키는 것에 의함), 그렇지 않으면 종래의 접근방식들을 사용하여 이러한 피쳐들을 패터닝하는데 요구되는 총 처리 단계들 및 처리 시간을 감소하는데 사용될 수 있다.
이하 설명되는 바와 같이, 본 명세서에 설명되는 자체-정렬형 비아 및 금속 패터닝 접근방식들은 이하의 양상들 또는 속성들 중 하나 이상을 포함할 수 있다: (a) 상향식 초-자체-정렬형 비아/금속 패터닝 공정이 가능하게 된다; (b) 이전 층 금속이 위에 형성된 층 상의 비아들의 위치들을 지향하는데 사용된다; (c) 모든 가능한 비아 및 금속 라인 엔드 위치를 생성하지만 요구되거나 또는 원하는 비아 및 금속 라인 엔드 위치들만 유지하는 공정; (d) 비아들 및 금속 라인 엔드들의 위치 및 형상은 이전 층 패턴으로부터 미리 형성된다; (e) 아래의 및 위의 금속의 교차는 완전한 자체-정렬형 비아 위치들을 자연스럽게 형성한다; (f) 비아 및 플러그들 위치, 크기 및 형상은 하부 금속 층들로부터의 기존의 격자 리소그래피(grating lithography)에 의해 정의된다; (g) 비아 및 플러그 리소그래피는 하나 또는 다른 것을 선택하기 위해서만 요구되고 피쳐들의 위치, 형상 또는 사이즈에 영향을 주지 않는다(예를 들어, LWR이 무관함); (h) 본 명세서에 설명되는 공정들은 업사이드 다운(upside down) 듀얼-다마신 또는 비아/플러그 우선 접근방식을 특징으로 할 수 있다; (i) 층 내의 비아 및 플러그 위치들의 선택에서 더 큰 허용오차가 달성되기 때문에 대응 리소그래피 포토레지스트 설계가 단순화될 수 있다(이는 "버킷(bucket)" 접근방식이라 할 수 있으며, 여기서 포토레지스트는 단지 복수의 생성된 홀들을 채우는데 사용되고, 여기서 특정 홀들만이 유지되거나 또는 삭제될 것으로 후속하여 선택된다); (j) LWR은 결정적이지 않고 더 빠른 레지스트들이 사용될 수 있다; (k) 피쳐들의 사이즈는 단일 형상 및 사이즈로서 제조될 수 있고, EBDW(electron beam direct write) 공정들에 적용될 수 있다; 및 (k) 비아 설계 규칙들이 단순화되고 모든 가능한 비아들이 임의의 기하학적 구성으로 허용되며, 여기서 비아들의 사이즈는 위의 및 아래의 금속의 교차에 의해 완전히 정의된다.
도 1-12는, 본 발명의 일 실시예에 따라, 자체-정렬형 비아 및 금속 패터닝의 방법에서의 다양한 단계들을 나타내는 집적 회로 층들의 부분들을 도시한다. 각각의 설명되는 단계에서의 각각의 도해에서, 좌측에는 평면도들이 도시되고, 우측에는 대응 단면도들이 도시된다. 이러한 도면들은 대응 단면도들 및 평면도들로서 본 명세서에서 참조될 것이다.
도 1은, 본 발명의 일 실시예에 따라, 이전 층 금속화 구조체에 대한 옵션들의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도 및 대응 단면도 옵션 (a)를 참조하면, 시작 구조(100)는 금속 라인들(102) 및 ILD(interlayer dielectric) 라인들(104)의 패턴을 포함한다. 도 1에 도시된 바와 같이, 시작 구조(100)는 일정한 피치로 이격되고 일정한 폭을 갖는 금속 라인들을 갖는 격자형 패턴으로 패터닝될 수 있다(예를 들어, DSA 실시예에 대해, 그러나 지향성 선택적 성장 실시예에 대해 반드시 필요한 것은 아님). 예를 들어, 이러한 패턴은 피치 2분할 또는 피치 4분할 접근방식에 의해 제조될 수 있다. 이러한 라인들 중 일부는, 단면도들에서 일 예로 도시되는 라인(102')과 같이, 하부 비아들과 관련될 수 있다.
다시 도 1을 참조하면, 대안적인 옵션들 (b)-(f)는 추가적 필름이 금속 라인들(102) 및 층간 유전체 라인들(104) 중 하나, 또는 양자 모두의 표면 상에 형성되는 (예를 들어, 이전 패터닝 공정으로부터 잔류하는 아티펙트로서 퇴적되거나, 성장되거나, 또는 남음) 상황들에 대처한다. 예 (b)에서, 추가적 필름(106)은 층간 유전체 라인들(104) 상에 배치된다. 예 (c)에서, 추가적 필름(108)은 금속 라인들(102) 상에 배치된다. 예 (d)에서, 추가적 필름(106)은 층간 유전체 라인들(104) 상에 배치되고, 추가적 필름(108)은 금속 라인들(102) 상에 배치된다. 더욱이, 금속 라인들(102) 및 층간 유전체 라인들(104)이 (a)에서는 동일 평면으로서 도시되더라도, 다른 실시예들에서, 이들은 동일 평면이 아니다. 예를 들어, (e)에서, 금속 라인들(102)은 층간 유전체 라인들(104) 위로 돌출한다. 예 (f)에서, 금속 라인들(102)은 층간 유전체 라인들(104) 아래로 리세싱된다.
다시 예들 (b)-(d)를 참조하면, 추가적 층(예를 들어, 층(106 또는 108))은, HM(hardmask) 또는 보호 층으로서 사용될 수 있거나, 후속 공정 단계들과 관련하여 이하 설명되는 선택적 성장 및/또는 자체-조립을 가능하게 하는데 사용될 수 있다. 이러한 추가적 층들은 또한 ILD 라인들을 추가적 처리로부터 보호하는데 사용될 수 있다. 또한, 금속 라인들 위에 다른 재료를 선택적으로 퇴적하는 것이 유사한 이유들로 유익할 수 있다. 다시 예들 (e) 및 (f)를 참조하면, ILD 라인들 또는 금속 라인들 중 어느 하나를 이들 중 어느 하나 또는 양자 모두의 표면들 상의 보호성/HM 재료들의 임의의 조합으로 리세싱하는 것 또한 가능할 수 있다. 대체로, 선택적 또는 지향성 자체-조립 공정에 대해 궁극적으로 하부 표면들을 준비하는 이러한 단계에 많은 옵션들이 존재한다.
일 실시예에서, 본 명세서 전반적으로 사용되는 바와 같이, 층간 유전체 라인들(104)의 재료와 같은, ILD(interlayer dielectric) 재료는, 유전체 또는 절연 재료의 층으로 조성되거나 또는 이를 포함한다. 적절한 유전체 재료들의 예들은, 이에 제한되는 것은 아니지만, 실리콘의 산화물들(예를 들어, 실리콘 이산화물(SiO2)), 도핑된 실리콘 산화물들(doped oxides of silicon), 불화 실리콘 산화물들(fluorinated oxides of silicon), 탄소 도핑된 실리콘 산화물들(carbon doped oxides of silicon), 본 기술분야에 알려진 다양한 저 유전율(low-k) 유전체 재료들, 및 이들의 조합을 포함한다. 이러한 층간 유전체 재료는, 예를 들어 CVD(chemical vapor deposition), PVD(physical vapor deposition)와 같은 종래의 기술들에 의해, 또는 다른 퇴적 방법들에 의해 형성될 수 있다.
일 실시예에서는, 또한 본 명세서 전반적으로 사용되는 바와 같이, 금속 라인들(102)의 재료와 같은, 상호접속 재료가, 하나 이상의 금속 또는 다른 도전성 구조들로 조성된다. 통상적인 예는 구리와 주변 ILD 재료 사이에 배리어 층들을 포함할 수 있거나 그렇지 않을 수 있는 구조들 및 구리 라인들의 사용이다. 본 명세서에 사용되는 바와 같이, 금속이라는 용어는 다수의 금속들의 합금들, 스택들 및 다른 조합들을 포함한다. 예를 들어, 금속 상호접속 라인들은 배리어 층들, 상이한 금속들 또는 합금들의 스택들 등을 포함할 수 있다. 상호접속 라인들은 또한 트레이스들, 와이어들, 라인들, 금속 또는 간단하게 상호접속이라고 본 분야에서 종종 언급된다. 이하 더욱 설명되는 바와 같이, 더 낮은 상호접속 라인들의 상부 표면들이 자체-정렬형 비아 및 플러그 형성에 사용될 수 있다.
일 실시예에서, 본 명세서 전반적으로 또한 사용되는 바와 같이, 하드마스크로서 포함되면 층들(106 또는 108)과 같은, 하드마스크 재료들은, 층간 유전체 재료와 상이한 유전체 재료들로 조성된다. 일 실시예에서, 상이한 하드마스크 재료들은, 상호에게 및 하부 유전체와 금속 층들에게 상이한 성장성 또는 에치 선택성을 제공하도록 상이한 영역들에서 사용될 수 있다. 일부 실시예들에서, 하드마스크 층은 실리콘의 질화물(예를 들어, 실리콘 질화물)의 층 또는 실리콘의 산화물의 층, 또는 양자 모두, 또는 이들의 조합을 포함한다. 다른 적절한 재료들은 탄소계 재료들을 포함할 수 있다. 다른 실시예에서, 하드마스크 재료는 금속 종을 포함한다. 예를 들어, 하드마스크 또는 다른 상부 재료는 티타늄 또는 다른 금속의 질화물(예를 들어, 티타늄 질화물)의 층을 포함할 수 있다. 산소와 같은, 잠재적으로 더 적은 양의 다른 재료들이 이러한 층들 중 하나 이상에 포함될 수 있다. 대안적으로, 본 분야에 알려진 다른 하드마스크 층들이 특정 구현에 의존하여 사용될 수 있다. 이러한 하드마스크 층들은 CVD, PVD에 의해 또는 다른 퇴적 방법들에 의해 형성될 수 있다.
도 1과 관련하여 설명되는 층들 및 재료들은 통상적으로, 집적 회로의 하부 디바이스 층(들)과 같은, 하부 반도체 기판 또는 구조 상에 또는 그 위에 형성된다는 점이 이해되어야 한다. 일 실시예에서, 하부 반도체 기판은 집적 회로들을 제조하는데 사용되는 일반적인 작업재 대상(workpiece object)을 나타낸다. 이러한 반도체 기판은 종종, 웨이퍼, 또는 실리콘이나 다른 반도체 재료의 다른 부분을 포함한다. 적절한 반도체 기판들은, 이에 제한되는 것은 아니지만, 단결정 실리콘, 다결정 실리콘 및 SOI(silicon on insulator) 뿐만 아니라, 다른 반도체 재료들로 형성된 유사한 기판들을 포함한다. 제조 단계에 따라, 반도체 기판은 종종 트랜지스터들, 집적 회로 등을 포함한다. 이러한 기판은 또한 반도체 재료들, 금속들, 유전체들, 도펀트들, 및 반도체 기판들에서 통상 발견되는 다른 재료들을 포함할 수 있다. 더욱이, 도 1에 도시되는 구조는 하부 하위 레벨 상호접속 층들 상에 제조될 수 있다.
도 2는, 본 발명의 일 실시예에 따라, 도 1의 구조 위에 ILD(interlayer dielectric) 라인들(110)을 형성한 다음의 도 1의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도 및, 각각, 축들 a-a'과 c-c'을 따라 취해지는 대응 단면도들 (a) 및 (c)를 참조하면, ILD 라인들(110)은 하부 라인들(104)의 방향과 수직인 격자 구조로 형성된다. 일 실시예에서, 라인들(110)의 재료의 블랭킷 필름은 화학 증착 등의 기술들에 의해 퇴적된다. 일 실시예에서, 블랭킷 필름은 그리고 나서, 예를 들어, SBQP(spacer-based-quadruple-patterning) 또는 피치 4분할을 포함할 수 있는 리소그래피 및 에치 공정을 사용하여 패터닝된다. 라인들(110)의 격자 패턴은, EUV 및/또는 EBDW 리소그래피, 지향성 자체-조립 등을 포함하는, 여러 방법들에 의해 제조될 수 있다는 점이 이해되어야 한다. 이하 보다 상세히 설명되는 바와 같이, 후속 금속 층은 따라서, 라인들(110)의 격자가 하부 구조의 방향과 직교하기 때문에, 이전 금속 층에 대해 직교 방향으로 패터닝될 것이다. 일 실시예에서는, 단일 193nm 리소그래피 마스크가 이전 금속 층(102)에 대한 정렬/등록에 의해 사용된다(예를 들어, 라인들(110)의 격자는 이전 층 '플러그' 패턴에 대해 X로 그리고 이전 금속 격자에 대해 Y로 정렬함). 단면 구조들 (b) 및 (d)를 참조하면, 하드마스크(112)는 유전체 라인들(110) 상에 형성될 수 있거나, 또는 이들을 패터닝한 다음에 유지될 수 있다. 하드마스크(112)는 후속 패터닝 단계들 동안 라인들(110)을 보호하는데 사용될 수 있다. 이하 보다 상세히 설명되는 바와 같이, 격자 패턴에서의 라인들(110)의 형성은 이전 금속 라인들(102) 및 이전 ILD 라인들(104)(또는 102/104 상의 대응 하드마스크 층들)의 영역들을 노출시킨다. 노출된 영역들은 금속이 노출되는 모든 가능한 미래의 비아 위치들에 대응한다. 일 실시예에서, 이전 층 금속 층(예를 들어, 라인들(102))는 공정 흐름에서의 이러한 지점에서 보호되고, 라벨링되고(labeled), 브러싱된다(brushed).
도 3은, 본 발명의 일 실시예에 따라, 모든 플러그 위치들로부터 모든 잠재적 비아 위치들을 선택적 구분한 다음의 도 2의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도 및, 각각, 축들, a-a', b-b', c-c'과 d-d'를 따라 취해지는 대응 단면도들 (a)-(d)를 참조하면, ILD 라인들(110)을 형성한 다음에, 표면 수정 층(114)은 하부 ILD 라인들(104)의 노출된 영역들 상에 형성된다. 일 실시예에서, 표면 수정 층(114)은 유전체 층이다. 일 실시예에서, 표면 수정 층(114)은 선택적 상향식 성장 접근방식에 의해 형성된다. 이러한 일 실시예에서, 상향식 성장 접근방식은, 하부 ILD 라인들(104) 상에, 또는, 대안적으로 금속 라인들(102) 상에 (또는 하부 금속 또는 ILD 재료 상에 퇴적되거나 또는 성장되는 희생 층 상에) 우선적으로 모이는 하나의 폴리머 컴포넌트을 갖는 DSA(directed self-assembly) 브러시 피복을 포함한다.
도 4a는, 본 발명의 일 실시예에 따라, 도 3의 하부 금속 및 ILD 라인들의 노출된 부분들에 구분 폴리머를 부가한 다음의 도 3의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b', c-c'과 d-d'에 따라 취해지는 대응 단면도들 (a)-(d)를 참조하면, 하부 금속/ILD(102/104) 격자의 노출된 부분들 상의 DSA(directed self-assembly) 또는 선택적 성장은 ILD 라인들(110)의 사이에 교번하는 폴리머들 또는 교번하는 폴리머 컴포넌트들에 의해 중간 라인들(116)을 형성하는데 사용된다. 예를 들어, 도시된 바와 같이, 폴리머(116A)(또는 폴리머 컴포넌트(116A))가 도 3의 ILD(interlayer dielectric) 라인들(104)의 노출된 부분들 상에 또는 그 위에 형성되고, 한편 폴리머(116B)(또는 폴리머 컴포넌트(116B))가 도 3의 금속 라인들(102)의 노출된 부분들 상에 또는 그 위에 형성된다. 폴리머(116A)가 도 3과 관련하여 설명되는 표면 수정 층(114) 상에 또는 그 위에 형성되더라도(도 4a의 단면도들 (b) 및 (d) 참조), 다른 실시예들에서, 표면 수정 층(114)은 생략될 수 있고 교번하는 폴리머들 또는 교번하는 폴리머 컴포넌트들이 도 2와 관련하여 설명되는 구조에 직접 그 대신 형성될 수 있다는 점이 이해되어야 한다.
다시 도 4a를 참조하면, 일 실시예에서, 하부 구조(예를 들어, 도 1의 구조(100))의 표면이 (예를 들어, 도 2의 구조 또는 도 3의 구조와 같이) 준비되거나 또는 직접 사용되면, PS-PMMA(polystyrene-polymethyl mathacrylate)와 같은, 50-50 다이블록(diblock) 공중합체가 기판 상에 코팅되어 자체 조립을 유도하도록 어닐링되어, 도 4a의 폴리머(116A)/폴리머(116B) 층(116)에 이르게 된다. 이러한 일 실시예에서, 적절한 표면 에너지 조건들에 의해, 블록 공중합체들은 ILD 라인들(110) 사이에 노출되는 하부 재료에 기초하여 분리된다. 예를 들어, 특정 실시예에서, 폴리스티렌은 하부 금속 라인들(102)(또는 대응 금속 라인 캡 또는 하드마스크 재료)의 노출된 부분들에 대해 선택적으로 정렬된다. 한편, 폴리메틸 메타클레이트는 ILD 라인들(104)(또는 대응 금속 라인 캡 또는 하드마스크 재료)의 노출된 부분들에 대해 선택적으로 정렬된다.
따라서, 일 실시예에서는, ILD 라인들(110) 사이에 노출되는 바와 같이, 하부 금속 및 ILD 그리드가 BCP(block co-polymer, 즉, 폴리머(116A)/폴리머(116B))에 재현된다. BCP 피치가 하부 격자 피치와 상응하면 이는 특히 그러할 수 있다. 폴리머 그리드(폴리머(116A)/폴리머(116B))는, 일 실시예에서, 완전한 그리드로부터의 특정 작은 편차들에 대하여 강건하다. 예를 들어, 완전한 그리드가 금속을 갖는 산화물 등의 재료를 작은 플러그들이 효과적으로 배치하면, 완전한 폴리머(116A)/폴리머(116B) 그리드가 여전히 달성될 수 있다. 그러나, ILD 라인들 격자는, 일 실시예에서, ILD 백본의 금속 분열들이 없는, 이상적인 격자 구조이므로, 폴리머의 양쪽 타입들(116A와 116B)이, 이러한 경우에, ILD 같은 재료에 노출될 것인 반면 하나의 타입만이 금속에 노출되기 때문에, ILD 표면을 중성이 되게 하는 것이 필요할 수 있다.
일 실시예에서, 코팅되는 폴리머(폴리머(116A)/폴리머(116B))의 두께는, 그 장소에 궁극적으로 형성되는 ILD의 궁극적인 두께와 대략 동일하거나, 또는 이보다 다소 더 두껍다. 일 실시예에서, 이하 보다 상세히 설명되는 바와 같이, 폴리머 그리드는 에치 레지스트로서가 아니라, 오히려 궁극적으로 그 주변에 영구 ILD 층을 성장시키기 위한 비계(scaffolding)로서 형성된다. 이와 같이, 폴리머(116)(폴리머(116A)/폴리머(116B))의 두께는 후속하여 형성되는 영구 ILD 층의 궁극적인 두께를 정의하는데 사용될 수 있기 때문에 중요할 수 있다. 즉, 일 실시예에서, 도 4a에 도시되는 폴리머 격자는 대략 동일한 두께의 ILD 격자로 결국 대체된다.
일 실시예에서, 위에 언급된 바와 같이, 도 4a의 폴리머(116A)/폴리머(116B)의 그리드는 블록 공중합체이다. 이러한 일 실시예에서, 블록 공중합체 분자는 공유결합으로 결합되는 단량체들(covalently bonded monomers)의 체인(chain)으로 형성되는 중합체 분자이다. 블록 공중합체에는, 적어도 2가지 상이한 타입들의 단량체들이 존재하고, 이들 상이한 타입들의 단량체들은 단량체들의 상이한 블록들 또는 연이은 시퀀스들(sequences) 내에 주로 포함된다. 도시된 블록 공중합체 분자는 폴리머(116A)의 블록 및 폴리머(116B)의 블록을 포함한다. 일 실시예에서, 폴리머(116A)의 블록은 공유결합으로 링크되는 단량체 A의 체인(예를 들어, A-A-A-A-A...)을 주로 포함하고, 한편 폴리머(116B)의 블록은 공유결합으로 링크되는 단량체 B의 체인(예를 들어, B-B-B-B-B...)을 주로 포함한다. 단량체들 A 및 B는 본 기술분야에 알려진 블록 공중합체들에 사용되는 상이한 타입들의 단량체들 중 임의의 것을 나타낼 수 있다. 예를 들어, 단량체 A는 폴리스티렌을 형성하는 단량체들을 나타낼 수 있고, 단량체 B는 폴리(메틸 메타크릴레이트)(PMMA)를 형성하는 단량체들 나타낼 수 있지만, 본 발명의 범위가 이에 제한되는 것은 아니다. 다른 실시예들에서는, 2개보다 많은 블록들이 존재할 수 있다. 또한, 다른 실시예들에서, 블록들 각각은 상이한 타입들의 단량체들 포함할 수 있다(예를 들어, 각각의 블록은 자체가 공중합체일 수 있다). 일 실시예에서, 폴리머(116A)의 블록 및 폴리머(116B)의 블록은 함께 공유결합으로 결합된다. 폴리머(116A)의 블록 및 폴리머(116B)의 블록은 대략 동일한 길이의 것일 수 있거나, 하나의 블록이 다른 블록보다 상당히 더 길 수 있다.
통상적으로, 블록 공중합체들의 블록들(예를 들어, 폴리머(116A)의 블록 및 폴리머(116B)의 블록)은 각각 상이한 화학적 성질들을 가질 수 있다. 일 예로서, 블록들 중 하나는 비교적 더 소수성(hydrophobic)이고(예를 들어, 물과 비친화적이고) 다른 블록은 비교적 더 친수성(hydrophilic)이다(예를 들어, 물과 친화적이다). 적어도 개념적으로, 블록들 중의 하나는 오일과 비교적 더 유사할 수 있고 다른 블록은 물과 비교적 더 유사할 수 있다. 폴리머들의 상이한 블록들 사이의 화학적 성질들에 있어서의 이러한 차이들은, 친수성-소수성 차이든 아니든 간에, 블록 공중합체 분자들이 자체-조립되게 할 수 있다. 예를 들어, 이러한 자체-조립은 폴리머 블록들의 미세 상(microphase) 분리에 기초할 수 있다. 개념적으로, 이것은 일반적으로 혼합되지 않는 오일 및 물의 상 분리와 유사할 수 있다. 유사하게, 폴리머 블록들 사이의 친수성의 차이(예를 들어, 하나의 블록은 비교적 소수성이고 다른 블록은 비교적 친수성이다)는 다른 것에 대한 화학적 비친화성으로 인하여 상이한 폴리머 블록들이 서로 "분리"하려고 시도하는 대략 유사한 미세 상 분리를 유발할 수 있다.
그러나, 일 실시예에서, 폴리머 블록들은 서로 공유결합으로 결합되기 때문에, 거시적 스케일(macroscopic scale)로 완전히 분리될 수 없다. 오히려, 주어진 타입의 폴리머 블록들은 매우 작은(예를 들어, 나노-사이즈의) 영역들 또는 상들에서 동일한 타입의 다른 분자들의 폴리머 블록들과 분리되거나(segregate) 또는 결합되는(conglomerate) 경향이 있을 수 있다. 이러한 영역들 또는 미세 상들의 특정 사이즈 및 형상은 일반적으로 폴리머 블록들의 상대 길이들에 적어도 일부 의존한다. 일 실시예에서는, 예를 들어(도 4a에 도시되는 바와 같이), 2개의 블록 공중합체들에서, 블록들이 대략 동일한 길이이면, 교번하는 폴리머(116A) 라인들 및 폴리머(116B) 라인들의 그리드형 패턴이 생성된다. 다른 실시예에서(도시되지 않음), 2개의 블록 공중합체들에서, 블록들 중 하나가 다른 블록보다 더 길지만 다른 블록보다 너무 더 길지 않으면, 원주형의(columnar) 구조들이 형성될 수 있다. 이러한 원주형 구조들에서, 블록 공중합체 분자들은 열들(columns)의 내부로 미세 상 분리되는 자신의 더 짧은 폴리머 블록들, 및 열들로부터 멀리 연장되고 열들을 둘러싸는 자신의 더 긴 폴리머 블록들과 정렬될 수 있다. 예를 들어, 폴리머(116A)의 블록이 폴리머(116B)의 블록보다 더 길지만, 너무 더 길지 않으면, 다수의 블록 공중합체 분자들이 폴리머(116A)의 더 긴 블록들을 갖는 상에 의해 둘러싸이는 원주형 구조들을 형성하는 폴리머(116B)의 자신의 더 짧은 블록들과 정렬하는 원주형 구조들이 형성될 수 있다. 이것이 충분한 사이즈의 영역에서 발생하는 경우, 일반적으로 6각형으로 팩킹되는(hexagonally-packed) 원주형 구조들의 2차원 어레이가 형성될 수 있다.
일 실시예에서, 폴리머(116A)/폴리머(116B) 격자는, 예를 들어, 브러시 또는 다른 코팅 공정에 의해, 도포되는 블록 공중합체 재료를 포함하는 비조립형 블록 공중합체 층 부분으로서 먼저 도포된다. 비조립형 양상은, 퇴적시에, 블록 공중합체가 아직 나노구조들을 형성하기 위해 실질적으로 상 분리되지 않고/않거나 자체-조립되지 않은 시나리오들을 말한다. 이러한 비조립형 형태에서는, 블록 폴리머 분자들은 비교적 매우 랜덤화되어, 상이한 폴리머 블록들이 비교적 매우 랜덤하게 배향되고 위치되며, 이는 도 4a의 결과 구조와 관련하여 논의되는 조립형 블록 공중합체 층 부분과는 대조적이다. 비조립형 블록 공중합체 층 부분은 다양한 상이한 방식들로 도포될 수 있다. 예를 들어, 블록 공중합체는 용제에 용해되고 나서 표면 위에 스핀 코팅될 수 있다. 대안적으로, 비조립형 블록 공중합체는 표면 위에 스프레이 코팅되거나, 딥 코팅되거나, 액침 코팅되거나 또는 다른 방식으로 코팅되거나 도포될 수 있다. 블록 공중합체들을 도포하는 다른 방식들 뿐만 아니라 유사한 유기 코팅들을 도포하는 본 기술분야에 알려진 다른 방식들이 잠재적으로 사용될 수 있다. 그리고 나서, 비조립형 층은, 예를 들어, 비조립형 블록 공중합체 층 부분의 미세 상 분리 및/또는 자체-조립에 의해, 조립형 블록 공중합체 층 부분을 형성할 수 있다. 미세 상 분리 및/또는 자체-조립은, 블록 공중합체 분자들의 재배열 및/또는 재배치를 통해, 특히 블록 공중합체 분자들의 상이한 폴리머 블록들의 재배열 및/또는 재배치를 통해 발생한다.
이러한 일 실시예에서, 어닐링 처리는, 미세 상 분리 및/또는 자체-조립을 착수하거나, 가속화하거나, 그 품질을 향상시키거나, 또는 다른 방식으로 이를 촉진하기 위해서, 비조립형 블록 공중합체에 적용될 수 있다. 일부 실시예들에서, 이러한 어닐링 처리는 블록 공중합체의 온도를 증가시키도록 동작가능한 처리를 포함할 수 있다. 이러한 처리의 일 예는, 층을 베이킹(baking)하는 것, 오븐에서 또는 열 램프 아래에서 층을 가열시키는 것, 층에 적외선 방사를 가하는 것, 또는 다른 방식으로 층에 열을 가하거나 층의 온도를 증가시키는 것이다. 원하는 온도 증가는 일반적으로, 블록 공중합체 또는 집적 회로 기판의 임의의 다른 중요한 재료들 또는 구조들을 손상시키지 않고 블록 폴리머의 미세 상 분리 및/또는 자체-조립의 비율을 현저하게 가속화하는데 충분할 것이다. 통상적으로, 이러한 가열은, 약 50℃ 내지 약 300℃ 사이의, 또는 약 75℃ 내지 약 250℃ 사이의 범위일 수 있지만, 블록 공중합체 또는 집적 회로 기판의 열 저하 제한들을 초과하지 않는다. 이러한 가열 또는 어닐링은, 미세 상 분리의 비율을 증가시키고/증가시키거나 미세 상 분리의 품질을 향상시키기 위해, 블록 공중합체 분자들을 더 이동성/유동성 있게 하도록 블록 공중합체 분자들에게 에너지를 제공하는 것을 도울 수 있다. 이러한 블록 공중합체 분자들의 미세 상 분리 또는 재배열/재배치는, 매우 작은 (예를 들어, 나노-스케일) 구조들을 형성하기 위해 자체-조립에 이를 수 있다. 이러한 자체-조립은, 표면 에너지, 분자 친화도들 및 다른 표면-관련의 및 화학-관련의 힘들의 영향 아래에서 발생할 수 있다.
여하튼, 일부 실시예에서, 소수성-친수성 차이들에 기초하든 아니든, 블록 공중합체들의 자체-조립은, 매우 작은 주기적 구조들(예를 들어, 정밀하게 이격된 나노 스케일 구조들 또는 라인들)을 형성하는데 사용될 수 있다. 일부 실시예들에서, 이들은 비아 및 개구들을 형성하는데 궁극적으로 사용될 수 있는 나노-스케일 라인들 또는 다른 나노-스케일 구조들을 형성하는데 사용될 수 있다. 일부 실시예에서, 블록 공중합체들의 지향성 자체 조립(directed self assembly)은, 이하 보다 상세히 설명되는 바와 같이, 상호접속들과 자체 정렬되는 비아들을 형성하는데 사용될 수 있다.
다시 도 4a를 참조하면, 일 실시예에서는, DSA 공정에 대해, 하부 ILD/금속(104/102) 표면들로부터의 방향 이외에도, 성장 공정이 ILD 라인들(110)의 재료의 측벽들에 의해 영향을 받을 수 있다. 이와 같이, 일 실시예에서, DSA는 (라인들(110)의 측벽들로부터의) 그래포에피택시(graphoepitaxy) 및 (하부 노출된 표면 특성들로부터의) 케모에피택시(chemoepitaxy)를 통해 제어된다. DSA 공정을 물리적으로 및 화학적으로 양쪽으로 제한하는 것은 이러한 공정을 결함률 관점으로부터 현저하게 도울 수 있다. 그 결과인 폴리머들(116A/116B)은, 더 적은 자유도들을 갖고, 화학적(예를 들어, 하부 ILD 또는 금속 라인들, 또는, 예를 들어, 브러시 접근방식에 의해 그곳에 이루어지는 표면 수정들) 및 물리적(예를 들어, ILD 라인들(110) 사이에 형성되는 트렌치들로부터의)을 통하는 모든 방향들에서 완전히 제한된다.
대안적인 실시예에서는, 선택적 성장 공정이 DSA 접근방식 대신에 사용된다. 도 4b는, 본 발명의 다른 실시예에 따라, 하부 금속 및 ILD 라인들의 노출된 부분들을 선택한 다음의 도 2의 구조의 단면도를 도시한다. 도 4b를 참조하면, 제1 재료 타입(400)은 하부 ILD 라인들(104)의 노출된 부분들 위에 성장된다. 제2, 상이한, 재료 타입은 하부 금속 라인들(102)의 노출된 부분들 위에 성장된다. 일 실시예에서, 이러한 선택적 성장은 제1 및 제2 재료들 각각에 대한 퇴적(dep)-에치-퇴적(dep)-에치 접근방식에 의해 달성되어, 도 4b에 도시된 바와 같이, 재료들 각각의 복수의 층들을 초래한다. 이러한 접근방식은 "버섯-머리(mushroom-top)" 형상의 필름들을 형성할 수 있는 종래의 선택적 성장 기법들에 비해 유리할 수 있다. 이러한 버섯 머리형 필름 성장 경향은 교번하는 퇴적/에치/퇴적(퇴적(dep)-에치-퇴적(dep)-에치) 접근방식을 통하여 감소될 수 있다. 다른 실시예에서, 필름은, ILD 위에 선택적으로 상이한 필름이 뒤따르는 금속 위에 선택적으로 퇴적되고(또는 그 반대), 다수 횟수 반복되어 샌드위치형 스택을 생성한다. 다른 실시예에서, 양자 모두의 재료들은 하부 기판의 각각의 노출된 영역 상에 선택적으로 성장하는 (예를 들어, CVD 스타일 공정에 의한) 반응 챔버에 동시에 성장된다.
도 5는, 본 발명의 일 실시예에 따라, 일종의 폴리머를 제거한 다음의 도 4a의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b', c-c' 및 d-d'를 따라 취해지는 단면도들 (a)-(d)를 참조하면, 폴리머 또는 폴리머 부분(116A)은 ILD 라인들(104)(또는 ILD 라인들(104) 상에 형성된 하드마스크 또는 캡 층들)을 다시 노출시키도록 제거되고, 반면에 폴리머 또는 폴리머 부분(116B)은 금속 라인들(102) 위에 유지된다. 일 실시예에서는, 웨트 에치 또는 선택적 드라이 에치가 뒤따르는 DUV(deep ultra-violet) 플러드(flood) 노출이, 폴리머(116A)를 선택적으로 제거하는데 사용된다. (도시된 바와 같은) ILD 라인들(104)로부터 폴리머를 먼저 제거하는 대신에, 금속 라인들(102)로부터의 제거가 그 대신 먼저 수행될 수 있다는 점이 이해되어야 한다. 대안적으로, 유전체 필름이 이러한 영역 위에 선택적으로 성장되고, 혼합형 비계(mixed scaffolding)는 사용되지 않는다.
도 6은, 본 발명의 일 실시예에 따라, 이러한 일종의 폴리머의 제거시 개방되는 위치들에 ILD 재료를 형성한 다음의 도 5의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b', c-c' 및 d-d'를 따라 취해지는 단면도들 (a)-(d)를 참조하면, 하부 ILD 라인들(104)의 노출된 영역들은 영구 ILD(interlayer dielectric) 층(118)으로 채워진다. 이와 같이, 모든 가능한 비아 위치들 사이의 개구 스페이스들은, 도 6의 평면도에 및 단면도들 (b) 및 (d)에 도시되는 바와 같이, 그 상에 배치되는 하드마스크 층(120)을 포함하여 ILD 층(118)으로 채워진다. ILD 층(118)의 재료가 ILD 라인들(110)과 동일한 재료일 필요는 없다는 점이 이해되어야 한다. 일 실시예에서, ILD 층(118)은 퇴적 및 폴리쉬 공정에 의해 형성된다. ILD 층(118)이 동반 하드마스크 층(120)과 함께 형성되는 경우에, 특정 ILD 채움 재료가 사용될 수 있다(예를 들어, 홀들/트렌치들을 채우는 ILD의 폴리머 캡슐화된 나노 입자들). 이러한 경우에, 폴리쉬 단계는 필요하지 않을 수 있다.
다시 도 6을 참조하면, 일 실시예에서, 그 결과인 구조는 균일한 ILD 구조 (ILD 라인들(110) + ILD 층(118))를 포함하고, 모든 가능한 플러그들의 위치들은 하드마스크(120)에서 커버되며, 모든 가능한 비아들은 폴리머(116B)의 영역들에 있다. 이러한 일 실시예에서, ILD 라인들(110) 및 ILD 층(118)은 동일한 재료로 조성된다. 다른 이러한 실시예에서, ILD 라인들(110) 및 ILD 층(118)은 상이한 ILD 재료들로 조성된다. 각각의 경우에, 특정 실시예에서, ILD 라인들(110)과 ILD 층(118)의 재료들 사이의 심(seam)과 같은 구분이 최종 구조에서 관찰될 수 있다. 예시적인 심들(199)이 설명의 목적들로 도 6에 도시된다.
도 7은, 본 발명의 일 실시예에 따라, 비아 패터닝한 다음의 도 6의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b', c-c' 및 d-d'를 따라 취해지는 단면도들 (a)-(d)를 참조하면, 비아 위치들(122A, 122B 및 122C)은 선택 위치들에서 폴리머(116B)의 제거에 의해 개방된다. 선택적 비아 위치 형성은 리소그래피 기법을 사용함으로써 달성된다. 이러한 일 실시예에서, 폴리머(116B)는 애쉬(ash)에 의해 글로벌하게 제거되고 포토레지스트로 다시 채워진다. 이러한 포토레지스트는, 매우 민감하고, 잠상이 ILD에 의해(예를 들어, ILD 라인들(110) 및 ILD 층(118)에 의해)에 의해 양쪽 모두의 방향들에서 제한되기 때문에 (레지스트 톤에 따라서) 큰 산 확산 및 공격적 탈보호(aggressive deprotection) 또는 교차결합(crosslinking)을 가질 수 있다. 이러한 레지스트는, 특정 위치에서 비아가 요구되는지 여부에 따라 턴 "온(on)" 또는 "오프(off)"하는 디지털 스위치로서 역할을 한다. 이상적으로, 이러한 포토레지스트는, 넘치지 않고, 홀들만을 채우는데 사용될 수 있다. 일 실시예에서, 비아 위치들(122A, 122B 및 122C)은, 라인 엣지 또는 LWR(line width roughness) 및 라인 파손 및/또는 반사가 제거되지 않으면 완화되도록 공정에 의해 완전히 제한된다. 일 실시예에서는, 낮은 투여량들(low doses)이 EUV/EBDW와 함께 사용되어 실행속도(runrate)를 현저히 향상시킨다. 일 실시예에서, EBDW의 사용에 의한 추가의 이점은, 필요한 개구들의 수를 현저하게 감소시키는 것 뿐만 아니라 전달될 필요가 있는 투여량 낮추는 것에 의해 실행속도를 향상시킬 수 있는 유일한 단일 샷 타입/사이즈라는 것이다. 193nm 액침 리소그래피(immersion lithography)가 사용되는 경우에, 일 실시예에서, 이러한 공정 흐름은, 실제로 패터닝되는 비아의 사이즈가 웨이퍼 상의 실제 비아의 사이즈의 2배가 되는 양쪽 방향들로 비아 위치들을 제한한다(예를 들어, 1:1 라인/스페이스 패턴들을 가정함). 대안적으로, 비아 위치들은, 유지될 필요가 있는 비아들이 포토 레지스트에 의해 보호되고 나머지 사이트들은 제거되어 ILD로 나중에 채워지는 역 톤(reverse tone)에서 선택될 수 있다. 이러한 접근방식은 패터닝 흐름의 종료시 2개의 분리된 금속 퇴적 단계들 보다는 오히려 단일 금속 채움/폴리쉬 공정을 허용할 수 있다.
도 8은, 본 발명의 일 실시예에 따라, 비아 형성한 다음의 도 7의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b', c-c' 및 d-d'를 따라 취해지는 단면도들 (a)-(d)를 참조하면, 비아 위치들(122A, 122B 및 122C)은, 각각, 비아들(124A, 124B 및 124C)을 형성하는 금속으로 채워진다. 일 실시예에서, 비아 위치들(122A, 122B 및 122C)은 과잉 금속으로 채워지고, 후속 폴리쉬 단계가 수행된다. 다른 실시예에서는, 그러나, 비아 위치들(122A, 122B 및 122C)이 금속 넘침(metal overfilling)없이 채워지고, 폴리싱 단계가 생략된다. 도 8에 도시되는 비아 채움은 역 톤 비아 선택 접근방식에서 생략될 수 있다는 점이 이해되어야 한다.
도 9는, 본 발명의 일 실시예에 따라, 제2 종의 폴리머를 제거하고 ILD 재료로 대체한 다음의 도 8의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b', c-c' 및 d-d'를 따라 취해지는 단면도들 (a)-(d)를 참조하면, 잔류 폴리머 또는 폴리머 부분(116B)(예를 들어, 비아 위치들이 선택되지 않은 곳)은 금속 라인들(102)을 다시 노출시키도록 제거된다. 후속하여, 도 9에 도시되는 바와 같이, 잔류 폴리머 또는 폴리머 부분(116B)이 제거된 위치들에 ILD 층(126)이 형성된다.
다시 도 9를 참조하면, 일 실시예에서, 결과적 구조는 균일한 ILD 구조(ILD 라인들(110) + ILD 층(118) + ILD 층(126))를 포함하고, 모든 가능한 플러그들의 위치들은 하드마스크(120)로 커버된다. 이러한 일 실시예에서, ILD 라인들(110), ILD 층(118) 및 ILD 층(126)은 동일한 재료로 조성된다. 다른 이러한 실시예에서, ILD 라인들(110), ILD 층(118) 및 ILD 층(126) 중 2개는 동일한 재료로 조성되고 세번째는 상이한 ILD 재료로 조성된다. 또 다른 이러한 실시예에서, ILD 라인들(110), ILD 층(118) 및 ILD 층(126) 모두가 서로에 대해 상이한 ILD 재료로 조성된다. 여하튼, 특정 실시예에서, ILD 라인들(110)과 ILD 층(126)의 재료들 사이의 심과 같은 구분이 최종 구조에서 관찰될 수 있다. 예시적인 심들(197)이 설명의 목적들로 도 9에 도시된다. 마찬가지로, ILD 층(118)과 ILD 층(126)의 재료들 사이의 심과 같은 구분이 최종 구조에서 관찰될 수 있다. 예시적인 심들(198)이 설명의 목적들로 도 9에 도시된다.
도 10은, 본 발명의 일 실시예에 따라, 선택된 플러그 위치들에 레지스트 또는 마스크를 패터닝한 다음의 도 9의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a' 및 b-b'를 따라 취해지는 단면도들 (a) 및 (b)를 참조하면, 플러그 위치들(128A, 128B 및 128C)은 그 위치들 위에 마스크 또는 레지스트 층을 형성함으로써 보존된다. 이러한 보존 패터닝은 금속 엔드-투-엔드(end-to-end) 리소그래피 패터닝이라 할 수 있고, 후속하여 형성되는 금속 라인들에서의 브레이크들(breaks)이 요구되는 플러그 위치들이 결정된다. 플러그 위치들은 ILD 층(118)/하드마스크(120)가 배치되는 위치들에만 있을 수 있기 때문에, 플러그들은 이전 층 ILD 라인들(104) 위에서 발생할 수 있다는 점이 이해되어야 한다. 일 실시예에서, 이러한 패터닝은 리소그래피 단계를 사용함으로써 달성된다(예를 들어, EUV, EBDW 또는 액침 193nm). 일 실시예에서, 도 10에 도시되는 공정은, 금속 사이의 스페이스들이 발생할 필요가 있는 영역들이 보존되는 포지티브 톤 패터닝 공정의 사용을 보여준다. 다른 실시예에서는, 그 대신 홀들을 개방하여 이러한 공정의 톤을 역전시키는 것이 또한 가능하다는 점이 이해되어야 한다.
도 11은, 본 발명의 일 실시예에 따라, 하드마스크를 제거하고 ILD 층을 리세싱한 다음의 도 10의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a' 및 b-b'를 따라 취해지는 단면도들 (a) 및 (b)를 참조하면, 리세싱된 ILD 층(118') 및 리세싱된 ILD 층(126')을 각각 형성하도록, 그들의 본래 최상부 표면들 아래의 이러한 층들을 에칭함으로써, 하드마스크(120)는 제거되고 ILD 층(118) 및 ILD 층(126)은 리세싱된다. ILD 층(118) 및 ILD 층(126)의 리세싱은 ILD 라인들(110)을 에칭하거나 또는 리세싱하지 않고 수행된다는 점이 이해되어야 한다. 이러한 선택성은 (단면도들 (a) 및 (b)에 도시되는 바와 같이) ILD 라인들 상의 하드마스크 층(112)의 사용에 의해 달성될 수 있다. 대안적으로, ILD 라인들(110)이 ILD 층(118) 및 ILD 층(126)과 상이한 ILD 재료로 조성되는 경우에, 선택적 에치는 하드마스크(112) 없이도 사용될 수 있다. 이하 설명되는 바와 같이, ILD 라인들(110)에 의해 격리되는 것으로서, ILD 층(118) 및 ILD 층(126)의 리세싱은 금속 라인들의 제2 레벨에 대한 위치들을 제공하는 것이다. 이러한 리세스의 정도 또는 깊이는, 일 실시예에서, 그 상에 형성되는 금속 라인들의 원하는 궁극적인 두께에 기초하여 선택된다. 플러그 위치들(128A, 128B 및 128C)에서의 ILD 층(118)은 리세싱되지 않는다는 점이 이해되어야 한다.
도 12는, 본 발명의 일 실시예에 따라, 금속 라인을 형성한 다음의 도 11의 구조의 평면도 및 대응 단면도들을 도시한다. 이러한 평면도, 및, 각각, 축들, a-a', b-b' 및 c-c'를 따라 취해지는 단면도들 (a)-(c)를 참조하면, 금속 상호접속 라인들을 형성하는 금속은 도 11의 구조 위에 등각으로 형성된다. 이러한 금속은 그리고 나서, 리세싱된 ILD 층(118') 및 리세싱된 ILD 층(126') 위의 위치들로 제한되는, 금속 라인들(130)을 제공하도록, 예를 들어, CMP에 의해 평탄화된다. 금속 라인들(130)은 미리 결정된 비아 위치들(124A, 124B 및 124C)을 통해 하부 금속 라인들(102)과 연결된다(124B는 단면도 (c)에 도시되고; 이전 도면들과 일치하지 않더라도, 예시적 목적들로, 다른 비아(132)가 단면도 (b)에 플러그(128B)에 직접 인접하는 것으로 도시된다는 점에 주목하자). 금속 라인들(130)은, ILD 라인들(110)에 의해 서로로부터 격리되고, 보존된 플러그들(128A, 128B 및 128C)에 의해 차단되거나 끊긴다. 도 12에 도시된 바와 같이, 플러그 위치들 상에 및/또는 ILD 라인들(110) 상에 잔류하는 임의의 하드마스크는 공정 흐름의 이러한 부분에서 제거될 수 있다. 금속 라인들(130)을 형성하기 위한 금속(예를 들어, 구리 및 관련된 배리어와 시드 층들) 퇴적 및 평탄화 공정은, 표준 BEOL(back end of line) 단일 또는 듀얼 다마신 처리에 통상적으로 사용되는 것일 수 있다. 일 실시예에서는, 후속 제조 단계들에서, ILD 라인들(110)이 결과적 금속 라인들(130) 사이의 에어 갭들을 제공하도록 제거될 수 있다.
도 12의 구조는 후속 금속 라인/비아 및 ILD 층들을 형성하기 위한 토대로서 후속하여 사용될 수 있다. 대안적으로, 도 12의 구조는 집적 회로에서의 최종 금속 상호접속 층을 나타낼 수 있다. 위 공정 단계들이 대안적인 순서로 실행될 수 있고, 모든 단계가 수행될 필요는 없고/없거나 추가적 공정 단계들이 수행될 수 있다는 점이 이해되어야 한다. 더욱이, 위 공정 흐름은 DSA(directed self-assembly)의 적용들에 촛점을 맞추었더라도, 선택적 성장 공정들이 이러한 공정 흐름의 하나 이상의 위치들에서 그 대신 사용될 수 있다. 여하튼, 결과적 구조들은 하부 금속 라인들 상에 직접 중심을 두는 비아들의 제조를 가능하게 한다. 즉, 비아들은, 예를 들어, 불완전한 선택적 에치 처리로 인해, 하부 금속 라인들보다 더 두껍거나, 더 좁거나, 또는 이와 동일한 두께일 수 있다. 그럼에도 불구하고, 일 실시예에서, 비아들의 중심들은 금속 라인들의 중심들과 직접 정렬된다(매치 업(match up)). 이와 같이, 일 실시예에서, 그렇지 않으면 용인되어야 할 종래의 리소그래프/듀얼 다마신 패터닝으로 인한 오프셋은, 본 명세서에서 설명되는 결과적 구조들에 대해서는 인자가 아니다.
본 명세서에 개시되는 실시예들은 광범위한 상이한 타입들의 집적 회로들 및/또는 마이크로전자 디바이스들을 제조하는데 사용될 수 있다. 이러한 집적 회로들의 예는, 이에 제한되는 것은 아니지만, 프로세서들, 칩셋 컴포넌트들, 그래픽 프로세서들, 디지털 신호 프로세서들, 마이크로 제어기들 등을 포함한다. 다른 실시예들에서는, 반도체 메모리가 제조될 수 있다. 또한, 이러한 집적 회로들 또는 다른 마이크로전자 디바이스들이 본 분야에 알려진 광범위한 전자 디바이스들에 사용될 수 있다. 예를 들어, 컴퓨터 시스템들(예를 들어, 데스크톱, 랩톱, 서버), 셀룰러 폰들, 개인용 전자기기들 등에서. 이러한 집적 회로들은 시스템들에서 버스 및 다른 컴포넌트들과 연결될 수 있다. 예를 들어, 프로세서는 메모리, 칩셋 등에 하나 이상의 버스들에 의해 연결될 수 있다. 이러한 프로세서, 메모리, 및 칩셋 각각은 본 명세서에 설명되는 접근방식들을 사용하여 잠재적으로 제조될 수 있다.
도 13은 본 발명의 일 구현에 따른 컴퓨팅 디바이스(1300)를 도시한다. 컴퓨팅 디바이스(1300)는 보드(1302)를 수용한다. 보드(1302)는, 이에 제한되는 것은 아니지만, 프로세서(1304) 및 적어도 하나의 통신 칩(1306)을 포함하는 다수의 컴포넌트들을 포함할 수 있다. 프로세서(1304)는 보드(1302)에 물리적으로 및 전기적으로 연결된다. 일부 구현들에서는 적어도 하나의 통신 칩(1306) 또한 보드(1302)에 물리적으로 및 전기적으로 연결된다. 추가적 구현들에서, 통신 칩(1306)은 프로세서(1304)의 일부이다.
그 응용들에 따라, 컴퓨팅 디바이스(1300)는 보드(1302)에 물리적으로 및 전기적으로 연결될 수 있거나 또는 연결되지 않을 수 있는 다른 컴포넌트들을 포함할 수 있다. 이들 다른 컴포넌트들은, 이에 제한되는 것은 아니지만, 휘발성 메모리(예를 들어, DRAM), 불휘발성 메모리(예를 들어, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서(crypto processor), 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS(global positioning system) 디바이스, 나침반, 가속도계, 자이로스코프, 스피커, 카메라 및 (하드 디스크 드라이브, CD(compact disk), DVD(digital versatile disk) 등과 같은) 대용량 스토리지 디바이스를 포함한다.
통신 칩(1306)은, 컴퓨팅 디바이스(1300)로의 및 컴퓨팅 디바이스(1300)로부터의 데이터의 전달을 위한 무선 통신을 가능하게 한다. "무선"이라는 용어 및 그 파생어는, 비-고체 매체를 통한 변조된 전자기 방사(electromagnetic radiation)의 사용을 통해 데이터를 통신할 수 있는, 회로들, 디바이스들, 시스템들, 방법들, 기술들, 통신 채널들 등을 설명하는데 사용될 수 있다. 이러한 용어는 관련 디바이스들이 어떠한 와이어도 포함하지 않는다는 것을 의미하는 것은 아니지만, 일부 실시예들에서는 포함하지 않을 수도 있다. 통신 칩(1306)은, 이에 제한되는 것은 아니지만, Wi-Fi(IEEE 802.11 계열), WiMAX(IEEE 802.16 계열), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 이들의 파생어들, 뿐만 아니라 3G, 4G, 5G 및 그 이상으로 지정되는 임의의 다른 무선 프로토콜들을 포함하는, 다수의 무선 표준들 또는 프로토콜들 중 임의의 것을 구현할 수 있다. 컴퓨팅 디바이스(1300)는 복수의 통신 칩들(1306)을 포함할 수 있다. 예를 들어, 제1 통신 칩(1306)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신에 전용일 수 있으며, 제2 통신 칩(1306)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 장거리 무선 통신에 전용일 수 있다.
컴퓨팅 디바이스(1300)의 프로세서(1304)는 프로세서(1304) 내에 패키징되는 집적 회로 다이를 포함한다. 본 발명의 일부 구현들에서, 프로세서의 집적 회로 다이는, 본 발명의 구현들에 따라 구축되는, 자체-정렬형 비아들 및 플러그들과 같은, 하나 이상의 구조들을 포함한다. "프로세서(processor)"라는 용어는, 레지스터들 및/또는 메모리로부터의 전자적 데이터를 처리하여 레지스터들 및/또는 메모리에 저장될 수 있는 다른 전자적 데이터로 변환하는 임의의 디바이스 또는 디바이스의 일부를 지칭할 수 있다.
통신 칩(1306)은 또한 통신 칩(1306) 내에 패키징되는 집적 회로 다이를 포함한다. 본 발명의 다른 구현에 따라, 통신 칩의 집적 회로 다이는, 본 발명의 구현들에 따라 구축되는, 자체-정렬형 비아들 및 플러그들과 같은, 하나 이상의 구조들을 포함한다.
추가적 구현들에서, 컴퓨팅 디바이스(1300) 내에 수용되는 다른 컴포넌트는, 본 발명의 구현들에 따라 구축되는, 자체-정렬형 비아들 및 플러그들과 같은, 하나 이상의 구조들을 포함하는 집적 회로 다이를 포함할 수 있다.
다양한 구현들에서, 컴퓨팅 디바이스(1300)는, 랩톱, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라 모바일 PC, 모바일 폰, 데스크톱 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋-톱 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 뮤직 플레이어, 또는 디지털 비디오 레코더일 수 있다. 추가적 구현들에서, 컴퓨팅 디바이스(1300)는 데이터를 처리하는 임의의 다른 전자 디바이스일 수 있다.
따라서, 본 발명의 실시예들은 BEOL(back end of line) 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝을 포함한다.
일 실시예에서, 집적 회로에 대한 상호접속 구조는 기판 위에 배치되는 상호접속 구조의 제1 층을 포함한다. 제1 층은 제1 방향으로 교번하는 금속 라인들 및 유전체 라인들의 격자를 포한다. 상호접속 구조의 제2 층이 제1 층 위에 배치된다. 제2 층은 제1 방향에 수직인 제2 방향으로 교번하는 금속 라인들 및 유전체 라인들의 격자를 포함한다. 제2 층의 격자의 각각의 금속 라인은, 상호접속 구조의 제1 층의 교번하는 금속 라인들 및 유전체 라인들에 대응하는 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역들을 갖는 리세싱된 유전체 라인 상에 배치된다. 제2 구조의 격자의 각각의 유전체 라인은 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역들과는 구분되는 제3 유전체 재료의 연속 영역을 포함한다.
일 실시예에서, 제2 층의 금속 라인은 제1 층의 금속 라인의 중심 및 제2 층의 금속 라인의 중심과 직접 정렬되는 중심을 갖는 비아에 의해 제1 층의 금속 라인에 전기적으로 연결된다.
일 실시예에서, 제2 층의 금속 라인은 제1 층의 유전체 라인의 중심과 직접 정렬되는 중심을 갖는 플러그에 의해 차단된다.
일 실시예에서, 제1 유전체 재료, 제2 유전체 재료, 및 제3 유전체 재료 중 어느 것도 동일하지 않다.
일 실시예에서, 제1 유전체 재료, 제2 유전체 재료, 및 제3 유전체 재료 중 2개만 동일하다.
일 실시예에서, 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역들은 심들에 의해 분리되고, 제3 유전체 재료의 연속 영역은 심들에 의해 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역으로부터 분리된다.
일 실시예에서, 제1 유전체 재료, 제2 유전체 재료, 및 제3 유전체 재료 모두가 동일하다.
일 실시예에서, 집적 회로에 대한 상호접속 구조를 제조하는 방법은 제1 방향을 갖는 교번하는 금속 라인 및 유전체 라인의 제1 격자 패턴의 이전 층 금속화 구조체를 제공하는 단계를 포함한다. 본 방법은 이전 층 금속화 구조체위에 유전체 라인의 제2 격자 패턴을 형성하는 단계를 또한 포함하고, 유전체 라인의 제2 격자 패턴은 제1 방향에 수직인 제2 방향을 갖는다. 본 방법은 제1 격자 패턴 위에 그리고 제2 격자 패턴의 유전체 라인들 사이에 희생 구조체를 형성하는 단계를 또한 포함한다. 본 방법은, 제1 격자 패턴의 금속 라인들 위에서 금속 라인들과 정렬되는 희생 구조체의 부분들을 제1 유전체 층으로 대체하고, 제1 격자 패턴의 유전체 라인들 위에서 유전체 라인들과 정렬되는 희생 구조체의 부분들을 제2 유전체 층으로 대체하는 단계를 또한 포함한다. 본 방법은 제1 유전체 층에 하나 이상의 도전성 비아들을 형성하는 단계를 또한 포함한다. 본 방법은 제1 및 제2 유전체 층들의 부분들을 리세싱하는 단계를 또한 포함한다. 본 방법은, 제1 및 제2 유전체 층들의 리세싱된 부분들 내에, 하나 이상의 도전성 비아들과 연결되는 복수의 금속 라인들을 형성하는 단계를 또한 포함하고, 복수의 금속 라인들은 제2 방향을 갖는다.
일 실시예에서, 본 방법은 제2 유전체 층에 하나 이상의 플러그 위치들을 형성하는 단계를 더 포함한다.
일 실시예에서, 제1 및 제2 유전체 층들의 리세싱된 부분들 내의 복수의 금속 라인들의 금속 라인은 하나 이상의 도전성 비아들 중 하나에 의해 이전 층 금속화 구조체의 금속 라인에 전기적으로 연결되고, 도전성 비아는 이전 층 금속화 구조체의 금속 라인의 중심 및 제1 및 제2 유전체 층들의 리세싱된 부분들 내의 복수의 금속 라인들의 금속 라인의 중심과 직접 정렬되는 중심을 갖는다.
일 실시예에서, 복수의 금속 라인들을 형성하는 단계는 금속 층을 형성하고 평탄화하는 단계를 포함한다.
일 실시예에서, 본 방법은 제2 격자 패턴의 유전체 라인들을 제거함으로써 제1 및 제2 유전체 층들의 리세싱된 부분들 내의 복수의 금속 라인들 사이에 에어-갭 구조를 형성하는 단계를 더 포함한다.
일 실시예에서, 제1 격자 패턴 위에 그리고 제2 격자 패턴의 유전체 라인들 사이에 희생 구조체를 형성하는 단계는 DSA(directed self-assembly) 기법을 사용하는 단계를 포함한다.
일 실시예에서, DSA 기법을 사용하는 단계는 50-50 다이블록(diblock) PS-PMMA(polystyrene-polymethyl methacrylate) 공중합체를 형성하는 단계를 포함한다.
일 실시예에서, 제1 격자 패턴 위에 그리고 제2 격자 패턴의 유전체 라인들 사이에 희생 구조체를 형성하는 단계는 선택적 성장 기법을 사용하는 단계를 포함한다.
일 실시예에서, 제1 유전체 층 및 제2 유전체 층을 형성하는 단계는 각각의 층에 대해 상이한 재료를 형성하는 단계를 포함한다.
일 실시예에서, 제1 유전체 층 및 제2 유전체 층을 형성하는 단계는 각각의 층에 대해 동일한 재료를 형성하는 단계를 포함한다.
일 실시예에서, 집적 회로에 대한 상호접속 구조를 제조하는 방법은 제1 방향을 갖는 교번하는 금속 라인 및 유전체 라인의 제1 격자 패턴을 갖는 이전 층 금속화 구조체를 제공하는 단계를 포함한다. 본 방법은 이전 층 금속화 구조체위에 유전체 라인의 제2 격자 패턴을 형성하는 단계를 또한 포함한다. 유전체 라인의 제2 격자 패턴은 제1 방향에 수직인 제2 방향을 갖는다. 본 방법은 제1 격자 패턴 위에 그리고 제2 격자 패턴 내에 폴리머 구조를 형성하는 단계를 또한 포함한다. 폴리머 구조는 제1 격자 패턴의 금속 라인들 위에 배치되고 금속 라인들과 정렬되는 제1 폴리머 종 및 제1 격자 패턴의 유전체 라인들 위에 배치되고 유전체 라인들과 정렬되는 제2 폴리머 종을 포함한다. 본 방법은 폴리머 구조로부터 제1 폴리머 종을 제거하는 단계를 또한 포함한다. 본 방법은 제1 격자 패턴의 금속 라인들 위에서 금속 라인들과 정렬되며, 제2 폴리머 종에 인접하는, 제1 영구 유전체 층을 형성하는 단계를 또한 포함한다. 본 방법은 제1 영구 유전체 층의 하나 이상의 영역들을 도전성 비아로 대체하는 단계를 또한 포함한다. 본 방법은, 후속하여, 제1 격자 패턴의 유전체 라인들 위에서 유전체 라인들과 정렬되는 개구들을 제공하도록 폴리머 구조로부터 제2 폴리머 종을 제거하는 단계를 또한 포함한다. 본 방법은 제1 격자 패턴의 유전체 라인들 위에서 유전체 라인들과 정렬되는 제2 영구 유전체 층을 형성하는 단계를 또한 포함한다. 본 방법은 제2 영구 유전체 층의 부분들 상에 하드마스크 패턴을 형성함으로써 하나 이상의 플러그 위치들을 보호하는 단계를 또한 포함한다. 본 방법은, 제1 및 제2 영구 유전체 층들의 노출된 부분들을 하드마스크 패턴에 대해 선택적으로 리세싱하는 단계를 또한 포함한다. 본 방법은 제1 영구 유전체 층 및 제2 영구 유전체 층의 리세싱된 부분들 내에 금속 층을 형성하는 단계를 또한 포함한다.
일 실시예에서, 본 방법은 에어-갭 구조를 형성하도록 제2 격자 패턴의 유전체 라인들을 제거하는 단계를 더 포함한다.
일 실시예에서, 제1 격자 패턴 위에 그리고 제2 격자 패턴 내에 폴리머 구조를 형성하는 단계는 DSA(directed self-assembly) 기법을 사용하는 단계를 포함한다.
일 실시예에서, DSA 기법을 사용하는 단계는 50-50 다이블록(diblock) PS-PMMA(polystyrene-polymethyl methacrylate) 공중합체를 형성하는 단계를 포함한다.
일 실시예에서, 제1 격자 패턴 위에 그리고 제2 격자 패턴 내에 폴리머 구조를 형성하는 단계는 선택적 성장 기법을 사용하는 단계를 포함한다.
일 실시예에서, 제1 영구 유전체 층 및 제2 영구 유전체 재료 층을 형성하는 단계는 상이한 재료를 형성하는 단계를 포함한다.
일 실시예에서, 유전체 라인의 제2 격자 패턴을 형성하는 단계는 제1 및 제2 영구 유전체 재료 층들의 재료들과는 상이한 유전체 재료 층을 형성하는 단계를 포함한다.
일 실시예에서, 제1 영구 유전체 층 및 제2 영구 유전체 재료 층을 형성하는 단계는 동일한 재료를 형성하는 단계를 포함한다.

Claims (25)

  1. 집적 회로에 대한 상호접속 구조체로서,
    기판 위에 배치되는 상기 상호접속 구조체의 제1 층 - 상기 제1 층은 제1 방향으로 교번하는 금속 라인들 및 제1 유전체 라인들의 격자(grating)를 포함함 -; 및
    상기 제1 층 위에 배치되는 상기 상호접속 구조체의 제2 층 - 상기 제2 층은 상기 제1 방향에 수직인 제2 방향으로 교번하는 금속 라인들 및 제2 유전체 라인들의 격자를 포함하고, 상기 제2 층의 상기 격자의 각각의 금속 라인은, 상기 상호접속 구조체의 상기 제1 층의 상기 교번하는 금속 라인들 및 제1 유전체 라인들에 대응하는 제1 유전체 재료 및 제2 유전체 재료의 교번하는 구분 영역들을 포함하는 리세싱된 제3 유전체 라인 상에 배치되고, 상기 제2 층의 상기 격자의 각각의 제2 유전체 라인은 상기 제1 유전체 재료 및 상기 제2 유전체 재료의 상기 교번하는 구분 영역들과는 구분되는 제3 유전체 재료의 연속 영역을 포함함 -
    을 포함하는 상호접속 구조체.
  2. 제1항에 있어서,
    상기 제2 층의 금속 라인은 상기 제1 층의 상기 금속 라인의 중심 및 상기 제2 층의 상기 금속 라인의 중심과 직접 정렬되는 중심을 갖는 비아에 의해 상기 제1 층의 금속 라인에 전기적으로 연결되는 상호접속 구조체.
  3. 제1항에 있어서,
    상기 제2 층의 금속 라인은 플러그에 의해 차단(disrupt)되고, 상기 플러그들은 금속들 사이의 비-도전성 스페이스들 또는 차단들이고 상기 제1 층의 상기 제1 유전체 라인의 중심과 직접 정렬되는 중심을 갖는 상호접속 구조체.
  4. 제1항에 있어서,
    상기 제1 유전체 재료, 상기 제2 유전체 재료, 및 상기 제3 유전체 재료 중 어느 것도 동일하지 않은 상호접속 구조체.
  5. 제1항에 있어서,
    상기 제1 유전체 재료, 상기 제2 유전체 재료, 및 상기 제3 유전체 재료 중 2개만 동일한 상호접속 구조체.
  6. 제1항에 있어서,
    상기 제1 유전체 재료 및 상기 제2 유전체 재료의 상기 교번하는 구분 영역들은 심(seam)들에 의해 분리되고, 상기 제3 유전체 재료의 연속 영역은 심들에 의해 상기 제1 유전체 재료 및 상기 제2 유전체 재료의 상기 교번하는 구분 영역들로부터 분리되는 상호접속 구조체.
  7. 제1항에 있어서,
    상기 제1 유전체 재료, 상기 제2 유전체 재료, 및 상기 제3 유전체 재료 모두가 동일한 상호접속 구조체.
  8. 집적 회로에 대한 상호접속 구조체를 제조하는 방법으로서,
    제1 방향을 갖는 교번하는 금속 라인 및 제1 유전체 라인의 제1 격자 패턴을 포함하는 이전 층 금속화 구조체(previous layer metallization structure)를 제공하는 단계;
    상기 이전 층 금속화 구조체 위에 제2 유전체 라인의 제2 격자 패턴을 형성하는 단계 - 상기 제2 유전체 라인의 제2 격자 패턴은 상기 제1 방향에 수직인 제2 방향을 가짐 -;
    상기 제1 격자 패턴 위에 그리고 상기 제2 격자 패턴의 상기 제2 유전체 라인들 사이에 희생 구조체를 형성하는 단계;
    상기 제1 격자 패턴의 상기 금속 라인들 위에 상기 금속 라인들과 정렬되는 상기 희생 구조체의 부분들을 제1 유전체 층으로 대체하고, 상기 제1 격자 패턴의 상기 제1 유전체 라인들 위에 상기 제1 유전체 라인들과 정렬되는 상기 희생 구조체의 부분들을 제2 유전체 층으로 대체하는 단계;
    상기 제1 유전체 층에 하나 이상의 도전성 비아들을 형성하는 단계;
    상기 제1 및 제2 유전체 층들의 부분들을 리세싱하는 단계; 및
    상기 제1 및 제2 유전체 층들의 상기 리세싱된 부분들 내에, 상기 하나 이상의 도전성 비아들과 연결되는 복수의 금속 라인들을 형성하는 단계 - 상기 복수의 금속 라인들은 제2 방향을 가짐 -
    를 포함하는 방법.
  9. 제8항에 있어서,
    상기 제2 유전체 층에 플러그의 하나 이상의 위치들(128A, 128B, 128C)을 형성하는 단계를 더 포함하고, 상기 플러그들은 금속들 사이의 비-도전성 스페이스들 또는 차단들인 방법.
  10. 제8항에 있어서,
    상기 제1 및 제2 유전체 층들의 상기 리세싱된 부분들 내의 상기 복수의 금속 라인들의 금속 라인은 상기 하나 이상의 도전성 비아들 중 하나의 도전성 비아에 의해 상기 이전 층 금속화 구조체의 금속 라인에 전기적으로 연결되고, 상기 도전성 비아는 상기 이전 층 금속화 구조체의 금속 라인의 중심 및 상기 제1 및 제2 유전체 층들의 상기 리세싱된 부분들 내의 상기 복수의 금속 라인들의 상기 금속 라인의 중심과 직접 정렬되는 중심을 갖는 방법.
  11. 제8항에 있어서,
    상기 복수의 금속 라인들을 형성하는 단계는 금속 층을 형성하고 평탄화하는 단계를 포함하는 방법.
  12. 제8항에 있어서,
    상기 제2 격자 패턴의 상기 제2 유전체 라인들을 제거함으로써 상기 제1 및 제2 유전체 층들의 상기 리세싱된 부분들 내의 상기 복수의 금속 라인들 사이에 에어-갭 구조를 형성하는 단계를 더 포함하는 방법.
  13. 제8항에 있어서,
    상기 제1 격자 패턴 위에 그리고 상기 제2 격자 패턴의 상기 제2 유전체 라인들 사이에 희생 구조체를 형성하는 단계는 DSA(directed self-assembly) 기법을 사용하는 단계를 포함하는 방법.
  14. 제13항에 있어서,
    상기 DSA 기법을 사용하는 단계는 50-50 다이블록(diblock) PS-PMMA(polystyrene-polymethyl methacrylate) 공중합체를 형성하는 단계를 포함하는 방법.
  15. 제8항에 있어서,
    상기 제1 격자 패턴 위에 그리고 상기 제2 격자 패턴의 상기 제2 유전체 라인들 사이에 희생 구조체를 형성하는 단계는 선택적 성장 기법을 사용하는 단계를 포함하는 방법.
  16. 제8항에 있어서,
    상기 제1 유전체 층 및 상기 제2 유전체 층을 형성하는 단계는 각각의 층에 대해 상이한 재료를 형성하는 단계를 포함하는 방법.
  17. 제8항에 있어서,
    상기 제1 유전체 층 및 상기 제2 유전체 층을 형성하는 단계는 각각의 층에 대해 동일한 재료를 형성하는 단계를 포함하는 방법.
  18. 집적 회로에 대한 상호접속 구조체를 제조하는 방법으로서,
    제1 방향을 갖는 교번하는 금속 라인 및 제1 유전체 라인의 제1 격자 패턴을 포함하는 이전 층 금속화 구조체를 제공하는 단계;
    상기 이전 층 금속화 구조체 위에 제2 유전체 라인의 제2 격자 패턴을 형성하는 단계 - 상기 제2 유전체 라인의 제2 격자 패턴은 상기 제1 방향에 수직인 제2 방향을 가짐 -;
    상기 제1 격자 패턴 위에 그리고 상기 제2 격자 패턴 내에 폴리머 구조를 형성하는 단계 - 상기 폴리머 구조는, 상기 제1 격자 패턴의 금속 라인들 위에 배치되고 상기 금속 라인들과 정렬되는 제1 폴리머 종, 및 상기 제1 격자 패턴의 상기 제1 유전체 라인들 위에 배치되고 상기 제1 유전체 라인들과 정렬되는 제2 폴리머 종을 포함함 -;
    상기 폴리머 구조로부터 상기 제1 폴리머 종을 제거하는 단계;
    상기 제1 격자 패턴의 금속 라인들 위에서 상기 금속 라인들과 정렬되며, 상기 제2 폴리머 종에 인접하는 제1 영구 유전체 층을 형성하는 단계;
    상기 제1 영구 유전체 층의 하나 이상의 영역들을 도전성 비아로 대체하는 단계; 및, 후속하여,
    상기 제1 격자 패턴의 상기 제1 유전체 라인들 위에 상기 제1 유전체 라인들과 정렬되는 개구들을 제공하도록 상기 폴리머 구조로부터 상기 제2 폴리머 종을 제거하는 단계;
    상기 제1 격자 패턴의 상기 제1 유전체 라인들 위에 상기 제1 유전체 라인들과 정렬되는 제2 영구 유전체 층을 형성하는 단계;
    상기 제2 영구 유전체 층의 부분들 상에 하드마스크 패턴을 형성함으로써 플러그의 하나 이상의 위치들(128A, 128B, 128C)을 보호하는 단계 - 상기 플러그들은 금속들 사이의 비-도전성 스페이스들 또는 차단들임 -;
    상기 제1 및 제2 영구 유전체 층들의 노출된 부분들을 상기 하드마스크 패턴에 대해 선택적으로 리세싱하는 단계; 및
    상기 제1 영구 유전체 층 및 제2 영구 유전체 층의 상기 리세싱된 부분들 내에 금속 층을 형성하는 단계
    를 포함하는 방법.
  19. 제18항에 있어서,
    에어-갭 구조를 형성하도록 상기 제2 격자 패턴의 상기 제2 유전체 라인들을 제거하는 단계를 더 포함하는 방법.
  20. 제18항에 있어서,
    상기 제1 격자 패턴 위에 그리고 상기 제2 격자 패턴 내에 상기 폴리머 구조를 형성하는 단계는 DSA(directed self-assembly) 기법을 사용하는 단계를 포함하는 방법.
  21. 제20항에 있어서,
    상기 DSA 기법을 사용하는 단계는 50-50 다이블록(diblock) PS-PMMA(polystyrene-polymethyl methacrylate) 공중합체를 형성하는 단계를 포함하는 방법.
  22. 제18항에 있어서,
    상기 제1 격자 패턴 위에 그리고 상기 제2 격자 패턴 내에 상기 폴리머 구조를 형성하는 단계는 선택적 성장 기법을 사용하는 단계를 포함하는 방법.
  23. 제18항에 있어서,
    상기 제1 영구 유전체 층 및 상기 제2 영구 유전체 층을 형성하는 단계는 상이한 재료를 형성하는 단계를 포함하는 방법.
  24. 제23항에 있어서,
    상기 제2 유전체 라인의 제2 격자 패턴을 형성하는 단계는 상기 제1 및 제2 영구 유전체 층들의 재료들과는 상이한 유전체 재료 층을 형성하는 단계를 포함하는 방법.
  25. 제18항에 있어서,
    상기 제1 영구 유전체 층 및 상기 제2 영구 유전체 층을 형성하는 단계는 동일한 재료를 형성하는 단계를 포함하는 방법.
KR1020167003004A 2013-09-27 2013-09-27 Beol 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝 KR102167352B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/062323 WO2015047320A1 (en) 2013-09-27 2013-09-27 Self-aligned via and plug patterning for back end of line (beol) interconnects

Publications (2)

Publication Number Publication Date
KR20160061968A KR20160061968A (ko) 2016-06-01
KR102167352B1 true KR102167352B1 (ko) 2020-10-19

Family

ID=52744220

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167003004A KR102167352B1 (ko) 2013-09-27 2013-09-27 Beol 상호접속들에 대한 자체-정렬형 비아 및 플러그 패터닝

Country Status (6)

Country Link
US (3) US9666451B2 (ko)
EP (2) EP3796371A3 (ko)
KR (1) KR102167352B1 (ko)
CN (2) CN110060972B (ko)
TW (1) TWI540677B (ko)
WO (1) WO2015047320A1 (ko)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015035088A1 (en) * 2013-09-05 2015-03-12 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization
KR102167317B1 (ko) 2013-09-27 2020-10-19 인텔 코포레이션 Beol 상호접속들에 대한 이전 층 자체-정렬형 비아 및 플러그 패터닝
EP3796371A3 (en) * 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
CN107112277B (zh) * 2014-12-24 2021-03-12 英特尔公司 将过孔与密集间距金属互连层的顶和底自对准的结构和方法
EP3062334B1 (en) * 2015-02-27 2020-08-05 IMEC vzw Method for patterning an underlying layer
US10366903B2 (en) 2015-06-26 2019-07-30 Intel Corporation Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
KR102421731B1 (ko) * 2015-07-31 2022-07-18 삼성전자주식회사 반도체 소자의 배선 형성 방법
US9397049B1 (en) 2015-08-10 2016-07-19 International Business Machines Corporation Gate tie-down enablement with inner spacer
US10770291B2 (en) * 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US10867853B2 (en) 2016-05-27 2020-12-15 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US9991156B2 (en) 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US9741613B1 (en) 2016-06-07 2017-08-22 Globalfoundries Inc. Method for producing self-aligned line end vias and related device
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9941118B2 (en) * 2016-08-22 2018-04-10 International Business Machines Corporation Dense vertical nanosheet
US11527433B2 (en) * 2016-09-30 2022-12-13 Intel Corporation Via and plug architectures for integrated circuit interconnects and methods of manufacture
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
CN109923662A (zh) 2016-11-08 2019-06-21 应用材料公司 用于图案化应用的自底向上的柱状体的几何控制
US11417567B2 (en) * 2016-12-23 2022-08-16 Intel Corporation Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom
CN117219572A (zh) 2016-12-23 2023-12-12 英特尔公司 高级光刻和自组装装置
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10424507B2 (en) 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US11205708B2 (en) 2018-04-02 2021-12-21 Intel Corporation Dual self-aligned gate endcap (SAGE) architectures
US10886176B2 (en) 2018-04-03 2021-01-05 Tokyo Electron Limited Self-aligned interconnect patterning for back-end-of-line (BEOL) structures including self-aligned via through the underlying interlevel metal layer
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US11244898B2 (en) * 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US10185092B1 (en) * 2018-07-11 2019-01-22 Globalfoundries Inc. Hybrid grating couplers that overlap via an interconnect structure having a metallization layer
US10559493B1 (en) 2018-09-10 2020-02-11 International Business Machines Corporation Multifunction single via patterning
KR102582668B1 (ko) * 2018-10-01 2023-09-25 삼성전자주식회사 집적회로 소자의 제조 방법
US11158573B2 (en) * 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US10741611B1 (en) 2019-02-11 2020-08-11 International Business Machines Corporation Resistive processing units with complementary metal-oxide-semiconductor non-volatile analog memory
US10763160B1 (en) 2019-03-22 2020-09-01 International Business Machines Corporation Semiconductor device with selective insulator for improved capacitance
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US10915690B2 (en) 2019-04-12 2021-02-09 International Business Machines Corporation Via design optimization to improve via resistance
US11139242B2 (en) 2019-04-29 2021-10-05 International Business Machines Corporation Via-to-metal tip connections in multi-layer chips
US11329001B2 (en) 2019-05-15 2022-05-10 International Business Machines Corporation Embedded chip identification formed by directed self-assembly
US11024539B2 (en) 2019-06-24 2021-06-01 International Business Machines Corporation Self-aligned cut process for self-aligned via process window
US11605623B2 (en) 2019-06-28 2023-03-14 Intel Corporation Materials and layout design options for DSA on transition regions over active die
US11270935B2 (en) 2019-07-18 2022-03-08 International Business Machines Corporation Metallization layer formation process
US10978343B2 (en) 2019-08-16 2021-04-13 International Business Machines Corporation Interconnect structure having fully aligned vias
US10950459B1 (en) 2019-09-13 2021-03-16 International Business Machines Corporation Back end of line structures with metal lines with alternating patterning and metallization schemes
JP2021048353A (ja) * 2019-09-20 2021-03-25 キオクシア株式会社 半導体記憶装置
US11437274B2 (en) 2019-09-25 2022-09-06 Micromaterials Llc Fully self-aligned via
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
US11239165B2 (en) 2020-03-10 2022-02-01 International Business Machines Corporation Method of forming an interconnect structure with enhanced corner connection
CN113394191A (zh) * 2020-03-11 2021-09-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11211291B2 (en) 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
US12012473B2 (en) 2020-06-02 2024-06-18 Intel Corporation Directed self-assembly structures and techniques
US12002678B2 (en) 2020-09-25 2024-06-04 Intel Corporation Gate spacing in integrated circuit structures
TWI824387B (zh) * 2022-01-19 2023-12-01 華邦電子股份有限公司 半導體記憶體結構的形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233503A1 (en) 2002-04-15 2005-10-20 Jurgen Leib Method for the production of structured layers on substrates
US20120313251A1 (en) 2011-06-10 2012-12-13 Toshiba America Electronic Components, Inc. Interconnect structure with improved alignment for semiconductor devices
US20150171009A1 (en) 2013-12-18 2015-06-18 Robert L. Bristol Self-aligned via patterning with multi-colored photobuckets for back end of line (beol) interconnects

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888897A (en) * 1996-10-31 1999-03-30 Intel Corporation Process for forming an integrated structure comprising a self-aligned via/contact and interconnect
TW424302B (en) 1999-10-12 2001-03-01 Vanguard Int Semiconduct Corp Manufacturing method for interconnect structure on the semiconductor substrate
JP3813562B2 (ja) * 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7268486B2 (en) * 2002-04-15 2007-09-11 Schott Ag Hermetic encapsulation of organic, electro-optical elements
US6855608B1 (en) * 2003-06-17 2005-02-15 Advanced Micro Devices, Inc. Method of fabricating a planar structure charge trapping memory cell array with rectangular gates and reduced bit line resistance
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
WO2007020688A1 (ja) * 2005-08-17 2007-02-22 Fujitsu Limited 半導体装置及びその製造方法
KR100649313B1 (ko) * 2005-12-29 2006-11-24 동부일렉트로닉스 주식회사 반도체 소자의 이중 금속배선 및 그 제조 방법
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
TWI351764B (en) 2007-04-03 2011-11-01 Au Optronics Corp Pixel structure and method for forming the same
TWI343622B (en) 2007-04-10 2011-06-11 Nanya Technology Corp Metal interconnect structure
US8053865B2 (en) * 2008-03-10 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOM capacitors integrated with air-gaps
US8101495B2 (en) * 2008-03-13 2012-01-24 Infineon Technologies Ag MIM capacitors in semiconductor components
US8404600B2 (en) * 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8299622B2 (en) * 2008-08-05 2012-10-30 International Business Machines Corporation IC having viabar interconnection and related method
US8021897B2 (en) * 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
US8357584B2 (en) * 2009-11-10 2013-01-22 Globalfoundries Inc. Metal capacitor design for improved reliability and good electrical connection
FR2960700B1 (fr) * 2010-06-01 2012-05-18 Commissariat Energie Atomique Procede de lithographie pour la realisation de reseaux de conducteurs relies par des vias
KR101113765B1 (ko) * 2010-12-31 2012-02-27 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US9105590B2 (en) * 2011-08-10 2015-08-11 United Microelectronics Corp. Semiconductor structure having material layers which are level with each other and manufacturing method thereof
JP2013183014A (ja) * 2012-03-01 2013-09-12 Toshiba Corp パターン形成方法
CN102709180A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种铝薄膜的制备工艺
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
EP3796371A3 (en) * 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US10191376B2 (en) * 2014-08-19 2019-01-29 Intel Corporation Cross scan proximity correction with ebeam universal cutter
US10409910B2 (en) 2014-12-12 2019-09-10 Omni Ai, Inc. Perceptual associative memory for a neuro-linguistic behavior recognition system
US9553128B1 (en) * 2015-06-30 2017-01-24 International Business Machines Corporation Linear MRAM device with a self-aligned bottom contact
US20170025354A1 (en) * 2015-07-24 2017-01-26 SanDisk Technologies, Inc. Contact Plug Extension for Bit Line Connection
US9530790B1 (en) * 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050233503A1 (en) 2002-04-15 2005-10-20 Jurgen Leib Method for the production of structured layers on substrates
US20120313251A1 (en) 2011-06-10 2012-12-13 Toshiba America Electronic Components, Inc. Interconnect structure with improved alignment for semiconductor devices
US20150171009A1 (en) 2013-12-18 2015-06-18 Robert L. Bristol Self-aligned via patterning with multi-colored photobuckets for back end of line (beol) interconnects

Also Published As

Publication number Publication date
CN110060972A (zh) 2019-07-26
US10297467B2 (en) 2019-05-21
US20160204002A1 (en) 2016-07-14
KR20160061968A (ko) 2016-06-01
EP3796371A3 (en) 2021-10-06
CN105518837B (zh) 2019-04-16
TWI540677B (zh) 2016-07-01
CN105518837A (zh) 2016-04-20
EP3796371A2 (en) 2021-03-24
EP3050085A1 (en) 2016-08-03
TW201528429A (zh) 2015-07-16
US10991599B2 (en) 2021-04-27
EP3050085B1 (en) 2021-02-24
CN110060972B (zh) 2024-02-23
US20170221810A1 (en) 2017-08-03
US20190252208A1 (en) 2019-08-15
EP3050085A4 (en) 2017-05-24
US9666451B2 (en) 2017-05-30
WO2015047320A1 (en) 2015-04-02

Similar Documents

Publication Publication Date Title
US10991599B2 (en) Self-aligned via and plug patterning for back end of line (BEOL) interconnects
US10204830B2 (en) Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
US10600678B2 (en) Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (BEOL) interconnects
KR102475024B1 (ko) 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US9583389B2 (en) Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US11990403B2 (en) Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
KR102350503B1 (ko) 화학적 보조 패터닝을 위한 감광성 정렬 층
US10950501B2 (en) Triblock copolymers for self-aligning vias or contacts
US10892184B2 (en) Photobucket floor colors with selective grafting

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant