CN110060972B - 用于后段(beol)互连的自对准过孔及插塞图案化 - Google Patents

用于后段(beol)互连的自对准过孔及插塞图案化 Download PDF

Info

Publication number
CN110060972B
CN110060972B CN201910196602.1A CN201910196602A CN110060972B CN 110060972 B CN110060972 B CN 110060972B CN 201910196602 A CN201910196602 A CN 201910196602A CN 110060972 B CN110060972 B CN 110060972B
Authority
CN
China
Prior art keywords
layer
dielectric
lines
dielectric material
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910196602.1A
Other languages
English (en)
Other versions
CN110060972A (zh
Inventor
C·H·华莱士
P·A·尼许斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201910196602.1A priority Critical patent/CN110060972B/zh
Publication of CN110060972A publication Critical patent/CN110060972A/zh
Application granted granted Critical
Publication of CN110060972B publication Critical patent/CN110060972B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

描述了用于后段(BEOL)互连的自对准过孔及插塞图案化。在示例中,一种用于集成电路的互连结构包括设置在衬底上方的所述互连结构的第一层。所述第一层包括第一方向上的交替的金属线和电介质线的格栅。所述互连结构的第二层设置在所述第一层上方。所述第二层包括第二方向上的交替的金属线和电介质线的格栅,所述第二方向垂直于所述第一方向。所述第二层的所述格栅的每条金属线设置在凹陷的电介质线上,所述凹陷的电介质线包括与所述互连结构的所述第一层的所述交替的金属线和电介质线对应的第一电介质材料和第二电介质材料的交替的相异区域。所述第二结构的所述格栅的每条电介质线包括与所述第一电介质材料和所述第二电介质材料的所述交替的相异区域相异的第三电介质材料的连续区域。

Description

用于后段(BEOL)互连的自对准过孔及插塞图案化
本申请是申请号为201380079116.2、申请日为2013年9月27日、发明名称为“用于后段(BEOL)互连的自对准过孔及插塞图案化”的中国发明专利申请的分案申请。
技术领域
本发明的实施例属于半导体结构及工艺的领域,并且具体而言属于后段(backend of line)(BEOL)互连的自对准过孔和插塞图案化的领域。
背景技术
在过去的几十年,集成电路中特征的缩放已经是不断发展的半导体产业背后的驱动力。缩放到越来越小的特征使半导体芯片的有限的不动产上的功能单元的密度增大。例如,缩小的晶体管尺寸允许在芯片上包含增大数目的存储器件,导致具有增大的容量的产品的制造。然而,对不断增大的容量的驱动不是没有问题的。优化每个器件的性能的必要性变得越来越重要。
集成电路通常包括在本行业中被称为过孔的导电微电子结构,以将过孔上方的金属线或其它互连电连接至过孔之下的金属线或其它互连。过孔典型地由光刻工艺形成。代表性地,可以在电介质层上方旋涂光刻胶层,可以通过图案化掩模使所述光刻胶层曝光于图案化光化辐射,之后对经过曝光的层显影,以形成光刻胶层内的开口。接下来,可以通过采用光刻胶层内的开口作为蚀刻掩模在电介质层内蚀刻出用作过孔的开口。将这一开口称为过孔开口。最后,可以采用一种或多种金属或其它导电材料填充所述过孔开口,以形成过孔。
过去,过孔的尺寸和间隔已经逐步降低,并且预计将来过孔的尺寸和间隔还将继续逐步降低,至少对于某些类型的集成电路(例如,高级微处理器、芯片组部件、图形芯片等)如此。过孔尺寸的一个测度是过孔开口的临界尺寸。过孔间隔的一个测度是过孔间距。过孔间距表示最接近的相邻过孔之间的中心到中心距离。
在通过这样的光刻工艺对具有极小间距的极小过孔图案化时,将出现几个挑战,尤其是在间距约为70纳米(nm)或更低和/或过孔开口的临界尺寸约为35nm或更低的时候。一个这样的挑战是:过孔与上层互连之间的重叠、和过孔与下层着陆互连之间的重叠,一般需要被控制到过孔间距的四分之一的量级上的高容差。由于随着时间的推移过孔间距缩放的越来越小,重叠容差倾向于随之以比光刻设备能够保持的速度更高的速度缩放。
另一个这样的挑战是:过孔开口的临界尺寸一般倾向于比光刻扫描仪的分辨能力更快地缩放。存在缩小过孔开口的临界尺寸的缩小技术。但是,缩小量倾向于受到最小过孔间距以及缩小工艺达到充分的光学接近修正(OPC)中性的能力的限制,而且不显著损害线宽粗糙度(LWR)和/或临界尺寸均匀性(CDU)。
又一个这样的挑战是:光刻胶的LWR和/或CDU特征一般需要随着过孔开口的临界尺寸的降低而改善,以保持相同的临界尺寸预算的整体分数(fraction)。但是,当前大多数光刻胶的LWR和/或CDU特征的改善并不像过孔开口的临界尺寸的降低那样迅速。
再一个这样的挑战是:极小过孔间距一般倾向于低于超紫外线(EUV)光刻扫描仪的分辨能力。因此,通常可以采用倾向于提高成本的两种、三种或者更多种不同的光刻掩模。在某一点上,如果间距继续降低,那么即使采用多个掩模也不可能采用EUV扫描仪印刷出用于这些极小间距的过孔开口。
因而,过孔制造技术的领域有待改进。
附图说明
图1-12图示了表示根据本发明的实施例的自对准过孔及金属图案化的方法中的各种操作的集成电路层的部分,其中:
图1图示了用于先前层金属化结构的平面图和各选项的对应截面图;
图2图示了在图1的结构上方形成了层间电介质(ILD)线之后图1的结构的平面图和对应的截面图;
图3图示了将可能的过孔位置中的所有位置与插塞位置中的所有位置选择性区分开之后图2的结构的平面图和对应的截面图;
图4A图示了在向图3的下层金属和ILD线的暴露部分添加了差异性聚合物之后图3的结构的平面图和对应截面图;
图4B图示了根据本发明的另一实施例的在选择性的下层金属和ILD线的暴露部分之后图2的结构的截面图;
图5图示了在去除一个种类的聚合物之后图4A的结构的平面图和对应截面图;
图6图示了在去除了一种种类的聚合物而空出的位置上形成ILD材料之后图5的结构的平面图和对应截面图。
图7图示了在过孔图案化之后图6的结构的平面图和对应截面图;
图8图示了在过孔形成之后图7的结构的平面图和对应截面图;
图9图示了在去除第二种类的聚合物并替代以ILD材料之后图8的结构的平面图和对应截面图;
图10图示了选定插塞位置上的抗蚀剂或掩模图案化之后图9的结构的平面图和对应截面图。
图11图示了去除硬掩模并且使ILD层凹陷之后图10的结构的平面图和对应截面图;
图12图示了在金属线形成之后图11的结构的平面图和对应截面图。
图13图示了根据本发明的一种实施方式的计算设备。
具体实施方式
描述了用于后段(BEOL)互连的自对准过孔和插塞图案化。在以下描述中,阐述了诸如具体集成和材料机制等许多具体细节,以提供对本发明的实施例的透彻理解。对本领域的技术人员将显而易见的是,可以在没有这些具体细节的情况下实现本发明的实施例。在其它实例中,没有详细描述诸如集成电路设计布局等公知的特征以免使本发明的实施例难以理解。此外,应该理解的是,附图中所示的各种实施例为说明性的表示并且不必按比例被绘出。
本文所描述的一个或多个实施例针对自对准过孔和插塞图案化。本文所描述的过程的自对准方面可以基于定向自组装(DSA)机制,下文将对其予以更加详细的描述。但是,应当理解,可以代替或结合基于DSA的方案来采用选择性生长机制。在实施例中,本文所描述的过程使能用于后段特征制造的自对准金属化的实现。
为了提供背景,在低于大约50纳米间距处的特征的图案化和对准需要很多标线和关键对准策略,这些策略对于半导体制造过程而言是极为昂贵的。一般而言,本文所描述的实施例涉及在下层的位置的基础上制造金属和过孔图案。即,与常规的从上到下的图案化方案形成对比,实际上颠倒了金属互连过程,并且该过程是由先前层建立的。这与常规方案形成了对比,在常规方案中,首先沉积层间电介质(ILD),接下来在其内图案化用于金属层和过孔层的图案。在常规方案中,采用光刻扫描仪对准系统来执行与先前层的对准。之后蚀刻ILD。
更具体而言,一个或多个实施例针对的是采用下层金属作为模板来构建导电过孔以及金属之间的非导电间隔或中断(被称为“插塞”)的方案。根据定义,采用过孔着陆到先前层的金属图案上。在这一脉络中,本文所描述的实施例使能了更加鲁棒的互连制造方案,因为不再依赖于通过光刻设备的对准。这样的互连制造方案可以用于省掉很多对准/曝光,可以用于改善电接触(例如,通过降低过孔电阻),并且可以用于减少在其它情况下使用常规方法对这样的特征进行图案化所需要的总过程操作和处理时间。
如下文所说明,本文所描述的自对准过孔及金属图案化方案可以包括以下方面或属性中的一个或多个:(a)使能了自底向上的超级自对准过孔/金属图案化过程;(b)采用先前层金属来引导形成于上方的层上的过孔位置;(c)生成每一可能的过孔和金属线末端位置但是只保留所需的或者预期的过孔和金属线末端位置的过程;(d)过孔和金属线末端的位置和形状是由先前层图案预先形成的;(e)下方和上方金属的交叉自然地形成了完全自对准的过孔位置;(f)通过来自下层金属层的预先存在的格栅光刻来定义过孔和插塞位置、尺寸和形状;(g)过孔和插塞光刻只需用于选择一个或另一个,而不影响特征的位置、形状或尺寸(例如,LWR是无关的);(h)可以将本文所描述的过程表征为上下颠倒的双重金属镶嵌或过孔/插塞第一方案;(i)由于在层内的过孔和插塞位置选择方面实现了更大的容限,因而可以简化对应的光刻胶设计(可以将其称为“桶”方案,其中,只采用光刻胶填充多个生成的孔,其中,接下来只选择某些孔予以保留或删除);(j)LWR不再至关重要,而且可以采用更快捷的抗蚀剂;(k)可以将特征的尺寸制造为单一形状和尺寸,并且其可以适用于电子束引导写入(EBDW)过程;以及(k)简化了过孔设计规则,并且允许所有可能的过孔呈现任何几何配置,其中,过孔的尺寸完全是通过上面和下面的金属的交叉定义的。
图1-12图示了表示根据本发明的实施例的自对准过孔及金属图案化的方法中的各种操作的集成电路层的部分。在所描述的每一操作的图示中,在左手侧示出了平面图,在右手侧示出了对应的截面图。本文将这些图称为对应截面图和平面图。
图1图示了根据本发明的实施例的用于先前层金属化结构的平面图和各选项的对应截面图。参考所述平面图和对应的截面图选项(a),起始结构100包括金属线102和层间电介质(ILD)线104的图案。可以按照格栅状图案对起始结构100图案化,该图案具有按照恒定间距隔开并且具有恒定宽度的金属线(例如,对于DSA实施例,但是不为定向选择性生长实施例所必需),如图1所示。例如,可以通过间距二分法或间距四分法来制造所述图案。线中的一些可能与下层过孔相关联,例如,在截面图中作为例子示出的线102’。
再次参考图1,替代选项(b)-(f)处理在金属线102和层间电介质线104之一或两者的表面上形成(例如,沉积、生长或者作为前面的图案化过程的剩余产物而留下)额外膜的情况。在示例(b)中,在层间电介质线104上设置额外膜106。在示例(c)中,在金属线102上设置额外膜108。在示例(d)中,在层间电介质线104上设置额外膜106,在金属线102上设置额外膜108。此外,尽管在(a)中将金属线102和层间电介质线104示为共面,但是在其它实施例中,它们非共面。例如,在(e)中,金属线102在层间电介质线104上方伸出。在示例(f)中,金属线102凹陷到层间电介质线104之下。
再次参考示例(b)-(d),可以采用额外层(例如,层106或108)作为硬掩模(HM)或保护层,或者采用额外层使能下文所述的与接下来的处理操作相关联的选择性生长和/或自组装。也可以采用这样的额外层保护ILD线免受进一步处理的影响。此外,由于类似的原因,在金属线上方有选择地沉积另一种材料可以带来好处。再次参考示例(e)和(f),还可以利用在任意或者两表面上的保护/HM材料的任何组合使ILD线或者金属线凹陷。总之,在这个阶段存在很多种用于为选择性或定向自组装过程制备最终处于下层的表面的选项。
在实施例中,如本说明书中通篇使用的,层间电介质(ILD)材料(例如,层间电介质线104的材料)包括电介质或绝缘材料层或者由其构成。合适的电介质材料的示例包括但不限于,硅的氧化物(例如,二氧化硅(SiO2))、硅的掺杂氧化物、硅的氟化氧化物、硅的碳掺杂氧化物、本行业已知的各种低k电介质材料及其组合。层间电介质材料可以由常规技术形成,例如,由化学气相沉积(CVD)、物理气相沉积(PVD)或者由其它沉积方法形成。
在实施例中,仍然如贯穿本说明书所使用的,诸如金属线102的材料等互连材料,是由一个或多个金属或其它导电结构构成的。常见的示例是使用铜线和铜结构,所述铜线和铜结构可以在铜和周围ILD材料之间包括阻挡层,也可以不包括。如文中所使用的,术语金属包括多种金属的合金、堆叠体以及其它组合。例如,金属互连线可以包括阻挡层、不同金属或合金的堆叠体等等。在本行业有时将互连线称为迹线、导线、线、金属或者简单地称为互连。如下文将进一步描述的,可以将下面的互连线的顶表面用于自对准过孔和插塞的形成。
在实施例中,如本说明书中通篇使用的,硬掩模材料,例如,层106或108(如果被包含作硬掩模的话)由不同于层间电介质材料的电介质材料构成。在一个实施例中,可以在不同的区域内采用不同的硬掩模材料,以提供相对于彼此的以及相对于下层电介质和金属层的不同生长或蚀刻选择性。在一些实施例中,硬掩模层包括硅的氮化物(例如,氮化硅)层或者硅的氧化物层或两者或其组合。其它适当材料可以包括基于碳的材料。在另一实施例中,硬掩模材料包括金属种类。例如,硬掩模或其它覆盖材料可以包括钛或者另一金属的氮化物(例如,氮化钛)层。可以在这些层的一者或多者当中包含有可能较少量的其它材料,例如,氧。替代地,可以根据具体的实施方式采用本行业已知的其它硬掩模层。可以通过CVD、PVD或者其它沉积方法形成所述硬掩模层。
应当理解,联系图1描述的层和材料典型地形成在下层半导体衬底或结构(例如,集成电路的(多个)下层器件层)上或上方。在实施例中,下层半导体衬底代表用于制造集成电路的一般工件对象。半导体衬底往往包括硅或其它半导体材料的晶片或其它片。合适的半导体衬底包括但不限于,单晶硅、多晶硅、绝缘体上硅(SOI)以及由其它半导体材料形成的类似衬底。所述半导体衬底根据制造阶段往往包括晶体管、集成电路等。所述衬底还可以包括半导体材料、金属、电介质、掺杂剂以及半导体衬底中常见的其它材料。此外,可以在下层的低层级互连层上制造图1所示的结构。
图2图示了根据本发明的实施例的在图1的结构上方形成了层间电介质(ILD)线110之后图1的结构的平面图和对应的截面图。参考所述平面图以及分别沿轴a-a‘和c-c’取得的对应截面图(a)和(c),按照格栅结构形成了垂直于下层线104的方向的ILD线110。在实施例中,通过化学气相沉积或类似技术沉积线110的材料的均厚膜。在实施例中,之后采用光刻和蚀刻工艺对所述均厚膜图案化,所述光刻和蚀刻工艺可能涉及(例如)基于间隔体的四重图案化(SBQP)或间距四分法。应当理解,可以通过很多种方法制造线110的格栅图案,这些方法包括EUV和/或EBDW光刻法、定向自组装等。如下文将更详细描述的,因而将相对于先前金属层沿正交方向对接下来的金属层图案化,因为线110的格栅与下层结构的方向正交。在一个实施例中,与先前金属层102的对准/配准(线110的格栅沿X与先前层的“插塞”图案对准,沿Y与先前金属格栅对准)使用单个193nm光刻掩模。参考截面结构(b)和(d),可以在电介质线110上形成硬掩模112或者可以在电介质线110的图案化之后保留硬掩模112。可以在后续图案化步骤中采用硬掩模112来保护线110。如下文更详细所述,线110的按照格栅图案的形成暴露了先前的金属线102和先前的ILD线104(或者102/104上的对应硬掩模层)的若干区域。所暴露的区域对应于暴露出金属的所有可能的未来过孔位置。在一个实施例中,在工艺流的这一点上对先前层的金属层(例如,线102)予以保护、标记、刷拭等。
图3图示了根据本发明的实施例的将可能的过孔位置中的所有位置与插塞位置中的所有位置选择性地区分开之后图2的结构的平面图和对应的截面图。参考ILD线110的形成之后的平面图以及分别沿轴a-a’、b-b’、c-c’、和d-d’取得的对应截面图(a)-(d),在下层ILD线104的暴露区域上形成表面修改层114。在实施例中,表面修改层114是电介质层。在实施例中,通过选择性的自底向上的生长方法形成表面修改层114。在一个这样的实施例中,自底向上的生长方法涉及定向自组装(DSA)刷敷涂层,其具有优选地在下层ILD线104上、或者替代地在金属线102上(或在下层金属或ILD材料上沉积或生长的牺牲层上)组装的一个聚合物成分。
图4A图示了根据本发明的实施例的在向图3的下层金属和ILD线的暴露部分添加了差异性聚合物之后图3的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’、b-b’、c-c’、和d-d’取得的对应截面图(a)-(d),采用在下层金属/ILD 102/104格栅的暴露部分上的定向自组装(DSA)或选择性生长来在ILD线110之间形成居间线116,所述居间线116具有交替的聚合物或交替的聚合物成分。例如,如图所示,在图3的层间电介质(ILD)线104的暴露部分上或上方形成聚合物116A(或聚合物成分116A),同时在图3的金属线102的暴露部分上或上方形成聚合物116B(或聚合物成分116B)。尽管在联系图3描述的表面修改层114上或上方形成了聚合物116A(见图4A的截面图(b)和(d)),但是应当理解,在其它实施例中,可以省略所述表面修改层114,相反可以在联系图2描述的结构当中直接形成所述交替的聚合物或者交替的聚合物成分。
再次参考图4A,在实施例中,一旦完成了对下层结构(例如,图1的结构100)表面的制备(例如,图2的结构或图3的结构)或者直接采用下层结构的表面,就将50-50二嵌段共聚物(例如,聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA))涂覆到所述衬底上并对其退火,以推动自组装,从而得到图4A的聚合物116A/聚合物116B层116。在一个这样的实施例中,借助于适当的表面能量条件,嵌段共聚物在ILD线110之间暴露的下层材料的基础上分凝。例如,在具体实施例中,聚苯乙烯有选择地与下层金属线102(或者对应的金属线帽或硬掩模材料)的暴露部分对准。同时,聚甲基丙烯酸甲酯有选择地与ILD线104(或者对应的金属线帽或硬掩模材料)的暴露部分对准。
因而,在实施例中,在嵌段共聚物(BCP,即聚合物116A/聚合物116B)内再造了如ILD线110之间所暴露的下层金属及ILD网格。在BCP间距与下层格栅间距相当的情况下可能尤其如此。在一个实施例中,所述聚合物网格(聚合物116A/聚合物116B)关于某些与理想网格的小的偏差可以是鲁棒的。例如,如果小的插塞实际上在理想网格应当具有金属的地方放置了氧化物或类似材料,那么仍然能够获得理想的聚合物116A/聚合物116B网格。但是,在一个实施例中,由于ILD线格栅是没有ILD主干的金属中断的理想化格栅结构,因而有必要使ILD表面呈中性,因为在这样的实例中两种类型的聚合物(116A和116B)都要暴露于ILD类材料,而只有一个类型暴露于金属。
在实施例中,涂覆聚合物(聚合物116A/聚合物116B)的厚度大约与最终形成于其位置上的ILD的最终厚度相同或略厚。在实施例中,如下文所描述的,并非将所述聚合物网格形成为蚀刻抗蚀剂,而是将其形成为最终围绕其生长永久ILD层的支架。因而,聚合物(聚合物116A/聚合物116B)的厚度可能很重要,因为可以采用其来定义接下来形成的永久ILD层的最终厚度。即,在一个实施例中,最终采用具有大致相同厚度的ILD格栅代替图4A所示的聚合物格栅。
在实施例中,如上所述,图4A的聚合物116A/聚合物116B的网格是嵌段共聚物。在一个这样的实施例中,嵌段共聚物分子是聚合物分子,所述聚合物分子是由通过共价键结合的单体的链形成的。在嵌段共聚物中,存在至少两种不同类型的单体,这些不同类型的单体主要被包含在不同的嵌段或者连续的单体序列内。所图示的嵌段共聚物分子包括聚合物116A的嵌段和聚合物116B的嵌段。在实施例中,聚合物116A的嵌段主要包括由以共价键联系的单体A的链(例如,A-A-A-A-A……),而聚合物116B的嵌段则主要包括由以共价键联系的单体B的链(例如,B-B-B-B-B……)。单体A和B可以表示本行业已知的嵌段共聚物中所采用的不同类型的单体中的任何单体。通过示例的方式,单体A可以表示要形成聚苯乙烯的单体,单体B可以表示要形成聚(甲基丙烯酸甲酯)(PMMA)的单体,但是本发明的范围不受此限制。在其它实施例中,可能存在不只两种嵌段。此外,在其它实施例中,所述嵌段中的每者可以包括不同类型的单体(例如,每一嵌段本身可以是共聚物)。在一个实施例中,使聚合物116A的嵌段和聚合物116B的嵌段以共价键结合到一起。聚合物116A的嵌段和聚合物116B的嵌段可以具有大约相等的长度,或者一个嵌段可以显著长于另一嵌段的长度。
典型地,嵌段共聚物的嵌段(例如,聚合物116A的嵌段和聚合物116B的嵌段)可以每者具有不同的化学性质。作为一个示例,所述嵌段中的一个可以相对地更具疏水性(例如,排斥水),另一个可以相对地更具亲水性(吸引水)。至少从概念上来讲,所述嵌段中的一个可以相对地更类似于油,另一嵌段可以相对地更类似于水。不同聚合物嵌段之间的这样的化学性质差异,即亲水性-疏水性差异或其它差异,可以使得嵌段共聚物分子自组装。例如,所述自组装可以基于聚合物嵌段之间的微相分离。从概念上来讲,这可以类似于一般不互溶的油和水的相分离。类似地,聚合物嵌段之间的亲水性上的差异(例如,一个嵌段相对地疏水,另一个嵌段相对地亲水)可能导致大致类似的微相分离,其中,不同聚合物嵌段将由于与其它嵌段的化学厌离而试图与彼此“分离”。
但是,在实施例中,由于聚合物嵌段是与彼此以共价键结合的,因而它们不能在宏观尺度上完全分离。相反,给定类型的聚合物嵌段可能倾向于在极小的(例如,纳米尺寸的)区域或相内与相同类型的其它分子的聚合物嵌段分凝或聚合。区域或微相的具体尺寸和形状一般至少部分地取决于聚合物嵌段的相对长度。在实施例中,通过示例(如图4A所示)的方式,在两种嵌段共聚物中,如果嵌段具有大约相同的长度,那么生成由交替的聚合物116A线和聚合物116B线构成的网格状图案。在另一实施例(未示出)中,在两个嵌段共聚物中,如果所述嵌段中的一个比另一个长,但不是长太多,那么可以形成柱状结构。在所述柱状结构中,嵌段共聚物分子可以对准排列,它们的较短的聚合物嵌段微相分离到柱的内部,而它们的较长的聚合物嵌段则延伸离开所述柱并且围绕所述柱。例如,如果聚合物116A的嵌段比聚合物116B的嵌段长,但是没有长太多,那么可以形成柱状结构,其中,很多嵌段共聚物分子对准排列,这些分子的较短的聚合物116B的嵌段形成柱状结构,在所述柱状结构周围包围着具有较长的聚合物116A的嵌段的相。当其发生在具有足够尺寸的区域内时,可以形成由大致为六边形填充(hexagonally-packed)的柱状结构构成的二维阵列。
在实施例中,首先施加聚合物116A/聚合物116B格栅作为未组装的嵌段共聚物层部分,该部分包括例如通过刷涂或其它涂覆工艺施加的嵌段共聚物材料。所述的未组装形貌是指这样的情况,其中,在沉积时,嵌段共聚物尚未发生充分的相分离和/或自组装,以形成纳米结构。在这一未组装形式当中,嵌段共聚物分子具有相对较高的随机性,其中,不同聚合物嵌段的取向和位置具有相对较高的随机性,这与联系图4A的生成结构所讨论的组装后的嵌段共聚物层部分形成了对比。可以通过各种不同方式施加未组装嵌段共聚物层部分。通过示例的方式,可以将嵌段共聚物溶解到溶剂当中,然后将其旋涂到表面上。替代地,可以将未组装嵌段共聚物喷涂、滴涂、浸涂或者以其它涂覆方式涂覆或施加到所述表面上方。有可能采用其它施加嵌段共聚物的方式以及本行业已知的其它施加类似有机涂层的方式。然后,所述未组装层可以形成组装嵌段共聚物层部分,例如,通过未组装嵌段共聚物层部分的微相分离和/或自组装。所述微相分离和/或自组装是通过嵌段共聚物分子的重新排布和/或重新定位发生的,尤其是通过嵌段共聚物分子的不同聚合物嵌段的重新排布和/或重新定位发生的。
在一个这样的实施例中,可以对未组装嵌段共聚物实施退火处理,以启动、加快微相分离和/或自组装、提高其质量或者以其它方式促进微相分离和/或自组装。在一些实施例中,退火处理可以包括可操作以提高嵌段共聚物的温度的处理。一个这样的处理的例子是对所述层焙烧、在烘箱中或热灯下对所述层加热、向所述层施加红外辐射或者以其它方式施加热量或者提高所述层的温度。预期温度提高一般将足以显著加快嵌段共聚物的微相分离和/或自组装的速率,而不破坏嵌段共聚物或者集成电路衬底的任何其它重要材料或结构。通常,加热可以处于大约50℃到大约300℃的范围内,或者处于大约75℃到大约250℃的范围内,而不超过嵌段共聚物或集成电路衬底的热降解极限。所述加热或退火可以有助于向嵌段共聚物分子提供能量,从而使其更具有移动性/灵活性,以提高微相分离的速率和/或提高微相分离的质量。嵌段共聚物分子的这样的微相分离或重新排布/重新定位可以引起自组装,以形成极小的(例如,纳米级)结构。自组装可以发生在表面能量、分子亲和力、和其它表面相关和化学相关力的影响下。
在任何情况下,在一些实施例中,可以采用嵌段共聚物的自组装(不管其基于亲水性-疏水性差异还是其它方式)形成极小的周期性结构(例如,精确地隔开的纳米级结构或线)。在一些实施例中,可以采用其形成最终用于形成过孔和开口的纳米级线或其它纳米级结构。在一些实施例中,可以采用嵌段共聚物的定向自组装来形成与互连自对准的过孔,如下文更详细描述的。
再次参考图4A,在实施例中,对于DSA工艺而言,除了来自下层ILD/金属104/102表面的方向之外,所述生长过程还可能受到ILD线110的材料的侧壁的影响。这样一来,在一个实施例中,通过制图外延(从线110的侧壁)和化学外延(从下层的经暴露的表面特征)来控制DSA。从缺陷率的角度来看,从物理和化学两方面限制所述DSA过程能够为所述过程带来明显的帮助。所得到的聚合物116A/116B具有更少的自由度,并且通过化学(例如,下层的ILD或金属线或者通过刷涂法对其做出的表面修改)和物理(例如,由形成于ILD线110之间的沟槽)措施受到了全方位的充分限制。
在替代实施例中,采用选择性生长过程代替DSA方案。图4B示出了根据本发明的另一实施例的在下层金属和ILD线的暴露部分上方的选择性生长之后图2的结构的截面图。参考图4B,在下层ILD线104的暴露部分上方生长第一材料类型400。在下层金属线102的暴露部分上方生长不同的第二材料类型。在实施例中,这样的选择性生长是通过针对所述第一和第二材料的每者的沉积-蚀刻-沉积-蚀刻方案实现的,从而得到材料中的每种材料的多个层,如图4B所示。与能够形成“蘑菇顶”形状的膜的常规选择性生长技术相比,这样的方案可以是有利的。可以通过交替的沉积/蚀刻/沉积(沉积-蚀刻-沉积-蚀刻)方案抑制蘑菇顶膜生长趋势。在另一实施例中,在所述金属上方有选择地沉积一种膜之后在ILD上方有选择地沉积一种不同的膜(或反之),并重复多次,从而得到类似夹心结构的堆叠体。在另一实施例中,在反应室中同时生长两种材料(例如,通过CVD类型的工艺),所述材料将选择性地生长在下层衬底的每一暴露区域上。
图5图示了根据本发明的实施例的在去除一个种类的聚合物之后图4A的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a'、b-b'、c-c'、d-d'取得的对应截面图(a)到(d),去除聚合物或聚合物部分116A,从而重新暴露ILD线104(或者形成于ILD线104上的硬掩模或帽盖层),同时将聚合物或聚合物部分116B保留于金属线102上方。在实施例中,采用深紫外(DUV)泛射曝光,随后以湿法蚀刻或选择性干法蚀刻有选择地去除聚合物116A。应当理解,可以不首先从ILD线104(如图所示)上去除聚合物,而是可以首先执行从金属线102上的去除。或者,在所述区域上方有选择地生长电介质膜,并且不采用混合支架。
图6图示了根据本发明的实施例的在去除了一种种类的聚合物而空出的位置上形成ILD材料之后图5的结构的平面图和对应平面图。参考所述平面图和分别沿轴a-a’、b-b’、c-c’、d-d’取得的对应截面图(a)到(d),采用永久层间电介质(ILD)层118填充下层ILD线104的暴露区域。因而,采用ILD层118填充所有可能过孔位置之间的开放空间包括在其上设置硬掩模层120,如图6的平面图和截面图(b)、(d)所示。应当理解,ILD层118的材料未必与ILD线110的材料相同。在实施例中,通过沉积和抛光工艺形成ILD层118。在形成附有硬掩模层120的ILD层118的情况下,可以采用特殊的ILD填充材料(例如,填充孔/沟槽的ILD的聚合物包封纳米颗粒)。在这种情况下,将不必采用抛光操作。
再次参考图6,在实施例中,所得到的结构包括均匀的ILD结构(ILD线110+ILD层118),并在硬掩模120中覆盖所有可能插塞的位置,而所有可能的过孔则处于聚合物116B的区域内。在一个这样的实施例中,ILD线110和ILD层118由相同的材料构成。在另一这样的实施例中,ILD线110和ILD层118由不同ILD材料构成。在任一种情况下,在具体实施例中,可以在最终的结构中观察到ILD线110和ILD层118之间的区别,例如,接缝。在图6中出于说明的目的示出了示例性接缝199。
图7图示了根据本发明的实施例的在过孔图案化之后图6的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’、b-b’、c-c’、d-d’取得的对应截面图(a)到(d),通过去除选定位置上的聚合物116B而打开了过孔位置122A、122B和122C。在实施例中,采用光刻技术完成选择性过孔位置形成。在一个这样的实施例中,借助于灰化对聚合物116B进行全局去除,并采用光刻胶来再次填充。所述光刻胶可以是高度灵敏的,并且具有高酸扩散性和活跃的去保护或交联作用(根据抗蚀剂色调),因为潜像沿双向受到ILD(例如,ILD线110和ILD层118)限定。所述抗蚀剂起着“开启”或“关闭”的数字开关的作用,具体取决于在特定的位置是否需要过孔。理想地,只采用光刻胶填满所述孔而不溢出。在实施例中,借助于所述过程对过孔位置122A、122B和122C给出了充分的限定,从而缓解了线边缘或宽度粗糙度(LWR)以及线塌缩和/或反射。在实施例中,将低剂量与EUV/EBDW结合使用,并且低剂量显著提高了运行速率。在一个实施例中,采用EBDW的额外优点是仅采用单发发射类型/规格,其能够通过显著降低所需的孔径的数量以及降低必须提供的剂量而提高运行速率。在采用193nm浸渍光刻的情况下,在实施例中,过程流沿两个方向限定过孔位置,从而使实际图案化的过孔的尺寸是晶片上的实际过孔尺寸的两倍(例如,假定1:1线路/空间图案)。或者,可以按照反色调选择过孔位置,其中,采用光刻胶保护必须保留的过孔,并去除其他部分,稍后填充以ILD。这样的方案能够允许在图案化过程流结束时采取单一金属填充/抛光过程,而不是两个单独的金属沉积步骤。
图8图示了根据本发明的实施例的在形成过孔之后图7的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’、b-b’、c-c’、d-d’取得的对应截面图(a)到(d),采用金属分别填充过孔位置122A、122B和122C,从而形成过孔124A、124B和124C。在实施例中,采用过量金属填充过孔位置122A、122B和122C,接下来执行抛光操作。但是,在另一实施例中,在不发生金属溢出的情况下填充过孔位置122A、122B和122C并省略抛光操作。应当理解,在反色调过孔选择方案中可以省略图8所述的过孔填充。
图9图示了根据本发明的实施例的在去除第二种类的聚合物并替代以ILD材料之后图8的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’、b-b’、c-c’、d-d’取得的对应截面图(a)到(d),去除剩余的聚合物或聚合物部分116B(例如,未被选择的过孔位置处的),从而重新暴露金属线102。随后,在去除了剩余聚合物或聚合物部分116B的位置处形成ILD层126,如图9所示。
再次参考图9,在实施例中,所得到的结构包括均匀的ILD结构(ILD线110+ILD层118+ILD层126),并在硬掩模120中覆盖所有可能插塞的位置。在一个这样的实施例中,ILD线110、ILD层118和ILD层126由相同的材料构成。在另一这样的实施例中,ILD线110、ILD层118和ILD层126中的两者由相同材料构成,而第三种则由不同ILD材料构成。在又一个这样的实施例中,ILD线110、ILD层118和ILD层126全部由不同于彼此的ILD材料构成。任何情况下,在具体实施例中,可以在最终的结构中观察到ILD线110和ILD层126之间的区别,例如,接缝。在图9中出于举例说明的目的示出了示例性接缝197。类似地,可以在最终的结构中观察到ILD层118和ILD层126之间的区别,例如,接缝。在图9中出于说明的目的示出了示例性接缝198。
图10图示了根据本发明的实施例的选定插塞位置上的抗蚀剂或掩模图案化之后的图9的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’和b-b’取得的对应截面图(a)和(b),通过在插塞位置128A、128B和128C上方形成掩模或抗蚀剂层而保留这些位置。可以将这样的保留图案化称为金属端到端光刻图案化,其中,在需要在接下来形成的金属线内形成破缺的地方确定插塞位置。应当理解,由于插塞位置只处于ILD层118/硬掩模120所处的那些位置,因而可以使插塞出现在先前层的ILD线104上方。在实施例中,通过采用光刻操作(例如,EUV、EBDW或浸渍193nm)实现图案化。在实施例中,图10所示的过程演示了正色调图案化过程的使用,其中,保留必须在金属之间存在间隔的区域。应当理解,在另一实施例中,反而还有可能开孔,并逆转所述过程的色调。
图11图示了根据本发明的实施例的去除硬掩模并且使ILD层凹陷之后图10的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’和b-b’取得的对应截面图(a)和(b),去除硬掩模120,并分别使ILD层118和ILD层126凹陷,以形成凹陷ILD层118'和凹陷ILD层126',形成凹陷的方式是对这些层蚀刻使之低于其初始最高表面。应当理解,使ILD层118和ILD层126发生凹陷是在不对ILD线110进行蚀刻或凹陷处理的情况下执行的。可以利用ILD线上的硬掩模层112实现选择性(如截面图(a)和(b)中所示)。替代地,在ILD线110由不同于ILD层118和ILD层126的材料的ILD材料构成的情况下,即使没有硬掩模112也可以使用选择性蚀刻。使ILD层118和ILD层126凹陷的目的在于提供第二层级的金属线的位置,所述金属线通过ILD线110隔离,如下文所述。在一个实施例中,凹陷的程度或深度是在形成于其上的金属线的预期最终厚度的基础上选定的。应当理解,插塞位置128A、128B和128C上的ILD层118未发生凹陷。
图12示出了根据本发明的实施例的在形成金属线之后图11的结构的平面图和对应截面图。参考所述平面图和分别沿轴a-a’、b-b’和c-c’取得的对应截面图(a)、(b)、(c),在图11的结构上方共形形成用于形成金属互连线的金属。之后,通过例如CMP使所述金属平面化,以提供金属线130,其被局限于凹陷ILD层118’和凹陷ILD层126’上方的位置上。金属线130通过预定过孔位置124A、124B和124C与下层金属线102耦合(在截面图(c)中示出了124B;注意,出于说明目的,在截面图(b)中将另一过孔132示为直接与相邻插塞128B邻接,尽管这与前面附图中并不一致)。金属线130通过ILD线110相互隔离,并且因所保留的插塞128A、128B和128C而中断或断开。在过程流的这一部分当中可以去除在插塞位置上和/或ILD线110上剩余的任何硬掩模,如图12所示。用以形成金属线130的金属(例如,铜及相关势垒层和种层)沉积和平面化过程可以是通常用于标准的后段(BEOL)单重或双重金属镶嵌处理的过程。在实施例中,在接下来的制造操作中,可以去除ILD线110,从而在所得到的金属线130之间提供气隙。
接下来可以采用图12的结构作为基础来形成接下来的金属线/过孔和ILD层。替代地,图12的结构可以代表集成电路中的最终金属互连层。应当理解,可以按照替代顺序实践上述工艺操作,并非每项操作都有必要被执行并且/或者可以执行额外的工艺操作。此外,尽管上面的过程流聚焦在定向自组装(DSA)的应用上,但是在所述过程流的一个或多个位置上可以采用选择性生长过程作为替代。在任何情况下,所得到的结构都能够实现中心直接落在下层金属线上的过孔的制造。即,例如由于非理想的选择性蚀刻工艺,所述过孔可以比下层金属线宽、窄或者与之具有相同的厚度。但是,在实施例中,过孔的中心直接与金属线的中心对准(匹配)。因此,在实施例中,在其它情况下将必须忍受的由于常规光刻/双重金属镶嵌图案化导致的偏差对于本文中描述的生成结构而言不再是问题。
可以采用本文中公开的实施例制造各式各样不同类型的集成电路和/或微电子器件。这样的集成电路的示例包括但不限于,处理器、芯片组部件、图形处理器、数字信号处理器、微控制器等。在其它实施例中,可以制造半导体存储器。此外,可以在本行业已知的各种各样的电子设备中采用所述集成电路或其它微电子器件。例如,在计算机系统(例如,台式机、膝上型电脑、服务器)、移动电话、个人电子设备等当中。可以使所述集成电路与总线以及系统中的其它部件耦合。例如,可以通过一条或多条总线将处理器耦合至存储器、芯片组等。有可能采用本文中公开的方法来制造处理器、存储器和芯片组中的每个。
图13图示了根据本发明的一种实施方式的计算设备1300。计算设备1300容纳板1302。板1302可以包括很多部件,所述部件包括但不限于处理器1304和至少一个通信芯片1306。将处理器1304物理和电耦合至板1302。在一些实施方式中,还将至少一个通信芯片1306物理和电耦合至板1302。在另外的实施方式中,通信芯片1306是处理器1304的一部分。
根据其应用,计算设备1300可以包括一个或多个其它部件,这些部件可以或可以不物理和电耦合至板1302。这些其它部件包括但不限于:易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、照相机、和大容量存储设备(例如,硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片1306使能用于来往于计算设备1300的数据的传输的无线通信。术语“无线”及其派生词可以用于描述可以通过使用经由非固体介质调制的电磁辐射来传送数据的电路、设备、系统、方法、技术、通信信道等。术语并不暗示相关联的设备不包含任何接线,尽管在一些实施例中它们可以不包含接线。通信芯片1306可以实施多种无线标准或协议中的任何无线标准或协议,包括但不限于:Wi-Fi(IEEE 802.11族)、WiMAX(IEEE802.16族)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其派生物、以及被称为3G、4G、5G或更高代的任何其它无线协议。计算设备1300可以包括多个通信芯片1306。例如,第一通信芯片1306可以专用于较短范围的无线通信,例如,Wi-Fi和蓝牙,第二通信芯片1306可以专用于较长范围的无线通信,例如,GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
计算设备1300的处理器1304包括封装在处理器1304内的集成电路管芯。在本发明的一些实施方式中,所述处理器的集成电路管芯包括一个或多个根据本发明的实施方式构建的结构,例如,自对准过孔和插塞。术语“处理器”可以指处理来自寄存器和/或存储器的电子数据以将该电子数据转换成可以被存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
通信芯片1306还包括封装在通信芯片1306内的集成电路管芯。根据本发明的另一实施方式,通信芯片的集成电路管芯包括一个或多个根据本发明的实施方式构建的结构,例如,自对准过孔和插塞。
在另一实施方式中,计算设备1300内容纳的另一部件可以含有集成电路管芯,所述集成电路管芯包括一个或多个根据本发明的实施方式构建的诸如自对准过孔和插塞的结构。
在各实施方式中,计算设备1300可以是膝上型电脑、上网本、笔记本、超级本、智能电话、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数字照相机、便携式音乐播放器或者数字视频记录器。在另外的实施方式中,计算设备1300可以是处理数据的任何其它设备。
因而,本发明的实施例包括用于后段(BEOL)互连的自对准过孔和插塞图案化。
在实施例中,一种用于集成电路的互连结构包括:设置在衬底上方的所述互连结构的第一层。所述第一层包括第一方向上的交替的金属线和电介质线的格栅。所述互连结构的第二层设置在所述第一层上方,所述第二层包括第二方向上的交替的金属线和电介质线的格栅,所述第二方向垂直于所述第一方向。所述第二层的所述格栅的每条金属线设置在凹陷的电介质线上,所述凹陷的电介质线包括与所述互连结构的所述第一层的所述交替的金属线和电介质线对应的第一电介质材料和第二电介质材料的交替的相异区域。所述第二结构的所述格栅的每条电介质线包括与所述第一电介质材料和所述第二电介质材料的所述交替的相异区域相异的第三电介质材料的连续区域。
在一个实施例中,所述第二层的金属线通过过孔而电耦合到所述第一层的金属线,所述过孔具有与所述第一层的所述金属线的中心直接对准、并且与所述第二层的所述金属线的中心直接对准的中心。
在一个实施例中,所述第二层的金属线被插塞中断,所述插塞具有与所述第一层的电介质线的中心直接对准的中心。
在一个实施例中,所述第一电介质材料、所述第二电介质材料、以及所述第三电介质材料中的所有电介质材料都不相同。
在一个实施例中,所述第一电介质材料、所述第二电介质材料、以及所述第三电介质材料中的仅两种电介质材料相同。
在一个实施例中,所述第一电介质材料和所述第二电介质材料的所述交替的相异区域通过接缝被分开,并且其中,所述第三电介质材料的所述连续区域通过接缝与所述第一电介质材料和所述第二电介质材料的所述交替的相异区域分开。
在一个实施例中,所述第一电介质材料、所述第二电介质材料、以及所述第三电介质材料中的所有电介质材料都相同。
在实施例中,一种制造用于集成电路的互连结构的方法包括:提供先前层金属化结构,所述先前层金属化结构具有交替的金属线和电介质线的第一格栅图案,所述第一格栅图案具有第一方向。所述方法还包括:在所述先前层金属化结构上方形成电介质线的第二格栅图案,所述电介质线的第二格栅图案具有第二方向,所述第二方向垂直于所述第一方向。所述方法还包括:在所述第一格栅图案上方并且在所述第二格栅图案的所述电介质线之间形成牺牲结构。所述方法还包括:用第一电介质层来代替所述牺牲结构的位于所述第一格栅图案的所述金属线上方并与所述第一格栅图案的所述金属线对准的部分,并且用第二电介质层来代替所述牺牲结构的位于所述第一格栅图案的所述电介质线上方并且与所述第一格栅图案的所述电介质线对准的部分。所述方法还包括:在所述第一电介质层中形成一个或多个导电过孔。所述方法还包括:使所述第一电介质层和所述第二电介质层的部分凹陷。所述方法还包括:在所述第一电介质层和所述第二电介质层的凹陷的部分中形成与所述一个或多个导电过孔耦合的多条金属线,所述多条金属线具有所述第二方向。
在一个实施例中,所述方法还包括:在所述第二电介质层中形成一个或多个插塞位置。
在一个实施例中,通过所述一个或多个导电过孔的其中之一将所述第一电介质层和所述第二电介质层的所述凹陷的部分中的所述多条金属线中的金属线电耦合到所述先前层金属化结构的金属线,所述导电过孔具有与所述先前层金属化结构的所述金属线的中心直接对准、并且与所述第一电介质层和所述第二电介质层的所述凹陷的部分中的所述多条金属线中的所述金属线的中心直接对准的中心。
在一个实施例中,形成所述多条金属线包括形成金属层并使所述金属层平面化。
在一个实施例中,所述方法还包括:通过去除所述第二格栅图案的所述电介质线,在所述第一电介质层和所述第二电介质层的所述凹陷的部分中的所述多条金属线之间形成气隙结构。
在一个实施例中,在所述第一格栅图案上方并且在所述第二格栅图案的所述电介质线之间形成所述牺牲结构包括采用定向自组装(DSA)技术。
在一个实施例中,采用所述定向自组装(DSA)技术包括形成50-50双嵌段聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA)共聚物。
在一个实施例中,在所述第一格栅图案上方并且在所述第二格栅图案的所述电介质线之间形成所述牺牲结构包括采用选择性生长技术。
在一个实施例中,形成所述第一电介质层和所述第二电介质材料层包括针对每个层形成不同的材料。
在一个实施例中,形成所述第一电介质层和所述第二电介质材料层包括针对每个层形成相同的材料。
在实施例中,一种制造用于集成电路的互连结构的方法包括:提供先前层金属化结构,所述先前层金属化结构具有交替的金属线和电介质线的第一格栅图案,所述第一格栅图案具有第一方向。所述方法还包括:在所述先前层金属化结构上方形成电介质线的第二格栅图案。所述电介质线的第二格栅图案具有第二方向,所述第二方向垂直于所述第一方向。所述方法还包括:在所述第一格栅图案上方并且在所述第二格栅图案内形成聚合物结构。所述聚合物结构包括设置在所述第一格栅图案的所述金属线上方并与所述第一格栅图案的所述金属线对准的第一聚合物种类、以及设置在所述第一格栅图案的所述电介质线上方并与所述第一格栅图案的所述电介质线对准的第二聚合物种类。所述方法还包括:从所述聚合物结构去除所述第一聚合物种类。所述方法还包括:形成第一永久电介质层,所述第一永久电介质层位于所述第一格栅图案的所述金属线上方并与所述第一格栅图案的所述金属线对准、并且邻近所述第二聚合物种类。所述方法还包括:用导电过孔来代替所述第一永久电介质层的一个或多个区域。所述方法还包括:随后,从所述聚合物结构去除所述第二聚合物种类,以提供位于所述第一格栅图案的所述电介质线上方并且与所述第一格栅图案的所述电介质线对准的开口。所述方法还包括:形成第二永久电介质层,所述第二永久电介质层位于所述第一格栅图案的所述电介质线上方并且与所述第一格栅图案的所述电介质线对准。所述方法还包括:通过在所述第二永久电介质层的部分上形成硬掩模图案来保护一个或多个插塞位置。所述方法还包括:相对于所述硬掩模图案而选择性地使所述第一永久电介质层和所述第二永久电介质层的暴露的部分凹陷。所述方法还包括:在所述第一永久电介质层和所述第二永久电介质层的凹陷的部分中形成金属层。
在一个实施例中,所述方法还包括:去除所述第二格栅图案的所述电介质线,以形成气隙结构。
在一个实施例中,在所述第一格栅图案上方并且在所述第二格栅图案内形成所述聚合物结构包括采用定向自组装(DSA)技术。
在一个实施例中,采用所述定向自组装(DSA)技术包括形成50-50双嵌段聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA)共聚物。
在一个实施例中,在所述第一格栅图案上方并且在所述第二格栅图案内形成所述聚合物结构包括采用选择性生长技术。
在一个实施例中,形成所述第一永久电介质层和所述第二永久电介质材料层包括形成不同的材料。
在一个实施例中,形成所述电介质线的第二格栅图案包括形成与所述第一永久电介质材料层和所述第二永久电介质材料层的材料不同的电介质材料层。
在一个实施例中,形成所述第一永久电介质层和所述第二永久电介质材料层包括形成相同的材料。

Claims (20)

1.一种用于集成电路的互连结构,所述互连结构包括:
第一层,所述第一层包括第一方向上的交替的金属线和电介质线;以及
设置在所述第一层上方的第二层,所述第二层包括第二方向上的交替的多条金属线和多条电介质材料线,所述第二方向垂直于所述第一方向,其中:
所述多条金属线中的单条金属线位于包括第一电介质材料和第二电介质材料的交替区域的凹陷的电介质结构上,其中,所述第一电介质材料和第二电介质材料分别对位于所述第一层的交替的所述金属线和电介质线;以及
所述多条电介质材料线与所述多条金属线中的各条金属线横向交替,其中,所述多条电介质线中的各条电介质线包括与所述交替区域的所述第一电介质材料和所述第二电介质材料不同的第三电介质材料。
2.根据权利要求1所述的集成电路结构,其中,所述多条金属线中的单条金属线被电介质插塞中断。
3.根据权利要求1所述的集成电路结构,其中,所述第一电介质材料、所述第二电介质材料和所述第三电介质材料各自由不同材料构成。
4.根据权利要求1所述的集成电路结构,其中,所述第一电介质材料、所述第二电介质材料和所述第三电介质材料中的仅两种电介质材料相同。
5.根据权利要求1所述的集成电路结构,其中,所述第一电介质材料和所述第二电介质材料的所述交替区域通过接缝分开,并且其中,所述第三电介质材料与所述第一电介质材料和所述第二电介质材料的所述交替区域通过接缝分开。
6.根据权利要求1所述的集成电路结构,其中,所述第一电介质材料、所述第二电介质材料和所述第三电介质材料均具有相同的成分。
7.一种集成电路结构,包括:
在衬底上方的互连结构的第一层,所述第一层包括在第一方向上的交替的金属线和电介质线;以及
在所述第一层上方的所述互连结构的第二层,所述第二层包括在垂直于所述第一方向的第二方向上的交替的金属线和电介质线,其中所述第二层的每条金属线位于凹陷的电介质线上,所述凹陷的电介质线包括与所述互连结构的所述第一层的所述交替的金属线和电介质线对应的第一电介质材料和第二电介质材料的交替区域,其中,所述第一电介质材料和第二电介质材料分别对位于所述第一层的交替的金属线和电介质线。
8.根据权利要求7所述的集成电路结构,其中,所述第二层的金属线通过过孔而电耦合到所述第一层的金属线。
9.根据权利要求8所述的集成电路结构,其中,所述过孔具有与所述第一层的所述金属线的中心直接对准、并且与所述第二层的所述金属线的中心直接对准的中心。
10.根据权利要求7所述的集成电路结构,其中,所述第二层的金属线被电介质插塞中断,所述电介质插塞具有与所述第一层的电介质线的中心直接对准的中心。
11.根据权利要求7所述的集成电路结构,其中,所述第一电介质材料和所述第二电介质材料各自由不同材料构成。
12.根据权利要求7所述的集成电路结构,其中,所述第一电介质材料和所述第二电介质材料由相同材料构成。
13.根据权利要求7所述的集成电路结构,其中,所述第一电介质材料和所述第二电介质材料的所述交替区域通过接缝分开。
14.一种集成电路结构,包括:
在衬底上方的互连结构的第一层,所述第一层包括在第一方向上的交替的金属线和电介质线;以及
在所述第一层上方的所述互连结构的第二层,所述第二层包括在垂直于所述第一方向的第二方向上的交替的金属线和电介质线,其中,所述第二层的每条金属线位于凹陷的第一电介质材料和第二电介质材料的交替区域上,所述第一电介质材料和所述第二电介质材料沿所述第一方向形成线,其中,所述第一电介质材料和第二电介质材料分别对位于所述第一层的交替的所述金属线和电介质线,并且其中,所述第二层的每条电介质线包括第三电介质材料。
15.根据权利要求14所述的集成电路结构,其中,所述第二层的金属线通过过孔而电耦合到所述第一层的金属线,所述过孔具有与所述第一层的所述金属线的中心直接对准、并且与所述第二层的所述金属线的中心直接对准的中心。
16.根据权利要求14所述的集成电路结构,其中,所述第二层的金属线被电介质插塞中断,所述电介质插塞具有与所述第一层的电介质线的中心直接对准的中心。
17.根据权利要求14所述的集成电路结构,其中,所述第一电介质材料、所述第二电介质材料和所述第三电介质材料均不由相同材料构成。
18.根据权利要求14所述的集成电路结构,其中,所述第一电介质材料、所述第二电介质材料和所述第三电介质材料中的仅两种电介质材料由相同材料构成。
19.根据权利要求14所述的集成电路结构,其中,所述第一电介质材料和所述第二电介质材料的所述交替区域通过接缝分开,并且其中,所述第三电介质材料通过接缝与所述第一电介质材料和所述第二电介质材料的所述交替区域分开。
20.根据权利要求14所述的集成电路结构,其中,所述第一电介质材料、所述第二电介质材料和所述第三电介质材料均由相同材料构成。
CN201910196602.1A 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化 Active CN110060972B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910196602.1A CN110060972B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201380079116.2A CN105518837B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化
CN201910196602.1A CN110060972B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化
PCT/US2013/062323 WO2015047320A1 (en) 2013-09-27 2013-09-27 Self-aligned via and plug patterning for back end of line (beol) interconnects

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380079116.2A Division CN105518837B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化

Publications (2)

Publication Number Publication Date
CN110060972A CN110060972A (zh) 2019-07-26
CN110060972B true CN110060972B (zh) 2024-02-23

Family

ID=52744220

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380079116.2A Active CN105518837B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化
CN201910196602.1A Active CN110060972B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201380079116.2A Active CN105518837B (zh) 2013-09-27 2013-09-27 用于后段(beol)互连的自对准过孔及插塞图案化

Country Status (6)

Country Link
US (3) US9666451B2 (zh)
EP (2) EP3796371A3 (zh)
KR (1) KR102167352B1 (zh)
CN (2) CN105518837B (zh)
TW (1) TWI540677B (zh)
WO (1) WO2015047320A1 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10014184B2 (en) * 2013-09-05 2018-07-03 Applied Materials, Inc. Methods and apparatus for forming a resist array using chemical mechanical planarization
EP3796371A3 (en) 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
EP3050086A4 (en) 2013-09-27 2017-05-03 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
US10553532B2 (en) * 2014-12-24 2020-02-04 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
EP3062334B1 (en) * 2015-02-27 2020-08-05 IMEC vzw Method for patterning an underlying layer
KR102423220B1 (ko) * 2015-06-26 2022-07-20 인텔 코포레이션 감산적으로 패터닝된 자기 정렬된 상호접속부들, 플러그들, 및 비아들을 위한 텍스타일 패터닝
KR102421731B1 (ko) * 2015-07-31 2022-07-18 삼성전자주식회사 반도체 소자의 배선 형성 방법
US9397049B1 (en) 2015-08-10 2016-07-19 International Business Machines Corporation Gate tie-down enablement with inner spacer
WO2017111924A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
WO2017204821A1 (en) * 2016-05-27 2017-11-30 Intel Corporation Subtractive plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects
US9991156B2 (en) * 2016-06-03 2018-06-05 International Business Machines Corporation Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs
US9741613B1 (en) * 2016-06-07 2017-08-22 Globalfoundries Inc. Method for producing self-aligned line end vias and related device
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9941118B2 (en) * 2016-08-22 2018-04-10 International Business Machines Corporation Dense vertical nanosheet
WO2018063323A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Via & plug architectures for integrated circuit interconnects & methods of manufacture
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
EP3559983B1 (en) * 2016-12-23 2022-04-13 INTEL Corporation Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom
WO2018118092A1 (en) 2016-12-23 2018-06-28 Intel Corporation Advanced lithography and self-assembled devices
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10424507B2 (en) 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11205708B2 (en) 2018-04-02 2021-12-21 Intel Corporation Dual self-aligned gate endcap (SAGE) architectures
TWI797304B (zh) 2018-04-03 2023-04-01 日商東京威力科創股份有限公司 使用完全自對準方案的消去式互連線形成
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US11244898B2 (en) * 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US10185092B1 (en) * 2018-07-11 2019-01-22 Globalfoundries Inc. Hybrid grating couplers that overlap via an interconnect structure having a metallization layer
US10559493B1 (en) * 2018-09-10 2020-02-11 International Business Machines Corporation Multifunction single via patterning
KR102582668B1 (ko) * 2018-10-01 2023-09-25 삼성전자주식회사 집적회로 소자의 제조 방법
US11158573B2 (en) * 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US10741611B1 (en) 2019-02-11 2020-08-11 International Business Machines Corporation Resistive processing units with complementary metal-oxide-semiconductor non-volatile analog memory
US10763160B1 (en) 2019-03-22 2020-09-01 International Business Machines Corporation Semiconductor device with selective insulator for improved capacitance
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US10915690B2 (en) 2019-04-12 2021-02-09 International Business Machines Corporation Via design optimization to improve via resistance
US11139242B2 (en) 2019-04-29 2021-10-05 International Business Machines Corporation Via-to-metal tip connections in multi-layer chips
US11329001B2 (en) 2019-05-15 2022-05-10 International Business Machines Corporation Embedded chip identification formed by directed self-assembly
US11024539B2 (en) 2019-06-24 2021-06-01 International Business Machines Corporation Self-aligned cut process for self-aligned via process window
US11605623B2 (en) * 2019-06-28 2023-03-14 Intel Corporation Materials and layout design options for DSA on transition regions over active die
US11270935B2 (en) 2019-07-18 2022-03-08 International Business Machines Corporation Metallization layer formation process
US10978343B2 (en) 2019-08-16 2021-04-13 International Business Machines Corporation Interconnect structure having fully aligned vias
US10950459B1 (en) 2019-09-13 2021-03-16 International Business Machines Corporation Back end of line structures with metal lines with alternating patterning and metallization schemes
JP2021048353A (ja) * 2019-09-20 2021-03-25 キオクシア株式会社 半導体記憶装置
US11437274B2 (en) 2019-09-25 2022-09-06 Micromaterials Llc Fully self-aligned via
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
US11239165B2 (en) 2020-03-10 2022-02-01 International Business Machines Corporation Method of forming an interconnect structure with enhanced corner connection
US11211291B2 (en) 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
TWI824387B (zh) * 2022-01-19 2023-12-01 華邦電子股份有限公司 半導體記憶體結構的形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855608B1 (en) * 2003-06-17 2005-02-15 Advanced Micro Devices, Inc. Method of fabricating a planar structure charge trapping memory cell array with rectangular gates and reduced bit line resistance
CN101238570A (zh) * 2005-08-17 2008-08-06 富士通株式会社 半导体器件及其制造方法
CN101533838A (zh) * 2008-03-10 2009-09-16 台湾积体电路制造股份有限公司 与气隙结合的金属-氧化物-金属电容器

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888897A (en) * 1996-10-31 1999-03-30 Intel Corporation Process for forming an integrated structure comprising a self-aligned via/contact and interconnect
TW424302B (en) 1999-10-12 2001-03-01 Vanguard Int Semiconduct Corp Manufacturing method for interconnect structure on the semiconductor substrate
JP3813562B2 (ja) * 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
US7268486B2 (en) * 2002-04-15 2007-09-11 Schott Ag Hermetic encapsulation of organic, electro-optical elements
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
KR100649313B1 (ko) * 2005-12-29 2006-11-24 동부일렉트로닉스 주식회사 반도체 소자의 이중 금속배선 및 그 제조 방법
US7908578B2 (en) * 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
TWI351764B (en) 2007-04-03 2011-11-01 Au Optronics Corp Pixel structure and method for forming the same
TWI343622B (en) 2007-04-10 2011-06-11 Nanya Technology Corp Metal interconnect structure
US8101495B2 (en) * 2008-03-13 2012-01-24 Infineon Technologies Ag MIM capacitors in semiconductor components
US8404600B2 (en) * 2008-06-17 2013-03-26 Micron Technology, Inc. Method for forming fine pitch structures
US8299622B2 (en) * 2008-08-05 2012-10-30 International Business Machines Corporation IC having viabar interconnection and related method
US8021897B2 (en) * 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
US8357584B2 (en) * 2009-11-10 2013-01-22 Globalfoundries Inc. Metal capacitor design for improved reliability and good electrical connection
FR2960700B1 (fr) * 2010-06-01 2012-05-18 Commissariat Energie Atomique Procede de lithographie pour la realisation de reseaux de conducteurs relies par des vias
KR101113765B1 (ko) * 2010-12-31 2012-02-27 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
US8298943B1 (en) * 2011-05-27 2012-10-30 International Business Machines Corporation Self aligning via patterning
US8614144B2 (en) * 2011-06-10 2013-12-24 Kabushiki Kaisha Toshiba Method for fabrication of interconnect structure with improved alignment for semiconductor devices
US9105590B2 (en) * 2011-08-10 2015-08-11 United Microelectronics Corp. Semiconductor structure having material layers which are level with each other and manufacturing method thereof
JP2013183014A (ja) * 2012-03-01 2013-09-12 Toshiba Corp パターン形成方法
CN102709180A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种铝薄膜的制备工艺
EP3796371A3 (en) * 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
JP6537593B2 (ja) * 2014-08-19 2019-07-03 インテル・コーポレーション 電子ビームユニバーサルカッタを用いるクロススキャン近接効果補正
US10409910B2 (en) 2014-12-12 2019-09-10 Omni Ai, Inc. Perceptual associative memory for a neuro-linguistic behavior recognition system
US9553128B1 (en) * 2015-06-30 2017-01-24 International Business Machines Corporation Linear MRAM device with a self-aligned bottom contact
US20170025354A1 (en) * 2015-07-24 2017-01-26 SanDisk Technologies, Inc. Contact Plug Extension for Bit Line Connection
US9530790B1 (en) * 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855608B1 (en) * 2003-06-17 2005-02-15 Advanced Micro Devices, Inc. Method of fabricating a planar structure charge trapping memory cell array with rectangular gates and reduced bit line resistance
CN101238570A (zh) * 2005-08-17 2008-08-06 富士通株式会社 半导体器件及其制造方法
CN101533838A (zh) * 2008-03-10 2009-09-16 台湾积体电路制造股份有限公司 与气隙结合的金属-氧化物-金属电容器

Also Published As

Publication number Publication date
US10991599B2 (en) 2021-04-27
US20190252208A1 (en) 2019-08-15
US20170221810A1 (en) 2017-08-03
CN105518837B (zh) 2019-04-16
TW201528429A (zh) 2015-07-16
KR20160061968A (ko) 2016-06-01
EP3796371A2 (en) 2021-03-24
TWI540677B (zh) 2016-07-01
CN110060972A (zh) 2019-07-26
KR102167352B1 (ko) 2020-10-19
CN105518837A (zh) 2016-04-20
US9666451B2 (en) 2017-05-30
US20160204002A1 (en) 2016-07-14
US10297467B2 (en) 2019-05-21
WO2015047320A1 (en) 2015-04-02
EP3050085A1 (en) 2016-08-03
EP3050085A4 (en) 2017-05-24
EP3796371A3 (en) 2021-10-06
EP3050085B1 (en) 2021-02-24

Similar Documents

Publication Publication Date Title
CN110060972B (zh) 用于后段(beol)互连的自对准过孔及插塞图案化
US10204830B2 (en) Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
US9583389B2 (en) Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US10600678B2 (en) Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (BEOL) interconnects
KR102287787B1 (ko) 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
KR102475024B1 (ko) 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
KR102350503B1 (ko) 화학적 보조 패터닝을 위한 감광성 정렬 층
US10950501B2 (en) Triblock copolymers for self-aligning vias or contacts
US20190318958A1 (en) Photobucket floor colors with selective grafting

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant