TW424302B - Manufacturing method for interconnect structure on the semiconductor substrate - Google Patents

Manufacturing method for interconnect structure on the semiconductor substrate Download PDF

Info

Publication number
TW424302B
TW424302B TW88117552A TW88117552A TW424302B TW 424302 B TW424302 B TW 424302B TW 88117552 A TW88117552 A TW 88117552A TW 88117552 A TW88117552 A TW 88117552A TW 424302 B TW424302 B TW 424302B
Authority
TW
Taiwan
Prior art keywords
layer
sand
nitrided
patent application
angstroms
Prior art date
Application number
TW88117552A
Other languages
Chinese (zh)
Inventor
Shiang-Yuan Jeng
Tz-Shr Yan
Hung-Yi Luo
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW88117552A priority Critical patent/TW424302B/en
Application granted granted Critical
Publication of TW424302B publication Critical patent/TW424302B/en

Links

Abstract

The present invention provides a manufacturing method for interconnect structure to make the via to contact underneath one side of the via plug structure in which the via plug structure can connect the bit lines with the device active area on the semiconductor substrate. The process especially discloses using a simple photolithography pattern, such as strip-like opening, to expose a group of gate structures and a group of spacers between the gate structures; then, these structures can be used to produce the via plugs. The steps are greatly different from the conventional processes that in the conventional process, it needs a more difficult photolithography step to produce a smaller and individual opening in the space between the gate structures. Furthermore, the invention discloses a self-aligned opening which only exposes on side of the via plug structure; therefore, the above interconnect structure can contact the via plug structure exposed only one side for further reducing the difficulties of photolithography technique in the conventional process for creating a non-self-aligned opening on the via plug.

Description

02 ^ 02 ^ 經濟部智慧財產局員工消費合作社印製 五、發明說明(/ ) 發明背景: 發明技術領域: 本發明係有關一種製作半導體元件之方法,尤指一種製 作位於位元線(在上方)和接觸窗插塞(在下方)之間的內 方法。 先前技術;as述: 半導的目持續地縮小先護體離(1C) 上動態隨機存取記憶體(DRAM),或者是靜態隨機存取記 憶體(SRAM)的面積。這樣的目標能否達成,端賴經由特 殊的半導雛術的實施,以觀改纖程難作工具的能力, 並藉此達成縮減元件的特徵長度小於0.25微米的目的。由於 微影曝先工具的進步,短波長得以利用KrF (248奈米)或 是ArF (193奈米)以達成。然而,如果想使得元件的特徵 長度減少到0.1微米乃至於更小的目標之時,就需要特殊的 半導體單元,比如說導電性的內連線結構,才能達成。而欲 製作這些單元在更小的尺寸中,除了在微影技術上必須有所 進步外,也需要相關的製程搭配才能達成。 本發明將敘述一個嶄新的步驟,能引起結構上的革新以 達到次0.25 (sub_quarter)微米特徵長度的目標。此方法不 會增加在微影技術領域上負擔,比如說增加曝光的解析度或 是要求更敏銳的微影對準能力以及臨線影像的控制能力 (critical image control)。本發明的一項特徵,是在聞極結 構周圍以自我對準的方式產生三個接觸窗插塞,利用一個單 一長條狀的接觸窗開口將三個接觸窗插塞合倂在一起,如此 2 本紙張尺度適用中S 0家標準(CNShYl規格(2HJ X 297公.¾ ) J----------- !裝--------訂. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作钍印製 Λ7 Β7 五、發明說明(>) 可以簡化在傳統製程中圖案化(pattern )時所遭^到的困難。 在傳統製程中,個別的接觸窗口是形成在個別的接觸窗插塞 結構中。除此之外,本發明也提到將一位元線結構,在元件 的非主動區之中以自我對準的方式連接到接觸窗插塞結構的 邊牆。如此可以簡化在傳統製程中圖案化的步驟;因爲在傳 統製程中,接觸窗插塞上的開口是製作在元件的主動區中。 過往的技術,例如Mr. Witek等人於美國專利第5393681號, 以及Mr· Woo等人於美國專利第54S1543號中,曾»述製 作謙接觸窗的方法。然而這些過往的技術並沒有提到合倂 腦麵塞的方案,或是將位元線結構的接觸麵塞製作在 元件的非主動區之中。 發明簡要說明: 本發明之主要目的*於利用簡化的圖案化技術,以在半 導體元件中產生超小型內連線結構。 本發明之另一目的是在一二氧化矽層中產生一個合併的接 觸窗圖案。此發明可以同時地在閘極結構之間曝光一連串的 區域,這些區域將用來形成接觸窗插塞結構。如此可減少傳 統圖案化步驟的複雜度。因爲在傳統圖案化的步驟中,閜極 結構之間的個別區域都贿個別的開口。 本發明之又一目的是在一二氧化砂層中,製作一位元線 開口。該開口能自我對準到相鄰的閘極結構以及相鄰的接觸 窗插塞結構,並且允許其後的位元線纖能夠接觸到接觸窗 插塞結構的 〇 3 本紙張尺度適用中囵闷家標準(CNS)Al規恪(21心公S ) ——,———:裝--------訂. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制Λ 0 2, A7 _____ B7 五、發明說明(i ) 本發明在於提出一個方法,利用簡化的微影圖案,以形 成一Μ自我對準的內連線結構’該結構並且接觸到其下方的 接觸窗插塞之一側。在形成一連串有氮化矽頂蓋的多晶矽化 金屬聞極結構(silicon nitride capped, polycide gate structure)之後,在多晶矽化金屬閘極結構之間製作源極/ 汲極區域’並且形成由氮化矽所構成的邊牆間隙壁。如此在 源極/汲極區域之上將遺留下一層薄氮化矽層。沈積第一個 二氧化矽層之後,利用一簡單的長條狀開口,可以使得多晶 矽化金屬閘極結構之間的區域出現一連串的開口,這些開口 依然爲氮化矽所覆蓋。移除這些位於多晶矽化金屬閘極結構 之間的薄氮化砂層之後,沈積一導電層進入這些多晶矽化金 屬閘極結構之間的開口。之後,以化學機械拋光法(CMP)將 導電層磨平,如此即能在多晶矽化金屬閘極結構之間,源極/ 汲極區域的上方形成一接觸窗插塞結構。在第二個二氧化矽 層沈積之後,製作一自我對準到鄰近有氮化矽頂蓋的多晶矽 化閘極結構的位元線開口,並且使多晶矽化金屬閘極結構之 間的接觸窗插塞結構之一側能裸露於外。在第二次沈積的二 氧化政層之上方以及位元線開口之中,沈積一導電層並將其 圖案化,如此即可產生一連接到接觸窗插塞結構之一側的位 圖示之簡要說明: 本發明之目的以及本發明其他之優點,可以搭配所附的 圖示以及發明的詳細說明,而獲得最完割彌朗。 圖1B,2,3B,4,5B,6B,6C是以橫截面的方式顯 --------------裝--------訂· <請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中® Θ家標準(CNS)A 1规格(2〗0 X 1;97公发) 經濟部智-財產局員工消費合作社印製 424302 ' / A, _B7__ 五、發明說明(^ ) 示製程中的關鍵步驟。腿步驟是用以產生一個自我對準, 並且連接到接觸窗插塞結構之一側的內連線結構。 圖ΙΑ,Μ ’ SA,6A貝提以頂視圖的方式顯示製程中 的關鍵步驟。這些步驟是用以產生一個自我對準,並且連接 到接觸窗插塞結構之一側的內連線結構。 圖號之簡要說明: 1半導體基板 2淺溝渠隔離區域 3內部爲二氧化砂之閘極絕緣層 4多晶矽層 5金屬矽化物層 ό氮化矽層 13-15有氮化矽頂蓋的多晶靴閘極結構 11a氮化麵 lib薄氮化麵 11c氮化砂間隙壁 12二氧化砂層 13-15自棚準接觸窗開口 16二氧化砂層 17位元線接觸窗開口 19,20位元線內構 21元件主動區域 22光阻 23接觸麵塞結構 5 I------------- !裝---I----訂, (請先閱讀背面之注意事項再填寫本頁) 本纸張尺度適用中國®家標率(CNS)A丨規恪(21〇 X 297公t ) 0 21 五 經濟部智慧財產局員工消費合作社印製 B7 發明說明(jr ) 發明之詳細說明: 此部分將詳細說明如何將一內連線結構以自我對準的方 式,與其下方的接觸窗插塞結構之一側相接觸的方法。本發 明將利用N型場效電晶體(NFET)來說明。然而必須注意的 是,本發明也能應用在P型場效電晶體(PFET )之上。圖1B 以橫截面的方式,顯示出一個P型半導體基板1,該基板是 由單晶矽所組成的,晶格方向爲<1〇〇>。圖1A則是以頂視圖 的方式,用以顯示淺溝渠隔離區域2( Shallow Trench Isolation, STI)是當作隔離之用並且藉此定義出元件的主動區域21 〇 該淺溝渠隔離區域2是利用傳統的微影技術,加上以Cl2爲 蝕刻劑的非等向性反應離子蝕刻(Reactive Ion Etching, RIE)步驟,於半導體基板1之中產生一f@深度介於2000到 4000埃(Angstroms)的淺溝渠。之後利用氧電獎去灰法 (plasma oxygen ashing)以及謹慎的淫潔淨步驟,將用以定 義淺溝渠位置的光阻洗去。然後再利用低壓化學氣相沈積法 (Low Pressure Chemical Vapor Deposition, LPCVD)或是 電獎強化化學氣相沈積法(Plasma Enhanced Chemical Vapor Deposition,PECVD)將前述的淺溝渠完全以二氧化 矽塡滿。至於淺溝渠外不需要的二氧化矽,則利用化學機械 拋光法(Chemical Mechanical Polishing, CMP)或是以 CHF3 爲_劑的選擇性反應離子_(RIE)步驟將之除去。如此可 以形成如圖1B顯示的被絕緣物塡滿的淺溝渠隔離區域2。 接著,在氧蒸氣環境中,以熱成長的方式形成厚度 介於30到80埃,用來當作閘極絕緣層3的二氧化较層。之 6 n n -«1 - 1 1 I ί - I n m^i ^lOJ n (請先間讀背面之注意事項再填寫本頁) 本纸張尺度適中國國家標準(CNSM1規烙(210 X 297公釐) 4243 Λ7 B7 五、發明說明(t ) 後,一連串的沈積步驟接著進行。首先是利用LPCVD法, 成長一層厚度介於500到1500埃的多跡層4 〇該多㈣層 4可以是本身就摻有雜質的,也就是在沈積的過程中,在充 滿砂甲院(silane)的環境中添加砷(Arsenic)或鱗 (Phosphorous)等雜質。該多晶矽層4也可以是原先無雜 質的,之後再以離子佈植的方式將砷或磷離子植入多晶矽層 裡。在此之後,利用LPCVD法,沈積一層厚度介於500到 1500埃的金屬矽化物層,比如說是鎢(tungsten)的矽化物。 接著再利用LPCVD法或是PECVD法,沈積一層厚度介於 1500到3000埃的氮化砂層。然後採用傳統的微影技術搭配 反讎子蝕刻(RIE)步驟,以CF4爲氮化砂層ό的触刻劑, 以Cl2爲金屬砂化物層5以及多晶矽層4的鈾亥臍[f,產生如 圖1B橫截面圖中以及圖1A頂視圖中顯示的,有氮化砂頂蓋 以及多晶砂化金屬(polycide)(金屬砂化物一多晶砂)的 閘極結構7-10 〇之後利用氧電糜去灰法以及謹慎的溼潔淨歩 驟,將用以定義多晶矽化金屬閘極結構的光阻洗去,並且一 倂除去未受多晶砂化金屬閘極結構遮蓋的阐極絕緣層。 經濟部智慧財產局員工消費合作钍印制衣 -------------- 1^衣--------訂· (諳先閱讀背面之注意事項再填寫本頁) 接著,微量摻雜的N型源極/汲極區域(未在圖片中顯 示),利用離子佈植的方式,以能量介於20到40KeV,摻 雜劑量介於1〇17到1〇19 atoms/cm2的程度,植入未受多晶矽 化金屬閘極結構遮掩的半導體基板區域。在圖1B中有一層 氮化赚Ua,是利用LPCVD或是PECVD法沈積,其厚 度介於300到600埃之間。 接著利用非等向性反應離子蝕刻(RIE)步驟,以CF,_ 7 本ί氏張尺度適闬中國κϋ準(CNS)Al規格C210 X 297公釐) 4243P at _ B? 五、發明說明(γ ) /CHF3作爲薄氮化碰lla的麵劑,整片地礴氮化矽 層11a以產生厚度減爲100到300埃的薄氮化砂層lib。該 薄氮化砂層lib的區^^氮化砂層6的上方 '半導體基板 1的上方以及多晶矽化金屬閘極結構之間等區域。同時在多 晶矽化金屬閘極結構的側邊則形成氮化砂間隙壁11"這些 結構都圖示說明於圖2之中。接著,利用離子佈植的方式, 將高N型源極/汲極區域(未在圖片中顯示)以能量 介於50到150KeV,摻_量介於1〇19到1021 atoms/cm2的02 ^ 02 ^ Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the Invention (/) Background of the Invention: Field of the Invention: The present invention relates to a method for manufacturing semiconductor components, especially a method for manufacturing bit lines (above ) And contact window plug (underneath). Prior art; as described: The purpose of the semiconductor is to continuously reduce the area of the dynamic random access memory (DRAM) or static random access memory (SRAM) on the guard body (1C). Whether such a goal can be achieved depends on the implementation of special semi-conductive brooding techniques to observe the ability to modify the fiber which is difficult to use as a tool, and thereby achieve the goal of reducing the characteristic length of the component to less than 0.25 microns. Thanks to advances in lithography tools, short wavelengths can be achieved using KrF (248 nm) or ArF (193 nm). However, if you want to reduce the feature length of the device to 0.1 micron or even smaller, you need a special semiconductor unit, such as a conductive interconnect structure, to achieve it. In order to make these units in a smaller size, in addition to the lithographic technology, there must be some progress, and related process matching is also required to achieve it. The present invention will describe a new step that can lead to structural innovations to achieve the sub-quarter (micron) feature length target. This method will not increase the burden on lithography technology, such as increasing the resolution of exposure or requiring more sensitive lithography alignment capabilities and critical image control capabilities. A feature of the present invention is that three contact window plugs are generated in a self-aligned manner around the scent pole structure, and the three contact window plugs are combined together by using a single long contact window opening. 2 This paper size is suitable for S 0 home standards (CNShYl specifications (2HJ X 297 male. ¾) J -----------! Installed -------- order. (Please read the back first Please pay attention to this page and fill in this page) Consumption cooperation with employees of the Intellectual Property Bureau of the Ministry of Economic Affairs 77 Β7 5. The invention description (>) can simplify the difficulties encountered in patterning in the traditional process. In the manufacturing process, individual contact windows are formed in individual contact window plug structures. In addition, the present invention also refers to a bit line structure in a self-aligned manner in the inactive area of the component The side wall connected to the contact window plug structure. This simplifies the patterning step in the traditional process; because in the traditional process, the opening on the contact window plug is made in the active area of the component. Past technology, such as Mr. Witek et al., US Patent No. 5,396,681, and Mr. Woo In U.S. Patent No. 54S1543, et al. Once described the method of making a contact window. However, these past technologies do not mention a solution for combining brain and face plugs, or making contact line plugs with bit line structures in In the non-active area of the device. Brief description of the invention: The main purpose of the present invention is to use a simplified patterning technique to produce ultra-small interconnect structures in semiconductor devices. Another object of the present invention is A merged contact window pattern is generated in the silicon layer. This invention can simultaneously expose a series of areas between the gate structures, which will be used to form the contact window plug structure. This can reduce the complexity of the traditional patterning step. Because in the traditional patterning step, individual regions between the pole structures are individually opened. Another object of the present invention is to make a one-bit wire opening in a sand dioxide layer. The opening can self-align. To the adjacent gate structure and the adjacent contact window plug structure, and allow subsequent bit line fibers to contact the contact window plug structure. Applicable Chinese Standard (CNS) Al Regulations (21 Hearts S) ——, ————: Install -------- Order. (Please read the precautions on the back before filling this page) Economy Printed by the Consumer Cooperative of the Ministry of Intellectual Property Bureau Λ 0 2, A7 _____ B7 V. Description of the Invention (i) The present invention is to propose a method using a simplified lithographic pattern to form a self-aligned interconnect structure. This structure is in contact with one side of the contact plug underneath it. After forming a series of polysilicon capped (polycide gate structure) with a silicon nitride cap, a polysilicon gate structure is formed. A source / drain region is formed therebetween and a sidewall spacer made of silicon nitride is formed. This leaves a thin layer of silicon nitride on top of the source / drain region. After depositing the first silicon dioxide layer, a simple strip-shaped opening can be used to make a series of openings in the area between the polysilicon metal gate structures. These openings are still covered by silicon nitride. After removing the thin nitrided sand layers between the polysilicon metal gate structures, a conductive layer is deposited into the openings between the polysilicon metal gate structures. Then, the conductive layer is ground by chemical mechanical polishing (CMP), so that a contact window plug structure can be formed between the polysilicon metal gate structures and above the source / drain regions. After the second silicon dioxide layer is deposited, a bit line opening is formed that is self-aligned to the polysilicon gate structure adjacent to the silicon nitride cap, and the contact window between the polysilicon gate structure is inserted. One side of the plug structure can be exposed. A conductive layer is deposited and patterned over the second-deposited dioxide layer and in the bit line openings, so that a bit map connected to one side of the contact plug structure can be generated. Brief description: The purpose of the present invention and other advantages of the present invention can be combined with the accompanying drawings and detailed description of the invention to obtain the most complete. Figures 1B, 2, 3B, 4, 5B, 6B, 6C are displayed in a cross-section manner. ------------------------ Order Read the notes on the reverse side and fill out this page.) This paper size is in use ® Θ Family Standard (CNS) A 1 Specification (2〗 0 X 1; 97 issued) Printed by the Intellectual Property Office of the Ministry of Economic Affairs and Consumer Cooperatives 424302 '/ A, _B7__ 5. Description of the Invention (^) shows the key steps in the manufacturing process. The leg step is used to create a self-aligned interconnect structure connected to one side of the contact window plug structure. Figure IA, M'SA, 6A Betty shows the key steps in the process in a top view. These steps are used to create an interconnect structure that is self-aligned and connected to one side of the contact plug structure. Brief description of drawing number: 1 semiconductor substrate 2 shallow trench isolation area 3 inside the gate oxide layer of sand dioxide 4 polycrystalline silicon layer 5 metal silicide layer silicon nitride layer 13-15 polycrystalline silicon with silicon nitride cap Boot gate structure 11a Nitrided surface lib Thin nitrided surface 11c Nitrided sand gap wall 12 Sandy oxide layer 13-15 Self-shed quasi-contact window opening 16 Sandy oxide layer 17-bit line contact window opening 19, 20-bit line Structure 21 element active area 22 photoresistor 23 contact surface plug structure 5 I -------------! Equipment --- I ---- order, (Please read the precautions on the back before filling (This page) This paper standard is applicable to China® House Standard Rate (CNS) A 丨 Regulations (21〇X 297g t) 0 21 Five B5 Printed Description of Invention (jr) Details of Invention Explanation: This section will explain in detail how to connect an interconnect structure to one side of the contact window plug structure below it in a self-aligning manner. The invention will be described using an N-type field effect transistor (NFET). It must be noted, however, that the present invention can also be applied to P-type field effect transistors (PFETs). FIG. 1B shows a P-type semiconductor substrate 1 in a cross-sectional manner, which is composed of single crystal silicon with a lattice direction of < 100 >. FIG. 1A is a top view to show that Shallow Trench Isolation (STI) 2 is used for isolation and to define the active area 21 of the component. The shallow trench isolation area 2 is used The traditional lithography technique, coupled with an anisotropic reactive ion etching (RIE) step using Cl2 as an etchant, generates a f @ depth between 2000 and 4000 Angstroms (Angstroms) in the semiconductor substrate 1. Shallow trench. The photoresist used to define the location of the shallow trench was then washed away using the plasma oxygen ashing and careful cleansing steps. Then, low pressure chemical vapor deposition (LPCVD) or Plasma Enhanced Chemical Vapor Deposition (PECVD) was used to fill the aforementioned shallow trenches completely with silicon dioxide. As for the silicon dioxide that is not needed outside the shallow trench, it is removed by chemical mechanical polishing (CMP) or a selective reactive ion (RIE) step using CHF3 as an agent. This can form a shallow trench isolation region 2 filled with insulators as shown in FIG. 1B. Next, in an oxygen vapor environment, a thickness of 30 to 80 angstroms is formed by thermal growth, and is used as a second dioxide layer of the gate insulating layer 3. No. 6 nn-«1-1 1 I ί-I nm ^ i ^ lOJ n (Please read the precautions on the back before filling in this page) The paper size is in accordance with Chinese national standards (CNSM1 regulations (210 X 297) (Centi) 4243 Λ7 B7 5. After the description of the invention (t), a series of deposition steps are performed. The first is to use LPCVD to grow a multi-trace layer 4 with a thickness of 500 to 1500 angstroms. The multi-layer 4 can be itself. It is doped with impurities, that is, in the process of deposition, impurities such as arsenic or phosphorous are added to the environment filled with silane. The polycrystalline silicon layer 4 may also be originally free of impurities. Afterwards, arsenic or phosphorus ions are implanted into the polycrystalline silicon layer by ion implantation. After that, a metal silicide layer, such as tungsten (tungsten), is deposited with a thickness of 500 to 1500 angstroms by LPCVD. Silicide. Then use LPCVD or PECVD to deposit a nitrided sand layer with a thickness of 1500 to 3000 angstroms. Then use conventional lithography technology with reverse etch (RIE) step and use CF4 as the nitrided sand layer. XI contact etchant, Cl2 as metal sand 5 and polycrystalline silicon layer 4 of uranium [f, resulting in the cross-sectional view of FIG. 1B and the top view of FIG. 1A, with a nitrided sand cap and polycide (metal sand Crystal sand) gate structure 7-10 〇 After using oxygen electrolysis ashing method and careful wet cleaning steps, the photoresist used to define the polycrystalline silicide metal gate structure is washed away, and removed Crystal-sanded metal gate structure covering the insulating layer of the electrode. The Intellectual Property Bureau of the Ministry of Economic Affairs, the consumer cooperation, printed clothes ---------------- 1 ^ clothing --------- -Order (谙 Please read the notes on the back before filling in this page) Then, the micro-doped N-type source / drain region (not shown in the picture), using ion implantation, with an energy of 20 To 40KeV, the doping dose ranges from 1017 to 1019 atoms / cm2, and the semiconductor substrate region is not covered by the polysilicon metal gate structure. In FIG. 1B, there is a layer of nitride to earn Ua, which is used LPCVD or PECVD deposition, the thickness is between 300 and 600 angstroms. Then use an anisotropic reactive ion etching (RIE) step to CF, _ 7 This scale is suitable for Chinese κϋquasi (CNS) Al specifications C210 X 297 mm) 4243P at _ B? 5. Description of the invention (γ) / CHF3 is used as a thinning agent for thin nitride bumps. The ground is a silicon nitride layer 11a to produce a thin nitrided sand layer lib having a thickness reduced to 100 to 300 angstroms. The region of the thin nitrided sand layer 11b is located above the nitrided sand layer 6 above the semiconductor substrate 1 and between the polycrystalline silicon silicide gate structure. At the same time, nitrided silicon spacers 11 are formed on the sides of the polysilicon metal gate structure. These structures are illustrated in FIG. 2. Next, using the ion implantation method, a high N-type source / drain region (not shown in the picture) with an energy of 50 to 150 KeV and a doping amount of 1019 to 1021 atoms / cm2

程度,植入未受多晶矽化金屬閘極結構遮掩,或是未受氮化 矽間隙壁11c遡^半導體基板區域1。厚度介於5000到 10000埃的二氧化矽層12接著利用TEOS (tetraethy丨orthosilicate)爲原料,以 LPCVD 或是 PECVD 法沈積。爲了使二氧化砂層12有一^平坦的表面,所以利用 CMP步驟加以磨平。最後結果如圖2所示。 圖3A (頂視圖)和圖3B (橫截面)顯示出曝光一群區 域之後的結果。這些區域將用以容納其後製作的接觸窗插塞 結構,該結構可以自我對準到有氮化矽頂蓋的多晶矽化金屬 閘極結構。 經濟部智慧財產局員工消費合作社印*'1衣 I.------------ i 裝-------- 訂· (請先閱讀背面之注意事項再填寫本頁) 上述結果,首先是利用光阻層22來定義元件主動區域 21。該光阻層有一長條狀的開口,大小和位置與先前的光阻 層完全相同。該光阻層可作爲製程上的光罩,利用以C4FS爲 蝕刻劑的選擇性反應離子触刻(RIE)步驟,將曝光區域中的二 氧化政層12洗去。讎J的選酵,大約是二氧化靴氮化政 爲10比卜如此允許此反應離子蝕刻(RIE)步驟在飽刻到薄 8 本紙張尺度適用中國國家標準(CNS)A.t現格(210 X 297公釐) 02 A7 ________W_ 五、發明說明(F ) 氮化砂層1 lb的時候會減慢鈾刻速率。接著以CH3F/02爲餓 刻劑將薄氮化砂層lib移去。如雌產生如圖3A (頂視圖) 和圖3B (橫截面圖)中顯示的自我對準接觸窗(Self-Aligned Contact, SAC)開口 13,14 和 15。此處利用 CH3F/02爲鈾 刻劑的触刻選擇率,大約是氮化砂比二氧化砂爲5比1。在 光阻層22之中製作一個長條狀開口的目的,是爲了避免遭遇 到在傳統製作個別自我對準接觸窗(SAC)開口的時候,會遇 到的對準以及臨限影像(critical image)的問題。因爲在傳 統製程中,縮小多晶矽化金屬物寬度的趨勢,會使得在製作 自我對準接觸窗(SAC)開口的時候,即使是利用較窄的光阻 樣式,也一樣困難。在傳統製程中,自我對準接觸窗(SAC) 的開口必須疊在多晶矽化金屬閘極結構之上。而本發明中, 利用光阻上長條狀的開口,可以將一組自我對準接觸窗(SAC) 開口都合倂在一起,如此可以減低在製作傳統個別自我對準 接觸窗(SAC)開口時,在微影技術上的困難度。 經濟部智慧財產局員I消費合作社印" (請先閱讀背面之注意事項再填寫本頁) 在利用氧讎去灰法以及謹慎的溼潔淨步驟將光醒22 洗去之後,在自我對準接觸窗(SAC)開口 13-15之中成長接 觸窗插塞結構24,該結構是自我對準到有氮化砂頂蓋的多晶 矽化金屬閘極結構。接觸窗插塞24的成分可以是多晶矽化金 屬或是鎢。接著,以LPCVD法沈積厚度介於3000到6000 埃的接觸窗插塞,將自我對準接觸窗(SAQ開口 13-15塡滿。 如果是採用多晶矽,則摻雜的步驟是在沈積的過程中,將砷 或磷加到矽甲烷的環境中以達成,然後利用CMP法將不需 要的多晶矽(或移除,並且同時移除二氧化砍層12 , _ 9 本紙張尺度適用中@國家揲準(CJSS)八1蜆柊(21〇J<297公釐) 4243 02 A7 ___B7__ 五、發明說明(5) 直到氮化矽出現爲ih 〇如此在自我對準接觸窗(SAC)開口 13-15之中將形成導電性的插塞結構24 ,如圖4所示。 厚度介於1〇〇〇到2000埃的二氧化砂層16接著利用 LPCVD或是PECVD法沈積。這裡使用的原料依然是 TEOS。之後鋪上一光阻層以作爲光罩,藉此利用以CHF3 爲蝕刻劑的反應離子触刻(RIE)法,在二氧化砂層16之中形 成位元線接觸窗開口 17 〇當位元g觸窗開口到達底部,也 就是氮化砂層lib出現的時候,触刻的步驟將會停止。開口 Π可以獅倒底部,也可以淺淺地蝕瓣瞭透二氧化砂層12 約1500到3500埃之處;其触刻的深度以接觸到接觸窗插塞 結構24的側顧原則。雌以C4F8/CO爲讎J劑的蝕刻率, 不論是二氧化矽比氮化矽,或是二氧化矽比多晶矽,都約爲 1〇比1。這些步驟將造成接觸窗插塞_ 24之一側會裸露於 外,此結果都顯示在圖5A頂視圖和圖5B橫截面圖之中。爲 了 51«»觸麵塞結構24之一側能夠裸驗外,撤計上特 意將位元線接觸窗開口 17雛地E疊在接觸窗插塞結構24 之上。如此可使得接觸麵塞纖24的部分上表面能在飯 線接觸窗開口 17之中裸露出來。圖5A顯示位元線接觸窗開 經濟部智慧財產局員工消費合作社印製 (請先間讀背面之注意事項再填寫本頁) 口 17是自我對準到有氮化政頂蓋的多晶矽化金屬閘極結構 8、9 ° 在利用氧電藥去灰法以及謹慎的溼潔淨步驟,將用以定 義位元線接觸窗開口 17的光阻洗去之後,可以形成位元線內 連線結構19'20。位元線接觸窗內連線結構可以是多晶砂化 金屬結構,包含一^下方的N型(內部以摻有雜質)多晶 ______ 10 本紙張尺度適用中國國家標準(CNS)Al规恪(210x297公茇) 4243 D2 五、發明說明() 經濟部智慧財產局員工消費合作社印製 (請先閉讀背面之注意事項再填寫本頁) 矽層,以及一位於上方的矽化鎢層。位元線接觸窗內連線結 構也可能是由鶴所組成。該鎢層位於一由鈦(Titanium)-氮 化欽所組成的黏著性阻障層(adhesive-barrier layer)之上。 接著利用LPCVD法沈積厚度介於1500到2500埃的多晶矽 化金屬,以完整塡滿位元線接觸窗開口 17。至於由鎢組成, 厚度介於1〇〇〇到2000埃的位元線內連線層,則是利用 LPCVD法或是RF·濺鍍法,再次完整地將位元線接觸窗開 口 17塡滿以獲得。接著,利用傳統的微影技術,搭配以Cl2 或是SF6/C12 (讎醜所用)爲测劑的非等向性且選離 的反應離子触刻(RIE琺,將位元線內連線結構19及20製作 出來。這些步驟的結果都顯示在圖6A (頂視圖),圖6B和 圖6C (橫截面圖)之中。圖όΒ並顯示出在位元線內連線結 構20和接觸窗插塞結構24之間,我們所欲製作的接觸窗主 要是出現機觸結構24的Hi。這種雌元件非挪 區上方,且與接觸窗插塞結構之一側相接觸的位元線內_ 結構*可以減少元件主動區的面積,對的 > 直接在接觸窗 插塞結構上製作一位元線接觸窗開口的設計,將需要較大的 兀件主動區面積以容納可會旨發生的誤對準(mis-alignment) 問題。圖6C以橫截面的方式顯示一個既沒有位元線接觸窗 開口,又沒有位元線內連線結構的元件主動區域。至於用以 定義位元線內連線結構的光阻,則再次利用氧電發成灰法以 及謹廣的翻酷除。 當其他的發明特別顯示出並提到有關於本發明的內容 時’對於那些熟習於此技術的人們應該瞭解,各式各樣設計 11 本紙張尺度適用申S國家標準(CNS)A.l規格(LM0 X的7公釐) Λ7 424302 _B7_ 五、發明說明(i/ ) 上所造成的改變將不脫離本發明的精神與申請專利範圍。 (請先間讀背面之注意事項再填寫本頁)To the extent that the implantation is not obscured by the polysilicon gate structure, or is not affected by the silicon nitride spacer 11c, the semiconductor substrate region 1. A silicon dioxide layer 12 having a thickness between 5000 and 10,000 angstroms is then deposited using TEOS (tetraethy orthosilicate) as a raw material by LPCVD or PECVD. In order to make the sand dioxide layer 12 have a flat surface, it is smoothed by a CMP step. The final result is shown in Figure 2. Figures 3A (top view) and 3B (cross-section) show the results after exposing a group of areas. These areas will be used to accommodate contact window plug structures made later, which can self-align to a polysilicon metal gate structure with a silicon nitride cap. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs * '1 clothing I .------------ i equipment -------- order · (Please read the precautions on the back before filling in this Page) The above results are first defined by the photoresist layer 22 to define the active region 21 of the device. The photoresist layer has a strip-shaped opening with the same size and position as the previous photoresist layer. The photoresist layer can be used as a photomask in the process. The selective reactive ion etching (RIE) step using C4FS as an etchant is used to wash away the dioxide layer 12 in the exposed area. The selection of 酵 J is about 10 oz. Of nitrogen dioxide. This allows this reactive ion etching (RIE) step to be etched to a thin thickness of 8 paper. This paper applies the Chinese National Standard (CNS). 297 mm) 02 A7 ________W_ V. Description of the Invention (F) When the nitrided sand layer is 1 lb, the uranium etching rate will be slowed down. Next, remove the thin nitrided sand layer lib with CH3F / 02 as the etchant. The female produced the Self-Aligned Contact (SAC) openings 13, 14 and 15 as shown in Figures 3A (top view) and Figure 3B (cross-sectional view). Here, the selectivity of etching using CH3F / 02 as a uranium etchant is about 5 to 1 for nitrided sand and dioxide for sand. The purpose of making a long opening in the photoresist layer 22 is to avoid encountering the alignment and critical image that would be encountered when traditionally making individual self-aligned contact window (SAC) openings. )The problem. Because in the traditional process, the tendency to reduce the width of polysilicon silicides will make it difficult to make a self-aligned contact window (SAC) opening even with a narrow photoresist pattern. In traditional processes, the openings of the self-aligned contact window (SAC) must be stacked on top of the polysilicon gate structure. However, in the present invention, a set of self-aligned contact window (SAC) openings can be combined together by using the strip-shaped openings on the photoresist, so that the traditional individual self-aligned contact window (SAC) openings can be reduced when making a traditional , Difficulty in lithography technology. Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, I Consumer Cooperatives (Please read the precautions on the back before filling this page) After using the oxygen deashing method and careful wet cleaning steps to wash the light 22, wash it out and make self-alignment contact. In the window (SAC) openings 13-15, a contact window plug structure 24 is grown, which is a polycrystalline silicon silicide gate structure self-aligned to a nitrided sand top cover. The composition of the contact plug 24 may be polycrystalline silicon silicide or tungsten. Next, LPCVD is used to deposit contact window plugs with a thickness of 3000 to 6000 Angstroms, and the self-aligned contact windows (SAQ openings 13-15 are full. If polycrystalline silicon is used, the doping step is in the deposition process). , Add arsenic or phosphorus to the environment of silicon methane to achieve, and then use the CMP method to remove unwanted polycrystalline silicon (or remove, and at the same time remove the oxide cut layer 12, _ 9 This paper is applicable in China @ 国 揲 准(CJSS) 8 1 蚬 柊 (21〇J < 297 mm) 4243 02 A7 ___B7__ V. Description of the invention (5) Until the silicon nitride appears as ih 〇 So in the self-aligned contact window (SAC) opening 13-15 A conductive plug structure 24 is formed in the middle as shown in Fig. 4. A sand dioxide layer 16 having a thickness between 1000 and 2000 Angstroms is then deposited by LPCVD or PECVD. The raw material used here is still TEOS. A photoresist layer is placed as a photomask to form a bit line contact window opening 17 in the sand dioxide layer 16 using a reactive ion contact etching (RIE) method using CHF3 as an etchant. When the bit g contacts The window opening reaches the bottom, which is when the nitrided sand layer lib appears. The steps will stop. The opening Π may be down to the bottom, or it may be shallowly etched through the sand dioxide layer 12 at about 1500 to 3500 angstroms; the depth of its engraving to contact the side window contact plug structure 24 Principle. The etch rate of females using C4F8 / CO as the agent is about 10 to 1 whether it is silicon dioxide to silicon nitride or silicon dioxide to polycrystalline silicon. These steps will cause contact window plugs_ One side of 24 will be exposed. The results are shown in the top view of Figure 5A and the cross-sectional view of Figure 5B. In order to allow one side of 51 «» contact plug structure 24 to be exposed, the meter is deliberately removed. The line contact window opening 17 is superposed on the contact window plug structure 24. In this way, a part of the upper surface of the contact surface plug fiber 24 can be exposed in the rice line contact window opening 17. Figure 5A shows the bit line Contact window is printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs (please read the precautions on the back before filling this page). Port 17 is a polycrystalline silicon metal gate structure with self-alignment to the nitrided top cover. ° In the use of oxygen ash removal and careful wet cleaning steps, After the photoresist defining the bit line contact window opening 17 is washed away, a bit line interconnect structure 19'20 can be formed. The bit line contact window can be a polycrystalline sanded metal structure, including the following N-type (with impurities doped inside) polycrystalline ______ 10 This paper size applies the Chinese National Standard (CNS) Al regulations (210x297 gong) 4243 D2 V. Description of the invention () Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs (Please read the precautions on the back before filling out this page) silicon layer, and a tungsten silicide layer on top. The connection structure of the bit line contact window may also be composed of cranes. The tungsten layer is located on an adhesive-barrier layer composed of titanium-nitride. Polycrystalline silicide metal with a thickness of 1500 to 2500 Angstroms is then deposited by LPCVD to completely fill the bit line contact window openings 17. As for the bit line interconnect layer composed of tungsten and having a thickness between 1000 and 2000 Angstroms, the bit line contact window opening 17 is completely filled again by using the LPCVD method or the RF sputtering method. To obtain. Next, using conventional lithography technology, combined with anisotropic and selective reactive ion engraving (RIE enamel) using Cl2 or SF6 / C12 (used by ugly) as the measuring agent, the bit line interconnect structure 19 and 20 were produced. The results of these steps are shown in Figure 6A (top view), Figure 6B and Figure 6C (cross-sectional view). Figure 6 also shows the wiring structure 20 and the contact window in the bit line. Between the plug structures 24, the contact window that we want to make is mainly Hi that appears with the mechanical contact structure 24. This female component is above the non-moving area and is in the bit line that is in contact with one side of the contact window plug structure. _ Structure * can reduce the area of the active area of the component, right > The design of making a one-bit line contact window directly on the contact window plug structure will require a larger active area of the element to accommodate the event The problem of mis-alignment. Figure 6C shows a cross-sectional view of an active area of a component that has neither a bit line contact window opening nor a bit line interconnect structure. As for defining a bit line The photoresist of the interconnect structure is oxidized to ash again When other inventions are particularly shown and mentioned about the content of the present invention, 'for those who are familiar with this technology, you should know that there are various designs. 11 This paper standard is applicable to S countries Standard (CNS) Al specification (7mm of LM0 X) Λ7 424302 _B7_ V. Changes in the description of the invention (i /) will not depart from the spirit of the invention and the scope of patent application. (Please read the note on the back first (Fill in this page again)

1 PI In —^1-"--0'H I .^1 n .^1 I 經濟部智慧財產局員工消費合作社印製 2 11 本紙張尺度適用尹國园家標準(CNS)A丨規格(210 X 297公a )1 PI In — ^ 1- "-0'HI. ^ 1 n. ^ 1 I Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 2 11 This paper size applies to Yin Guoyuan Home Standard (CNS) A 丨 specifications (210 X 297 malea)

Claims (1)

經濟部智慧財產局員工消費合作社印製 C8 D8 六、申請專利範圍 1· 一種在半導體基板上製作內連線結構的方法,其包含下列 步驟: 在一閘極絕緣層之上提供由氮化矽所包圍的閘極結 構,該由氮化矽所包圍的閘極結構的第一部分是位於該半 導體基板上的元件主動區域之中,該由氮化砂所包圍的閘 雛獅第二部分則纖隔離區域社,碰源極 /汲極區賴;該元件挪區域之上,該元件主動區 域並未受該由氮化矽所包圍的閘極結構的第一部分所遮 蓋: 在該由氮化敢所包圍的閘極結構之間產生一薄氮化砂 底 僧, 在該半導體基板中,於第一層絕緣層、薄氮化砂層以 及前述之元件主動區域中,形成第一個開口,以顯露出一 群該由氮化砂所包圍的閘極結構的第一^分,並顯露出位 於該氮化砍所包圍的閘極結構的第一部分之間的源極/汲 極區域; 在該源極/汲極區域之上形成接觸窗插塞結構,以顯 露出前述之第一群由氮化矽所包圍的閘極結構,且該接觸 窗插塞結構是自我對準到該第一群由氮化敬所包圍的閘極 結構: 在第二層絕緣層以及該第一層絕緣層之中產生第二個 開口,以顯露一^份薄氮化砂層,並顯露出位於重疊在絕 緣隔離區域之上的薄二氧化矽層區域,而且該第二個開口 13 (請先閱讀背面之注意事項再填寫本頁) -裝-------訂---------線 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) A8 B8 C8 D8 4243 0 六、申請專利範圍 是自我對準到且位於前述之氮化砂所包圍的閘極結構的第 二部分之間;以及, 在該第二層絕緣層之上表面形成前述之內連線結構, 該內連線結構會塡滿前述之第二個開口,並且連接到前述 之接觸窗攤纖之一側。 2. 如申請專利範圍第1項所述之方法,其中該氮化砂所包圍 的閘極結構是多晶矽化金屬結構,組成成分包括一位於上 方,厚度500到1500埃的矽化鶴層,以及一fi®下方, 厚度500到1500埃的多晶砂層,並且包括一利用低壓化 學氣相沈積法(LPCVD)或是電漿強化化學氣相沈積法 (PECVD)產生,厚度介於1500到3000埃的蓋狀氮化砂層, 以及厚度介於50到800埃的氮化政間隙壁。 3. 如申請專利範圍第1項所述之方法,其中該薄氮化砂層是 利用低壓化學氣相沈積法(LPCVD咸是強化化學氣相 ^^^(PECVD)^—層厚度介於1500到3000埃的氮化 砂層,接著利用以CF4/CHF3爲蝕刻劑的非等向性反應離 法進行蝕刻,使得厚度減少到100到300埃 之間。 4. 如申請專利範圍第1項所述之方法,其中該第一絕緣層是 二氧化矽層,是利用低壓化學麵沈積法(LPCVD)驢電 漿強化化學氣相沈積法(PECVD)製作,厚度則介於5000 到10000埃之間。 5. 如申請專利範圍第1項所述之方法’其中該第一個開口, 位於前述之第一層絕緣層中,是利用以C4F8爲_厕的 14 _ 本紙張尺度適用中國國家標準(CNS)A4規恪(210 X 297公釐) -----;--------^--------訂---------味/ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 A8 B8 424M,___g_ 六、申請專利範圍 非等向性反應離子蝕刻(RIE)法製作,其二氧化矽對氮化 矽的飽刻選擇率約爲10比1,該第一個開口在前述之薄氮 化矽層形成之後才製作,而前述之薄氮化隙層是利用以 CH3F/02爲蝕刻劑的非等向性反應離子蝕刻(RIE)法製 作,其氮化砂對二氧化砂的蝕刻選擇率約爲5比1 〇 6. 如申請專利範圍第1項所述之方法,其中該接觸»«結 構包括厚度介於3000到6000埃之間,以低壓化學氣相沈 積法(LPCVD)製作的多晶砂層,該多晶砂層在^«的過程 中即在砂甲院環境中添加砷或磷雜質使其摻有雜質。 7. 如申請專利範圍第1項所述之方法,其中該接觸_塞結 構包括厚度介於3000到6000埃之間,以低壓化學氣相沈 積法(LPCVD)製作的鎢層。 8. 如申請專利範圍第1項所述之方法,其中該第二層絕緣層 是二氧化砂,是利用低壓化學氣相沈積法(LPCVD)或是電 漿強化化學氣相沈積法(PECVD)製作,厚度Μ介於1〇〇〇 到2000埃之間。 9·如申請專利範圍第1項所述之方法,其中該第二個開口, 位於該第二層絕緣層以及該第一層絕緣層中,是利用以 CHF3爲蝕刻劑的非等向性反應離子蝕刻(RIE)法製作,如 果以C4F8/CO爲綱劑,其二氧化砂醜化砂或是二氧化 矽對多晶矽的_選擇率約爲10比1。 10.如申請專利範圍第1項所述之方法,其中該內連線結構是 由多晶矽化金屬層所形成,包含一位於上方的矽化鎢層, 以及位於下方的內部已的多晶矽層。 15 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----:—.—-t--------訂---------味 (請先閱讀背面之注咅?事項再填寫本頁) OQ 8 8 99 ^BCD 42i302^ 六、申請專利範圍 ιι·如申請專利範圍第1項所述之方法,其中該內連線結構是 以鎢爲基礎所構成,其組成成分包含一鎢層,其下方有一 由鈦-氮化鈦所組成的複合層,該層是用作黏著性阻障層 之用。 12, —種在半導體基板上製作位元線內連線結構的方法,該位 元線內連線結構與位於位元線接觸窗孔洞中的位元線接觸 窗瞧結構之一側有所娜,此方法包含步驟: 在前述半導體基板中以及前述之充滿絕緣物的淺溝渠 區域中,形成有氮化妙頂蓋的多晶矽化金屬閘極結構; 嫌一氮化砂層; 利用非等向性反應離子飽刻(RIE)法,移去前述一部 份介於該有氮化政頂蓋的多晶矽化金屬閘極結構之間的氮 化砂層,以獲取一^氮化砂層,該氮化砂層遺留在該有氮 化政頂蓋的多晶矽化金屬閘極結構之間的區域,良P是在該 有氮化砂頂蓋的多晶砂化金屬閘極結構之間留下未受_ 的氮化敬層; 在該元件主動區域中,未被前述之有氮化砂頂蓋的多 晶矽化金屬閘赌構遮蓋的區域裡,形成源極/汲極區域; 沈讎一層二氧化砂層; 在該第一層二氧化砂層以及該氮化矽層中產生一長條 狀接觸窗開口,以在該半導體基板中顯露出前述之元件的 主動區域,這當中包括顯露出一群有氮化砂頂蓋的多晶矽 化金屬閘極結構,以及介於該有氮化砂頂蓋的多晶矽化金 屬閘極結構之間的源極/汲極區域; 16 本紙張尺度過用中0國家標準(CNS)A4規格(210 X 297公釐) -----^----Γ---t--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印别机 經濟部智慧財產局員工消費合作社印^^ 424302 C8 六、申請專利範圍 一多晶砂層; 將該多晶砂層自該第一層二氧化砂層的表面,以及該 有氮化砂頂蓋的多晶敢化金屬閘極結構之上表面移除,以 產生位於且自我對準於該有氮化砂頂蓋的多晶矽化金屬閘 極結構的接觸窗插塞結構,該多晶矽化金屬閘極結構顯露 於該長條狀接觸窗開口之中,且該接觸窗插塞位於該源極 /汲極區域之上; 二層二氧化砂層; 在該第二層二氧化砂層,以及該第一層二氧化砂層之 中,將前述之位元線接觸窗孔洞打開,以顯露出接觸窗插 塞結構之一側,用以形成前述之位元_觸窗插塞結構, 並且顯露出一部份前述之薄氮化麵,該薄氮化砂層的一 部份是位於被赚物麵的淺溝渠區域之上,而該位元線 接觸窗孔洞乃是自我對準且位於兩個前述之有氮化砂頂蓋 的閘極結構之間; 漏一多晶矽脸屬層’以完全塡滿前述之瓶線接 觸窗孔洞;以及, 對該多晶矽化金屬層進行圖案化,以產生前述之位元 線內連線結構,以與位於該位元線接觸窗孔洞中的位元線 接觸鋼塞結構之一側相接觸。 13.如申請專利範圍第12項所述之方法,其中該有氮化砂頂 蓋的多晶矽化金屬閘極結構,組成成分包括一位於上方, 以LPCVD法製作的,厚度介於500到1500埃的矽化鎢 層,另外包含一^位於下方,以LPCVD法製作的,厚度 17 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公坌) -----;—^----'^--------訂---------線.. {請先閱讀背面之注意事項再填寫本頁) 4243 Ο ^ g_ 六、申請專利範圍 介於500到1500埃的內部已摻雜的N型多晶矽層,其中 有氮化砂頂蓋的多晶矽化金屬層,則是以低壓化學氣相沈 積法(LPCVD)或是電漿強化化學氣相沈積法(PECVD)製 作,厚度介於1500到3000埃之間。 14. 如申請專利範圍第12項所述之方法,其中該薄氣化砂層, 厚度介於1〇〇到300埃之間,是利用低壓化學氣相_法 (LPCVD)或是電漿強化化學氣相沈積法(PECVD)製作, 先沈積厚度300到600埃,之後再利用以CF4/CHF3爲鈾 刻劑的非等向性反應離子蝕刻(RIE赚其變薄。 15. 如申請專利範圍第12項所述之方法,其中該第一層二氧 化砂層是利用LPCVD或是PECVD法製作,厚度介於5000 到10000埃之間。 16·如申請專利範圍第12項所述之方法,其中該衝織接觸 窗開口,是第一次製作於前述之第一層二氧化砂層中,利 用以C4F8爲蝕刻劑的非等向性反應離子蝕刻(RIE)法製 作,其二氧化砍對氮化砂的_j選擇率約爲10比1,接著 於前述之薄氮化较層中形成,利用以ch3f/o2爲触刻劑的 非等向性反應離子蝕刻(RIE)法製作,其氮化矽對二氧化 矽的蝕刻選擇率約爲5比1〇 17. 如申請專利範圍第12項所述之方法,其中該接觸窗插塞 結構包括厚度介於3000到6000埃之間,以低壓化學氣相 沈積法(LPCVD)製作的多晶矽層,該多晶砂層在沈積的過 程中即在砂甲院環境中添加砷或是磷雜質使其摻有雜質。 18. 如申請專利範圍第12項所述之方法,其中該第二層二氧 18 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝---- 一-口· I I I ί 經濟部智慧財產局員工消費合作社印製 83SS ASSCD 424302 六、申請專利範圍 化砂層是以低壓化學麵嫌法(LPCVD)驢職強化化 學氣相_®PECVD)製作,厚度介於1000到2000埃之 間。 19·如申請專利範圍第12項所述之方法,其中該位元線接觸 窗孔洞開口,是製作於前述之第二層二氧化砂層中,以及 前述之第一層二氧化麵中,利用以C4F8/CO爲蝕刻劑的 非等向性反應離子蝕刻(RIE)法製作,其二氧化砂對氮化 政或是二氧化砂對多晶政的飽刻選擇率約爲10比1 〇 20.如申請專利範圍第Π項所述之方法,其中該位元線內連 線結構是由多晶政化金屬層所形成,厚度介於1500到2500 埃之間,包含一位於上方的矽化鎢層以及一位於下方的內 部已換维的N型多晶砂層。 21·如申請專利範圍第12項所述之方法,其中該位元線內連 線結構是以鎢爲基麵構成,厚度介於1000到2000埃之 間,包括一鎢層,其下方有一由鈦-氮化鈦所組成的複合 層,該層是用以作爲黏著注阻障層之用。 (請先閱讀背面之注意事項再填寫本頁) 經蒉部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐)Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs C8 D8 6. Scope of Patent Application 1. A method for making interconnect structures on a semiconductor substrate, which includes the following steps: Provide silicon nitride on top of a gate insulation layer The gate structure enclosed by the gate structure, the first part of the gate structure surrounded by silicon nitride is located in the active area of the element on the semiconductor substrate, and the second part of the gate lion surrounded by nitrided sand is Isolation area, touch source / drain area; above the device moving area, the active area of the device is not covered by the first part of the gate structure surrounded by silicon nitride: A thin nitrided sand substrate is created between the enclosed gate structures. In the semiconductor substrate, a first opening is formed in the first insulating layer, the thin nitrided sand layer, and the aforementioned active area of the element to expose A group of first gate points of the gate structure surrounded by nitrided sand is exposed, and a source / drain region between the first part of the gate structure surrounded by the nitrided gate is exposed; / Draw A contact window plug structure is formed over the area to reveal the aforementioned first group of gate structures surrounded by silicon nitride, and the contact window plug structure is self-aligned to the first group by the nitride group Surrounded gate structure: A second opening is created in the second insulating layer and the first insulating layer to reveal a thin portion of the nitrided sand layer, and to expose the thin layer overlapping the insulating isolation area. Silicon dioxide layer area, and the second opening 13 (Please read the precautions on the back before filling this page)-Install -------- Order Applicable to China National Standard (CNS) A4 specification (210 X 297 mm) A8 B8 C8 D8 4243 0 6. The scope of patent application is self-aligned and located in the second part of the gate structure surrounded by the aforementioned nitrided sand And; forming the aforementioned interconnect structure on the upper surface of the second insulation layer, the interconnect structure will fill the aforementioned second opening and be connected to one side of the aforementioned contact window fiber. 2. The method according to item 1 of the scope of the patent application, wherein the gate structure surrounded by the nitrided sand is a polycrystalline silicided metal structure, and the composition includes a silicidized crane layer with a thickness of 500 to 1500 angstroms and a A layer of polycrystalline sand with a thickness of 500 to 1500 angstroms under fi®, and includes a 1500 to 3,000 angstroms produced using low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). A cap-like nitrided sand layer, and a nitrided spacer with a thickness of 50 to 800 angstroms. 3. The method according to item 1 of the scope of the patent application, wherein the thin nitrided sand layer is formed by using a low pressure chemical vapor deposition method (LPCVD is an enhanced chemical vapor phase ^^^ (PECVD) ^-the layer thickness is between 1500 to A 3000 angstrom nitrided sand layer was subsequently etched using an anisotropic reactive ionization method using CF4 / CHF3 as an etchant to reduce the thickness to between 100 and 300 angstroms. 4. As described in item 1 of the scope of patent application Method, wherein the first insulating layer is a silicon dioxide layer, and is manufactured by using a low pressure chemical surface deposition method (LPCVD) and a donkey plasma enhanced chemical vapor deposition method (PECVD), and the thickness is between 5000 and 10,000 angstroms. The method described in item 1 of the scope of patent application 'wherein the first opening is located in the aforementioned first insulating layer, using C4F8 as the toilet _ 14 _ This paper standard applies to Chinese National Standards (CNS) A4 regulations (210 X 297 mm) -----; -------- ^ -------- Order --------- Taste / (Please read the back first Please fill in this page before printing) Printed by the Employees' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A8 B8 424M, ___g_ 6. The scope of the patent application is made by the anisotropic reactive ion etching (RIE) method. The saturation selectivity of silicon dioxide to silicon nitride is about 10 to 1. The first opening is in the aforementioned thin silicon nitride. The thin nitride gap layer is made after the formation of the layer, and the aforementioned thin nitride gap layer is made by using an anisotropic reactive ion etching (RIE) method using CH3F / 02 as an etchant. 5 to 1 〇6. The method according to item 1 of the patent application range, wherein the contact »« structure includes polycrystalline silicon having a thickness between 3000 and 6000 angstroms and produced by low pressure chemical vapor deposition (LPCVD) Sand layer, the polycrystalline sand layer is added with impurities such as arsenic or phosphorus in the environment of sand armored house in the process of ^ «. 7. The method as described in item 1 of the scope of patent application, wherein the contact_plug structure Including a tungsten layer having a thickness between 3000 and 6000 angstroms by a low pressure chemical vapor deposition (LPCVD) method. 8. The method as described in item 1 of the patent application scope, wherein the second insulating layer is dioxide Sand, using low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemistry Made by vapor deposition (PECVD), with a thickness M between 1000 and 2000 angstroms. 9. The method according to item 1 of the scope of patent application, wherein the second opening is located on the second layer of insulation The first layer and the first insulating layer are produced by an anisotropic reactive ion etching (RIE) method using CHF3 as an etchant. If C4F8 / CO is used as the agent, the oxide sand or the sand will be oxidized. The selectivity of silicon to polycrystalline silicon is about 10 to 1. 10. The method according to item 1 of the scope of patent application, wherein the interconnect structure is formed of a polycrystalline silicon silicide layer, including a tungsten silicide layer located above, and an inner polycrystalline silicon layer located below. 15 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) -----: —.—- t -------- Order --------- Taste (Please read the note on the back? Matters before filling out this page) OQ 8 8 99 ^ BCD 42i302 ^ VI. Scope of Patent Application · The method described in item 1 of the scope of patent application, where the interconnect structure is based on Tungsten is the foundation. Its composition includes a tungsten layer. Below it is a composite layer composed of titanium-titanium nitride. This layer is used as an adhesive barrier layer. 12, a method for fabricating a bit line interconnect structure on a semiconductor substrate, the bit line interconnect structure and a bit line contact window located in a hole of the bit line contact window. This method includes the steps of: forming a polycrystalline silicon silicide metal gate structure with a nitrided top cap in the aforementioned semiconductor substrate and the aforementioned shallow trench region filled with insulators; a nitrided sand layer; and using an anisotropic reaction The ion-etching (RIE) method removes a part of the nitrided sand layer between the polycrystalline silicon silicide metal gate structure with a nitrided top cap to obtain a nitrided sand layer, which is left over. In the area between the polycrystalline silicided metal gate structure with the nitrided top cap, good P is left untreated nitride between the polycrystalline sanded metal gate structure with the nitrided sand cap. Layer; in the active area of the device, the source / drain area is formed in the area not covered by the polycrystalline silicon silicide structure with the nitrided sand top cover; a layer of sand dioxide is sunk; A layer of sand dioxide and the silicon nitride layer A long contact window opening to expose the active area of the aforementioned elements in the semiconductor substrate, which includes exposing a group of polycrystalline silicon silicide metal gate structures with a nitrided sand top cover, and intervening nitride Source / drain region between polycrystalline silicon silicide metal gate structure of sand top cover; 16 paper size used in China National Standard (CNS) A4 specification (210 X 297 mm) ----- ^- --Γ --- t -------- Order --------- line (Please read the precautions on the back before filling this page) The Intellectual Property Bureau of the Ministry of Economic Affairs Employee Consumer Cooperative Press Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs ^^ 424302 C8 6. Application scope of patents: a polycrystalline sand layer; the polycrystalline sand layer is from the surface of the first dioxide sand layer, and the polycrystalline silicon nitride top cover The upper surface of the damascene metal gate structure is removed to produce a contact window plug structure located and self-aligned with the polycrystalline silicon silicide metal gate structure with the nitrided sand top cover. The polycrystalline silicide metal gate structure is exposed at In the long contact window opening, and the contact window plug is located in the source / Above the pole area; two layers of sand dioxide layer; among the second layer of sand dioxide layer and the first layer of sand dioxide layer, opening the aforementioned bit line contact window hole to expose the contact window plug structure One side is used to form the aforementioned bit_window plug structure, and a part of the aforementioned thin nitrided surface is exposed, and a part of the thin nitrided sand layer is located in the shallow trench area of the object surface Above, and the bit line contact window hole is self-aligned and is located between the two gate structures with the nitrided sand top cover; a polycrystalline silicon face layer is missing to completely fill the aforementioned bottle line Contacting the window hole; and patterning the polycrystalline silicided metal layer to generate the aforementioned bit line interconnect structure to contact one side of the steel plug structure with the bit line located in the bit line contact window hole Phase contact. 13. The method according to item 12 of the scope of the patent application, wherein the polycrystalline silicon silicide metal gate structure with a nitrided sand top cover includes an upper part, which is manufactured by the LPCVD method and has a thickness of 500 to 1500 angstroms. The tungsten silicide layer, which also contains one ^ underneath, is made by LPCVD and has a thickness of 17 papers. It is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 cm) -----;-^ --- -'^ -------- Order --------- Line .. {Please read the notes on the back before filling this page) 4243 Ο ^ g_ 6. The scope of patent application is between 500 and 1500 Angstroms of internally doped N-type polycrystalline silicon layer, including a polycrystalline silicon silicide layer with a nitrided sand cap, is a low pressure chemical vapor deposition method (LPCVD) or a plasma enhanced chemical vapor deposition method (PECVD). ) Made with a thickness between 1500 and 3000 Angstroms. 14. The method according to item 12 of the scope of patent application, wherein the thin gasified sand layer has a thickness between 100 and 300 angstroms, and is LPCVD or plasma-enhanced chemistry. Manufactured by vapor deposition (PECVD), first deposit a thickness of 300 to 600 angstroms, and then use anisotropic reactive ion etching (RIE) with CF4 / CHF3 as a uranium etchant to make it thinner. The method according to item 12, wherein the first layer of sand dioxide layer is made by LPCVD or PECVD, and the thickness is between 5000 and 10,000 Angstroms. 16. The method according to item 12 in the scope of patent application, wherein The punched contact window opening is made for the first time in the aforementioned first layer of sand dioxide layer. It is made by the anisotropic reactive ion etching (RIE) method using C4F8 as an etchant. The selectivity of _j is about 10 to 1, and then formed in the aforementioned thin nitrided layer. The silicon nitride is produced by an anisotropic reactive ion etching (RIE) method using ch3f / o2 as the etchant. The etch selectivity for silicon dioxide is about 5 to 1017. As described in item 12 of the scope of patent application The method, wherein the contact window plug structure includes a polycrystalline silicon layer having a thickness between 3000 and 6000 angstroms and manufactured by a low pressure chemical vapor deposition (LPCVD) method, and the polycrystalline sand layer is in a sand box environment during the deposition process. Add arsenic or phosphorus impurities to it to make it doped with impurities. 18. The method described in item 12 of the scope of patent application, wherein the second layer of dioxin 18 paper is again applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) (Please read the precautions on the back before filling out this page) Packing ---- One-port · III ί Printed by the Consumer Consumption Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 83SS ASSCD 424302 It is made by Low Pressure Chemical Surface Method (LPCVD), which has a thickness between 1000 and 2000 Angstroms. 19. The method according to item 12 of the scope of the patent application, wherein the bit line contacting the window hole opening is made in the aforementioned second layer of sand dioxide layer and the aforementioned first layer of dioxide surface, using C4F8 / CO is an anisotropic reactive ion etching (RIE) method for the etchant. The saturation selectivity of sand dioxide to nitriding or sand dioxide to polycrystalline is about 10 to 10.20. The method according to item Π of the patent application scope, wherein the interconnect structure of the bit line is formed by a polycrystalline metallized metal layer with a thickness between 1500 and 2500 Angstroms, including a tungsten silicide layer located above And a N-type polycrystalline sand layer with an internal dimension change located below. 21. The method according to item 12 of the scope of patent application, wherein the interconnect structure of the bit line is based on tungsten and has a thickness between 1000 and 2000 angstroms, including a tungsten layer, and a A titanium-titanium nitride composite layer is used as an adhesion barrier layer. (Please read the notes on the back before filling out this page) Printed by the Consumers ’Cooperative of the Ministry of Intellectual Property Bureau This paper is sized to the Chinese National Standard (CNS) A4 (210x 297 mm)
TW88117552A 1999-10-12 1999-10-12 Manufacturing method for interconnect structure on the semiconductor substrate TW424302B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88117552A TW424302B (en) 1999-10-12 1999-10-12 Manufacturing method for interconnect structure on the semiconductor substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88117552A TW424302B (en) 1999-10-12 1999-10-12 Manufacturing method for interconnect structure on the semiconductor substrate

Publications (1)

Publication Number Publication Date
TW424302B true TW424302B (en) 2001-03-01

Family

ID=21642588

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88117552A TW424302B (en) 1999-10-12 1999-10-12 Manufacturing method for interconnect structure on the semiconductor substrate

Country Status (1)

Country Link
TW (1) TW424302B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9666451B2 (en) 2013-09-27 2017-05-30 Intel Corporation Self-aligned via and plug patterning for back end of line (BEOL) interconnects
US9793159B2 (en) 2013-09-27 2017-10-17 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9666451B2 (en) 2013-09-27 2017-05-30 Intel Corporation Self-aligned via and plug patterning for back end of line (BEOL) interconnects
US9793159B2 (en) 2013-09-27 2017-10-17 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
US10204830B2 (en) 2013-09-27 2019-02-12 Intel Corporation Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects
US10297467B2 (en) 2013-09-27 2019-05-21 Intel Corporation Self-aligned via and plug patterning for back end of line (BEOL) interconnects
US10991599B2 (en) 2013-09-27 2021-04-27 Intel Corporation Self-aligned via and plug patterning for back end of line (BEOL) interconnects

Similar Documents

Publication Publication Date Title
US6869846B2 (en) Forming electronic structures having dual dielectric thicknesses and the structure so formed
US7557401B2 (en) Semiconductor device and method of manufacturing the same
US5837577A (en) Method for making self-aligned node contacts to bit lines for capacitor-over-bit-line structures on dynamic random access memory (DRAM) devices
US6124192A (en) Method for fabricating ultra-small interconnections using simplified patterns and sidewall contact plugs
TW439202B (en) Method for forming a self aligned contact in a semiconductor device
US6602773B2 (en) Methods of fabricating semiconductor devices having protected plug contacts and upper interconnections
US5930633A (en) Integrated butt-contact process in shallow trench isolation
TW425699B (en) Semiconductor device and its fabrication method
US5654231A (en) Method of eliminating buried contact trench in SRAM technology
US6391704B1 (en) Method for manufacturing an MDL semiconductor device including a DRAM device having self-aligned contact hole and a logic device having dual gate structure
TW404013B (en) Method of forming self aligned contacts in a semiconductor device
US6329241B1 (en) Methods for producing capacitor-node contact plugs of dynamic random-access memory
JP3963629B2 (en) Semiconductor device and manufacturing method thereof
TW424302B (en) Manufacturing method for interconnect structure on the semiconductor substrate
JPH05235297A (en) Production of semiconductor memory element
US6380088B1 (en) Method to form a recessed source drain on a trench side wall with a replacement gate technique
US6136716A (en) Method for manufacturing a self-aligned stacked storage node DRAM cell
TW396613B (en) Low resistance bitline structure with low bitline to bitline coupling capacitance and its methods
US6013550A (en) Method to define a crown shaped storage node structure, and an underlying conductive plug structure, for a dynamic random access memory cell
TW395050B (en) Method of manufacturing the capacitor of dynamic random access memory (DRAM)
JP2565111B2 (en) Semiconductor memory device and manufacturing method thereof
JP3373954B2 (en) Method for manufacturing semiconductor device
TW382814B (en) Method of making DRAM device having bitline top capacitor structure of linear bitline shape on substrate
JP3224916B2 (en) Method for manufacturing semiconductor device
TW387104B (en) Fabrication method of high-density DRAM cell

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent