CN109923662A - 用于图案化应用的自底向上的柱状体的几何控制 - Google Patents

用于图案化应用的自底向上的柱状体的几何控制 Download PDF

Info

Publication number
CN109923662A
CN109923662A CN201780069198.0A CN201780069198A CN109923662A CN 109923662 A CN109923662 A CN 109923662A CN 201780069198 A CN201780069198 A CN 201780069198A CN 109923662 A CN109923662 A CN 109923662A
Authority
CN
China
Prior art keywords
film
column
substrate
feature
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780069198.0A
Other languages
English (en)
Inventor
段子青
阿卜希吉特·巴苏·马尔利克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109923662A publication Critical patent/CN109923662A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

描述了包含在自对准工艺中用第二柱状体材料选择性替换第一柱状体材料的处理方法。所述第一柱状体材料可以垂直于基板表面生长并用第二柱状体材料替换,以留下与第一柱状体材料大体相似的形状和对准。

Description

用于图案化应用的自底向上的柱状体的几何控制
技术领域
本公开内容大体涉及沉积和蚀刻薄膜的方法。特别地,本公开内容涉及用于形成自对准图案化的工艺。
背景技术
半导体工业正快速开发晶体管尺寸越来越小的芯片以获得每单位面积更多的功能。随着装置的尺寸持续缩小,装置之间的间隙/空间也越来越小,从而增加将装置彼此物理隔离的难度。使用现有方法来实施以高质量电介质材料填充装置之间通常不规则成形的高深宽比沟槽/空间/间隙越来越具有挑战性,所述现有方法包括间隙填充、硬模和间隔件应用。
在基板表面上产生复杂图案化材料层的工艺使得可能制成集成电路。在基板上产生图案化的材料需要用于移除暴露材料的受控方法。出于各种目的使用化学蚀刻,所述目的包括将光刻胶中的图案转移到下层中、减薄层或减薄已经存在于表面上的特征的横向尺寸。往往希望有蚀刻一种材料比另一种材料更快而有助于例如图案转移工艺的蚀刻工艺。认为这样的蚀刻工艺对第一种材料有选择性。由于材料、电路和工艺的多样性,已经开发选择性移除广泛的材料中的一种或多种材料的蚀刻工艺。
通常使用干式蚀刻工艺来从半导体基板选择性地移除材料。干式蚀刻工艺能够以最小的物理干扰从微型结构温和地移除材料。干式蚀刻工艺还允许通过移除气相反应物来使蚀刻速度突然停止。一些干蚀刻工艺涉及使基板暴露于由一种或多种前驱物形成的远程等离子体副产物。近来已经开发出许多干式蚀刻工艺来相对于彼此选择性地移除各种电介质。然而,开发来选择性移除含金属材料的干式蚀刻工艺相对较少。需要将工艺程序扩展到各种含金属材料的方法。
随着电路和半导体装置的特征尺寸为了电子部件的更高集成密度而不断缩小,自对准接点(contact)变得越来越有吸引力,并且非常需要自对准接点来解决在过孔工艺期间越来越常出现的图案化困难。一般来说,制作自对准接点需要自底向上的柱状体,然而自底向上的柱状体很难形成,尤其是当材料、形状、特征尺寸、方向等受到高度限制时。迄今为止,为自对准接点开发的大多数自底向上的柱状体是竖直对准的导电金属,所述导电金属具有亚微米特征尺寸和不可控的形状。
因此,本技术领域中需要用于自对准图案化应用的新方法。
发明内容
本公开内容的一个或多个实施方式针对处理方法,所述处理方法包含提供具有基板表面的基板,所述基板表面具有从基板表面延伸到基板中的至少一个特征。所述特征具有底部和侧壁。所述基板包含第一材料。第一柱状体定位于所述特征内并延伸出所述特征至第一柱状体顶部。第一柱状体从特征垂直于基板表面延伸。在基板表面上沉积第一膜,使得第一膜覆盖基板表面和第一柱状体材料。移除第一膜以通过第一膜暴露第一柱状体顶部。移除第一柱状体材料,以在基板中留下空特征和通过第一膜的通道。在第一膜上沉积第二膜,使得第二膜填充基板中的特征和穿过第一膜的通道而形成第二柱状体。移除第二膜以暴露第一膜和第二柱状体的顶部。移除第一膜以使基板在特征中具有垂直于基板表面延伸的第二柱状体。
附图简要说明
为详细理解本发明的上述特征,可参照实施方式(其中一些图示于附图中)而对以上简要概述的本发明作更具体的描述。然而,应注意的是,附图仅图示本发明的典型实施方式,因此不应视为限制本发明的范围,因为本发明可认可其他同样有效的实施方式。
图1示出依据本公开内容的一个或多个实施方式的基板特征的横截面图;
图2A和图2B示出依据本公开内容的一个或多个实施方式的间隙填充工艺的横截面示意图;
图3示出依据本公开内容的一个或多个实施方式的氧化膜的横截面图;
图4示出依据本公开内容的一个或多个实施方式的氧化膜的横截面图;
图5A至图5C示出依据本公开内容的一个或多个实施方式的工艺的横截面示意图;
图6A至图6C示出依据本公开内容的一个或多个实施方式的工艺的横截面示意图;
图7A至图7D示出依据本公开内容的一个或多个实施方式的工艺的横截面示意图;
图8A至图15C示出依据本公开内容的一个或多个实施方式处理的基板的立体图和横截面图;
图16A至图16F示出依据本公开内容的一个或多个实施方式的各种柱状体形状;并且
图17A至图17G示出依据本公开内容的一个或多个实施方式的工艺。
在附图中,类似的部件和/或特征可具有相同参考标记。另外,相同类型的各种部件可通过后接破折号的参考标记和区分类似的部件的第二标记来区分。如果在本说明书中仅使用第一参考标记,那么描述能适用于具有相同第一参考标记的类似组件中的任一个而不考虑第二参考标记。
具体实施方式
在描述本发明的若干示例性实施方式之前,应理解的是,本发明不限于以下描述中阐述的构造或工艺步骤的细节。本发明可以有其他实施方式并且能够以各种方式实施或进行。
如本文所使用的“基板”表示任意基板或形成在基板上的材料表面(在制造工艺期间在所述基板或形成在基板上的材料表面上进行膜处理)。例如,取决于应用,基板表面(可在所述基板表面上进行处理)包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的硅氧化物、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料,和诸如金属、金属氮化物、金属合金和其他导电材料的任何其他材料。基板包括但不限于半导体晶片。可以使基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化和/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理之外,在本发明中,如以下更详细公开的,也可以在形成于基板上的下层上进行所公开的任何膜处理步骤,而且术语“基板表面”意在包括上下文所指的这样的下层。因此,例如,在膜/层或部分的膜/层已经沉积到基板表面上的情况下,新沉积的膜/层的暴露表面便成为基板表面。
在一些集成方案中,相对于电介质和金属膜(例如未氧化的钨)选择性地蚀刻氧化物膜(例如氧化钨)。本公开内容的实施方式提供使用金属卤化物来对金属和电介质膜选择性地完全蚀刻氧化物的方法。在一些情况下,被蚀刻的氧化物膜粘附在基板的表面上。在一些实施方式中,被蚀刻的氧化物膜粘附在电介质的表面上方。在一个或多个实施方式中,被蚀刻的氧化物被掩模包围。
本公开内容的一个或多个实施方式针对用于沉积金属氧化物膜的方法,用于任何保形和/或低至高深宽比的间隙/沟槽/空隙的填充应用。本公开内容的实施方式有利地提供在具有小尺寸的高深宽比(AR)结构中沉积膜(例如金属氧化物膜)的方法。本公开内容的一些实施方式有利地提供填充间隙而不在间隙中形成缝的方法。本公开内容的一个或多个实施方式有利地提供形成自对准过孔的方法。
图1示出具有特征110的基板100的局部横截面图。出于说明的目的,图示出具有单一特征的基板,然而本领域技术人员将理解的是,可以有多于一个的特征。特征110的形状可以是任何合适的形状,包括、但不限于沟槽和圆柱形过孔。这样使用的术语“特征”意指任何有意的表面不规则性。特征的合适实例包括、但不限于具有顶部、两个侧壁和底部的沟槽,具有顶部和从表面向上延伸的两个侧壁的峰,和具有从具有开放底部的表面向下延伸的侧壁的过孔。特征可以具有任何合适的深宽比(特征深度与特征宽度的比)。在一些实施方式中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
基板100具有基板表面120,基板表面120具有在基板表面120中形成开口的至少一个特征110。特征110从基板表面120延伸深度D到底表面112。特征110具有限定特征110的宽度W的第一侧壁114和第二侧壁116。由侧壁和底部形成的开放区域也称为间隙或沟槽。
参照图2A和图2B,提供基板100用于进行处理。这样使用时术语“提供”意指基板为了进一步处理而被放进位置或环境中。
在基板表面120上和特征110的壁和底部上形成膜130。膜130可以是由任何合适的工艺形成的任何合适的膜,所述工艺包括、但不限于化学气相沉积、等离子体增强化学气相沉积、原子层沉积、等离子体增强原子层沉积和/或物理气相沉积。在一些实施方式中,通过原子层沉积或等离子体增强原子层沉积来形成膜130。
在一些实施方式中,膜130是金属膜或含金属膜。合适的金属膜包括、但不限于包括Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr和/或La中的一项或多项的膜。在一些实施方式中,金属膜包含钨。合适的含金属膜包括金属膜的衍生物。合适的金属膜的衍生物包括、但不限于氮化物、硼化物、碳化物、氮氧化物、硼氧化物、碳氧化物、碳氮化物、硼碳化物、硼氮化物、硼碳氮化物、硼氧碳氮化物、氧碳氮化物、硼氧碳化物和硼氧氮化物。本领域技术人员将理解的是,所沉积的金属膜可在金属膜内具有非化学计量的原子数量。例如,称为WN的膜可以具有与使用“WN”所暗示的1:1化学计量比不同的钨和氮的量。WN膜可以是例如90原子百分比的钨。使用WN来描述氮化钨膜意味着所述膜包含钨和氮原子,并且不应视为将膜限制于特定成分。在一些实施方式中,膜基本上由指定的原子构成。例如,基本上由WN构成的膜意味着膜的成分是大于或等于约95%、98%或99%的钨和氮原子。在一些实施方式中,膜130包含钨。在一些实施方式中,膜130基本上由钨构成。在一个或多个实施方式中,膜包含钛。在一些实施方式中,膜基本上由钛或氮化钛构成。
在一些实施方式中,膜130保形地形成在至少一个特征110上。本文中使用的术语“保形”或“保形地”表示粘附至暴露表面并以相对于膜的平均厚度具有小于1%的变化的厚度均匀地覆盖暴露表面的层。例如,厚的膜在厚度上可具有小于的变化。这个厚度和变化包括凹槽的边缘、角落、侧边和底部。例如,在本公开内容的各种实施方式中通过ALD沉积的保形层将在沉积区域上提供在复杂表面上基本上均匀厚度的覆盖。
在一些实施方式中,膜130是连续膜。本文中使用的术语“连续”表示覆盖整个暴露表面而没有露出沉积层下方的材料的间隙或裸露点的层。连续层可具有表面积小于膜总表面积的约1%的间隙或裸露点。
在一些实施方式中,膜130大体上无缝地形成在特征110内。在一些实施方式中,缝115可以形成在特征110的宽度W内。缝115可以是形成在特征110的壁114、116之间的任何间隙、空间或空隙。
随后通过暴露于氧化剂或氧化条件来将膜130氧化,以将金属或含金属膜转化成金属氧化物膜。如图2B所示,氧化转化造成原始膜130体积膨胀。膜130的膨胀可以在约10%至约1000%的范围内、或在约50%至约800%的范围内、或在约100%至约700%的范围内。在一些实施方式中,膜130膨胀大于或等于约150%、200%、250%、300%或350%的量。在一些实施方式中,膜130膨胀在约300%至约400%的范围内的量。如图2B所示,膜130的膨胀造成缝115被填满。
氧化剂可以是任何合适的氧化剂,包括、但不限于O2、O3、N2O、H2O、H2O2、CO、CO2、NH3、N2/Ar、N2/He、N2/Ar/He和上述氧化剂的组合。在一些实施方式中,氧化条件包括热氧化、等离子体增强氧化、远程等离子体氧化、微波和射频(例如ICP、CCP)。
膜130的氧化可以在任何合适的温度下发生,取决于例如膜和氧化剂的成分。在一些实施方式中,氧化在约25℃至约1100℃的范围内的温度下发生。在一些实施方式中,氧化在大于或等于约250℃、300℃、350℃、400℃、450℃、500℃或550℃的温度下发生。
在一些实施方式中,膜130沉积至在约至约的范围内的厚度、或在约至约的范围内的厚度。在一个或多个实施方式中,膜130沉积至约的厚度,并且大体上没有在膜中形成的缝。缝的形成发生于在特征被膜填满之前膜的厚度靠近特征110的顶部之处。在一些实施方式中,基板表面具有在至少一个特征的侧壁之间具有缝的膜。这样使用时术语“在……之间”意味着在缝与特征的侧壁之间在缝的任一侧上存在一些膜。缝不限于恰好在侧壁的中心。
在通过氧化使膜130膨胀期间,在基板表面120的顶部上形成间隙140。间隙140可以具有与氧化环境匹配的内容物或者可以是不同的成分。例如,使用氮等离子体的氧化环境可以用氮环境形成间隙140。
如图3所示,在膨胀期间,在特征的顶部保持特征形状的保真度,使得膜130从特征110直向上生长。这样使用时术语“直向上”意味着膜在间隙140周围形成表面144并且表面144与特征侧壁114相邻的部分大体上与侧壁114共面。在侧壁114和表面144的接合处形成的角度是±10°的情况下表面144与侧壁114共面。以前预期此类膨胀将各向同性地生长而形成蘑菇形顶部。意想不到的是膜130膨胀形成直段142。
在一些实施方式中,在氧化之前用掺杂剂掺杂膜130。可以在形成膜130的同时或者在与膜沉积相继的单独工艺中将掺杂剂掺入膜130中。例如,可以在沉积膜130之后在相同工艺腔室或不同工艺腔室中以单独工艺用掺杂剂掺杂膜130。在一些实施方式中,膜130的沉积与掺杂在单一工艺中发生。例如,可以使膜前驱物与掺杂剂共同流入处理腔室中以形成膜130。
一些实施方式包括可选的处理工艺。处理工艺处理膜130以改善膜的某些参数。在一些实施方式中,处理工艺包含对膜进行退火。在一些实施方式中,处理可以通过在用于沉积和/或还原的相同工艺腔室中原位退火来进行。合适的退火工艺包括、但不限于快速热处理(RTP)或快速热退火(RTA)、尖峰退火或UV固化、或电子束固化和/或激光退火。退火温度可以在约500℃至900℃的范围内。退火期间的环境成分可以包括H2、Ar、He、N2、NH3、SiH4等中的一种或多种。退火期间的压力可以在约100毫托至约1atm(760托)的范围内。
参照图4,在一些实施方式中,在顶部部分(直段142)的氧化量比在特征110的底部部分131的氧化量更大。在一些实施方式中,在特征110的底部部分131处的膜130很少或没有氧化。图5A至图5C示出在特征110的底部沉积膜的方法。通过任何合适的技术来沉积膜130。例如,在图5A中,可以通过原子层沉积在基板上沉积钨膜。图5B中的膜130已经被氧化和膨胀以填满特征110。膜130的顶部部分142包含沉积的金属的氧化物(例如氧化钨),并且膜130的底部部分131保持未氧化(例如钨金属)。可以利用顶部部分142与底部部分131之间的差异从基板选择性地蚀刻材料。如图5C所示,如果将膜130沉积到对氧化物有选择性的蚀刻工艺,那么可以移除在顶部部分142处的氧化物膜,而在底部部分131处留下金属膜。
图6A至图6C示出本公开内容的另一个实施方式。在图6A中示出具有至少一个特征110的基板100。将膜130沉积在特征110的底部,如图6B所示。在图6C中膜130可氧化,使得膜膨胀而填满特征110。
图7A至图7D示出本公开内容形成自对准过孔的另一实施方式。在图7A中,提供具有氧化膜130的基板。可以进行抛光或蚀刻工艺以从基板100的表面120移除膜130的顶部,如图7B所示。膜130保留在特征110内并填满特征110。如图7C所示,随后可以将膜130氧化以使膜130向上生长。膜130的侧面大体上保持与特征110的侧面共面,使得柱状体从特征110延伸。将材料层160沉积在基板100的表面120上。如图7D所示,可以(例如通过蚀刻)移除膜130以留下带有在特征110的顶部上对准的材料层160的特征110。
图8A至图15C示出本公开内容的另一个实施方式,图示出对准工艺,所述对准工艺结合金属层的体积膨胀。提供具有基板表面202的基板200。基板表面202包含具有第一表面212的第一材料210和具有第二表面222的第二材料220。在图中所示的实施方式中,基板表面202是由第一表面212和第二表面222的组合表面组成的整个平面(针对所示的平面表面)。所示的实施方式使用包含第一材料210和第二材料220的图案的大体上平面的材料。
第一材料210和第二材料220是不同的材料。例如,在一些实施方式中,第一材料210是金属,而第二材料220是电介质。第一材料210和第二材料220可以共用共同的元素,但是具有不同的表面反应性。在一些实施方式中,第一材料210的金属基本上不存在于第二材料220中。这样使用时术语“基本上不存在”意指第二材料220的体积组成(bulkcomposition)在原子的基础上包含少于约1%的金属。
第一材料210可以是任何合适的材料,包括、但不限于Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr或La。在一些实施方式中,第一材料210包含Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr和/或La中的一种或多种。在一些实施方式中,第一材料210选自由Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr、La和上述金属的组合组成的群组。在实施方式中,合适的金属可以包括钛、铪、锆、钒、铌、钽、铬、钼、钨、锰、铼、锝、铁、铝和镓中的一种或多种。在实施方式中,金属层可以包含金属元素或由金属元素组成。依据一个或多个实施方式,金属元素可以具有22、23、24、40、41、42、72、73或74的原子序数。在实施方式中,金属元素可以来自周期表的第4族、第5族或第6族中的一族,或者可以是过渡金属。在一个或多个实施方式中,第一材料210包含钨。
图8B示出沿着x-z平面观看图8A的基板200的局部视图。在相同的位置处示出图8B、图9B、图10B、图11B、图12B、图13B、图14B和图15B中的每一个。图8C示出沿着y-z平面穿过第一材料210观看图8A的基板200的局部视图。在相同的位置处示出图8C、图9C、图10C、图11C、图12C、图13C、图14C和图15C中的每一个。然而,为了说明的目的,仅在图8A中图示出横截面图线。
如图9A至图9C所示,在基板表面202上形成掩模230。掩模230具有在掩模230中形成的开口232,开口232暴露至少一部分的第一表面212和第二表面222。所示的掩模230具有矩形开口232;然而,本领域技术人员将理解,这仅仅表示一种可能的结构,而且其他形状的开口也在本公开内容的范围内。
掩模230可以由能够阻止合金剂、氧化剂或其他反应剂扩散到掩模230下方的表面的任何合适材料制成。例如,所示的掩模230可以允许氧化剂接触掩模230的开口232内的第一表面212和第二表面222,但将防止与不在开口232内的表面的这样的相互作用。本领域技术人员将理解,在处理期间可能发生一些横向扩散和掩模230的底切(undercutting)。
可以通过本领域技术人员已知的任何合适技术形成掩模。例如,可以通过原子层沉积、化学气相沉积、等离子体增强原子层沉积、等离子体增强化学气相沉积、物理气相沉积或平版印刷术技术中的一种或多种在表面202上沉积或形成掩模230。
如图10A至图10C所示,使第一材料210膨胀。第一材料210的体积膨胀可以通过任何合适的手段来完成,例如参照图1至图7D所述的工艺。例如,可以使第一材料210暴露于相对于第二材料220对第一材料210具有选择性的氧化剂。氧化剂暴露可造成第一材料210从第一表面212垂直生长。膨胀的第一材料215的高度从第一材料210的初始高度增加到大于第二材料220的高度。在一些实施方式中,膨胀的第一材料215的高度大于掩模230的高度。
在一些实施方式中,使第一材料210膨胀造成第一材料210从第一表面212直向上膨胀通过掩模230中的开口232到达高于掩模230的高度。这样使用的术语“直向上”意指膨胀的第一材料215的侧面形成具有侧壁216的表面,侧壁216与基板表面202形成约85°至约95°的角度。
在一些实施方式中,使第一材料210膨胀包含使第一材料210氧化或氮化中的一种或多种。在一个或多个实施方式中,使第一材料210氧化或氮化以形成膨胀的第一材料215包含使第一材料暴露于氧化剂或氮化剂,所述氧化剂或氮化剂包含O2、O3、N2O、H2O、H2O2、CO、CO2、NH3、N2H4、NO2、N2、N2/Ar、N2/He或N2/Ar/He中的一种或多种。在一些实施方式中,氧化条件包含热氧化、等离子体增强氧化、远程等离子体氧化、微波和射频(例如ICP、CCP)。
例如,在一些实施方式中,通过暴露于氧化剂或氧化条件将第一材料210氧化,以将金属或含金属膜转化为金属氧化物膜。如图10A所示,氧化转化造成原始的第一材料210体积膨胀。第一材料210的膨胀可以在原始(即膨胀前)厚度的约10%至约1000%的范围内。在一些实施方式中,第一材料210的膨胀在原始厚度的约50%至约800%的范围内、或在原始厚度的约100%至约700%的范围内。在一些实施方式中,第一材料210膨胀大于或等于原始厚度的约150%、200%、250%、300%或350%的量。
膨胀的第一材料215的高度可以是从第一表面212测量的任何合适高度。
取决于例如第一材料210和氧化剂的成分,第一材料210的膨胀(例如通过氧化)可以在任何合适的温度下发生。在一些实施方式中,氧化在约25℃至约1100℃的范围内的温度下发生。在一些实施方式中,氧化在高于或等于约250℃、300℃、350℃、400℃、450℃、500℃或550℃的温度下发生。
膨胀的第一材料215从表面202垂直生长,几乎没有横向生长。掩模230中的开口232的定位公差小于没有垂直生长的工艺的公差。这在图10A中示出,其中掩模230中的开口232延伸到由开口232围绕的第一表面212的任一侧上的第二表面222上。
图10B和图10C的横截面图示出第一表面210与膨胀的第一表面215之间的界面217。所示的界面217是不连续的边界;然而,本领域技术人员将理解,界面通常是第一材料210转变成膨胀的第一材料215的较扩散区域。
如图10C所示,第一材料210的膨胀可以包括一定量的横向生长。这可以在膨胀的第一材料215的颠倒蘑菇形状中看到。横向生长可以是对称或不对称的。
参照图11A至图11C,从基板200移除掩模230,以使膨胀的第一材料215从基板表面202和第一表面212垂直延伸。
在一些实施方式中,如图12A至图12C所示,所述方法进一步包含在基板表面202上沉积第三材料240。第三材料240围绕从基板表面202和第一表面212延伸的、垂直生长膨胀的第一材料215。第三材料240可以具有大体上等于或小于膨胀的第一材料215的高度的高度。在一些实施方式中,第三材料240的高度小于膨胀的第一材料215的高度,如图所示,使得膨胀的第一材料215的顶部不被第三材料240覆盖。
相对于膨胀的第一材料215选择性地在基板表面202、第一材料210的第一表面212和第二材料220的第二表面222上沉积第三材料240(也称为间隙填充材料)。例如,如果膨胀的第一材料215包含氧化物(例如氧化钨),那么第三材料240包含比围绕第一材料的氧化物的表面材料对氧化物较无反应性的合适的化学物质。
可以通过任何合适的手段沉积第三材料240。例如,可以通过原子层沉积、等离子体增强原子层沉积、化学气相沉积、等离子体增强化学气相沉积或物理气相沉积来沉积第三材料240。
第三材料240可以是能够相对于膨胀的第一材料215选择性地沉积在基板表面202上的任何合适的材料。在一个或多个实施方式中,第三材料240包含电介质。在一些实施方式中,第三材料240不同于第一材料210和第二材料220。
在一些实施方式中,如图13A至图13C所示,从基板200移除(例如蚀刻)膨胀的第一材料215。蚀刻或移除工艺可以相对于第三材料240、第一材料210和/或第二材料而对膨胀的第一材料215有选择性。蚀刻膨胀的第一材料215留下穿过第三材料240的开口245。在图13B和图13C中示出图13A的横截面图。蚀刻大体上移除所有膨胀的第一材料215,使得第一材料210的第一表面212相对于图8A中的第一表面212的初始位置向下移动。
可以通过任何合适的技术完成膨胀的第一材料215的蚀刻。在一些实施方式中,蚀刻膨胀的第一材料215包含使膨胀的第一材料215暴露于金属卤化物化合物。在一些实施方式中,金属卤化物化合物具有与第一材料210不同的金属。
在一些实施方式中,蚀刻膨胀的第一材料215包含暴露于含金属和卤素的前驱物(例如WCl6,也称为金属卤化物前驱物)。金属卤化物前驱物可以与膨胀的第一材料215反应。
在一些实施方式中,暴露于金属卤化物前驱物造成与膨胀的第一材料215的放热反应,并且在基板处理区域中不存在等离子体。依据一个或多个实施方式,在进入基板处理区域之前,没有等离子体激发金属卤化物前驱物。
在示例性的非限制性工艺中,第一材料210包含钨并且通过与氧反应而膨胀以形成膨胀的第一材料215氧化钨(可以采取WO3的形式)。使WO3暴露于WCl6(或可能是WCl5)形成挥发性的WOCl4及/或WO2Cl2,挥发性的WOCl4和/或WO2Cl2离开表面直到移除所有的氧化钨。一旦氧化钨部分(或通常是金属氧化物部分)被除去,反应就会自发地停止。此过程可以重复整数个循环。每个循环可以移除可选择量的原始钨膜(例如1个或2个单层)。
在一些实施方式中,金属卤化物前驱物包括两种或更多种或仅两种不同的元素,包括金属元素和卤素元素。金属卤化物前驱物可以仅包括单个原子的金属元素、但包括多个原子的相同卤素元素(如WCl6和WCl5的情况)。在实施方式中,金属卤化物的金属元素可以包括钛、铪、锆、钒、铌、钽、铬、钼、钨、锰、铼、锝、铁、铝和镓中的一种或多种。在一些实施方式中,金属卤化物的金属元素具有22、23、24、40、41、42、72、73或74的原子序数。在一个或多个实施方式中,金属元素包含周期表的第4族、第5族或第6族元素或可以是过渡金属。依据一个或多个实施方式,卤素元素可以是F和Cl中的一种。在一些实施方式中,卤素元素可以是F、Cl、Br和/或I中的一种或多种。在一些实施方式中,含金属和卤素的前驱物不含氟。合适的金属卤化物前驱物的一些实例包括、但不限于五卤化钒、五卤化钽、六卤化铬、五卤化钼、六卤化钼、五卤化铌、五卤化钨、六卤化钨和四卤化锰。在一些实施方式中,金属卤化物前驱物包括、但不限于卤化钒、卤化钽、卤化铬、卤化钼、卤化铌、卤化钨和/或卤化锰,其中金属元素的氧化态可以是任何合适的氧化态。
一些实施方式的蚀刻工艺具有大于或等于约10:1、大于或等于约15:1、大于或等于约20:1或大于或等于约25:1的选择率。
在一些实施方式中,在蚀刻工艺中很少或不使用局部等离子体来使蚀刻工艺更具选择性、更为精细并且更为各向同性。本文中将使用术语“无等离子体”来描述在不施加或基本上不施加等离子体功率到基板处理区域的期间的基板处理区域。所描述的蚀刻剂(含金属和卤素的前驱物)具有能量上有利的蚀刻反应路径,所述路径使得在蚀刻本文的含金属材料的操作期间基板处理区域能够无等离子体。换句话说,依据一个或多个实施方式,基板处理区域中的电子温度可小于0.5eV、小于0.45eV、小于0.4eV或小于0.35eV。此外,在实施方式中,在进入基板处理区域之前,含金属和卤素的前驱物可以未在任何远程等离子体中激发。例如,如果远程等离子体区域或单独的腔室区域存在并用于将含卤素的前驱物导向基板处理区域,那么单独的腔室区域或远程等离子体区域可以是如本文所定义的无等离子体的。
依据一个或多个实施方式,基板处理区域和含金属和卤素前驱物所通过的任何远程区域可以是“无氢的”。在实施方式中,氢和-OH基团可以将材料添加到金属和金属氮化物,使得蚀刻工艺受阻。金属膜或金属氮化物膜可能变得更厚而不是更薄。在基板处理区域中氢的存在可能降低有效的蚀刻选择性。
在一些实施方式中,使用载气以在约5sccm至500sccm范围内、约10sccm至300sccm范围内、约25sccm至200sccm范围内、约50sccm至150sccm范围内、或约75sccm至125sccm范围内的流率供应含金属和卤素的前驱物(例如WCl6)。
依据一个或多个实施方式,反应可以仅由基板本身的温度激发而以热的方式进行。在使用基板的温度来发生蚀刻反应的实施方式中,本文中可以使用术语“无等离子体”来描述在不使用或基本上不使用等离子体功率的应用期间的基板处理区域。也可以将等离子体功率保持在低于小的临界值的量,以使适当的反应能够进行。施加到基板处理区域的等离子体功率可以小于100瓦、小于50瓦、小于30瓦、小于10瓦,而且在各种实施方式中可以为0瓦。在实施方式中,基板处理区域内的压力可以在大约0.1托和50托的范围内、在大约0.2托和30托的范围内、在大约0.5托和20托的范围内、在大约1托和10托的范围内。
参照图14A至图14C,一些实施方式进一步包含在第三材料240的表面247上沉积间隙填充金属250。间隙填充金属250填充第三材料240中的开口245,而且如图14B和图14C的横截面图所示,与第一材料210形成电接触。
间隙填充金属250可以是任何合适的金属,可以与第一材料210相同或与第一材料210不同。在一些实施方式中,间隙填充金属250包含钛、铪、锆、钒、铌、钽、铬、钼、钨、锰、铼、锝、铁、铝和镓中的一种或多种。
参照图15A至图15C,一些实施方式进一步包含从第三材料240的表面247移除间隙填充金属250。从表面247移除间隙填充金属250在第三材料240的开口245中留下间隙填充金属250。此工艺可产生自对准过孔,从而提供第一材料210与通过另一工艺形成于表面247的顶部上的材料之间的电接触。
间隙填充金属250的移除可以通过任何合适的技术来完成。例如,蚀刻工艺或平面化工艺。在一些实施方式中,通过抛光材料来移除间隙填充金属250以留下大体上光滑的表面。可使用化学机械平面化(CMP)技术来抛光表面247并使表面247光滑。在移除间隙填充金属250期间,可以移除一定量的第三材料240。移除的第三材料240的量可以是大约无至多至第三材料240的原始厚度的约95%。
本公开内容的其他实施方式针对形成具有各种形状(例如圆形、线形、正方形、菱形、星形等)和可控特征尺寸(从几纳米至几百纳米)的自底向上的柱状体的方法。柱状体可以在竖直和水平方向上生长。图16A至图16F示出柱状体形状,依次为圆形、正方形、菱形、星形、线形和水平的。本领域技术人员将理解,本公开内容不限于所示的形状,而且其他形状也在本公开内容的范围内。
已经发现,自底向上的柱状体的几何控制可以解决许多与复杂且小的特征相关的图案化难题。通过氧化完全充满并约束在孔或沟槽结构中的特定金属材料(例如W、Ru、Mo、Os、V、Ta、Co、Mn等)形成此类自底向上的柱状体。当金属被氧化成金属氧化物时,体积膨胀将金属氧化物推出孔或沟槽以形成柱状体。在一些实施方式中,氧通过暴露的表面扩散到金属材料中,以仅在金属氧化物与金属之间的界面处形成稳定的金属氧化物。在一些实施方式中,一旦形成了金属氧化物,氧扩散将不会进一步将金属氧化物氧化成另一阶段的氧化物。在一些实施方式中,氧化造成体积膨胀,即金属氧化物体积应大于金属的体积。开口的形状和孔或沟槽结构内部的形状将限制最终的柱状体形状。柱状体的特征尺寸也由孔或沟槽结构的特征尺寸决定。柱状体的方向将取决于孔或沟槽如何打开。如果结构开口在水平表面上,那么自对准的柱状体将竖直生长。另一方面,当结构开口处于像深沟槽或孔的侧壁那样的竖直表面上时,可以形成水平柱状体。
氧化工艺可以使用多种氧化气体,像是O2、O3、H2O、H2O2、N2O等。随着温度从300℃变化至600℃,所述工艺可以用热的方法或者通过等离子体增强来完成。等离子体的射频功率可以从200W至2000W变化,腔室压力可以从几托到600托改变。
柱状体材料不限于金属氧化物。在一些实施方式中,柱状体材料包含金属氮化物或金属硅化物。一旦形成,可以经由如图17所示的图案化工艺由任何种类的材料(例如金属、电介质、非晶硅、非晶碳等)取代柱状体。
图17A至图17G图示依据本公开内容的一个或多个实施方式的处理方法300。具有基板表面315的基板310中形成有至少一个特征320。特征320具有底部322和侧壁324,并从基板表面315延伸一段距离,从而限定特征310的深度。
基板310由第一材料制成。基板可以是如本文所述和讨论的任何合适材料。第一柱状体330定位在特征320内并从特征320延伸出到达第一柱状体顶部332。第一柱状体330从特征320垂直于基板表面315延伸。第一柱状体330由第一柱状体材料制成,第一柱状体材料可以是例如金属、金属氧化物或电介质。
在一些实施方式中,第一柱状体330形成在特征320中。在一些实施方式中,形成第一柱状体330包含在特征320中沉积第一柱状体材料(例如金属)并使第一柱状体材料直向上膨胀而延伸到基板表面315上方。在一些实施方式中,使第一柱状体330材料膨胀包含使第一柱状体330材料氧化或氮化中的一种或多种。
如图17B所示,将第一膜340沉积在基板表面315上,使得第一膜340覆盖基板表面315和第一柱状体330材料。以此方式使用的“覆盖”意指第一柱状体顶部332上沉积或形成有至少一些第一膜340。
可以通过任何合适的技术沉积或形成第一膜340。例如,可以通过化学气相沉积、原子层沉积、等离子体增强CVD或ALD工艺、或物理气相沉积工艺沉积或形成第一膜340。
如图17C所示,移除第一膜340以通过第一膜340暴露第一柱状体顶部332。移除第一膜340以暴露第一柱状体顶部332可以通过任何合适的技术来完成。例如,可以通过使用任何合适的化学蚀刻剂蚀刻第一膜340或通过化学机械平面化(CMP)工艺来移除第一膜340。在一些实施方式中,通过CMP移除第一膜340来暴露第一柱状体顶部332。
如图17D所示,可以移除第一柱状体330材料,以在基板310中留下空特征320,其中在基板表面315上具有第一膜340。空特征320形成穿过第一膜340到达基板310的通道345。
可以通过任何合适的工艺移除第一柱状体330材料。在一些实施方式中,移除第一柱状体330材料包含蚀刻第一柱状体330材料。在一个或多个实施方式中,通过使第一柱状体330材料暴露于金属卤化物化合物来蚀刻第一柱状体330材料。在一些实施方式中,金属卤化物化合物具有与第一柱状体330材料不同的金属。在一些实施方式中,金属卤化物化合物具有与第一柱状体330材料相同的金属。
如图17E所示,在第一膜340上沉积或形成第二膜350,使得第二膜350填充在基板310中的特征320和穿过第一膜340的通道345而形成第二柱状体360。可以通过任何合适材料的任何合适技术来沉积第二膜350。在一些实施方式中,第二柱状体360不同于第一柱状体330材料。
如图17F所示,可以移除第二膜350以暴露第一膜340和第二柱状体360的顶部362。移除第二膜350以暴露第二柱状体360顶部362可以通过任何合适的技术来完成。例如,可以通过使用任何合适的化学蚀刻剂蚀刻第二膜350或通过化学机械平面化(CMP)工艺来移除第二膜350。在一些实施方式中,通过CMP移除第二膜350以暴露第二柱状体360顶部362。在一些实施方式中,第二柱状体360包含金属或电介质。
如图17G所示,可以移除留在基板310上的第一膜340,以使基板310在特征320中留有第二柱状体360。类似于已被替换的第一柱状体330,第二柱状体360垂直于基板表面315延伸。
在一些实施方式中,通过蚀刻从基板310移除第一膜340。在一个或多个实施方式中,蚀刻第一膜340包含使第一膜340暴露于金属卤化物化合物。
依据一个或多个实施方式,基板在形成层之前和/或之后经受处理。此处理可以在相同腔室中或在一个或多个不同的处理腔室中进行。在一些实施方式中,将基板从第一腔室移到不同的第二腔室以进一步处理。可以将基板直接从第一腔室移到不同的处理腔室,或者可以将基板从第一腔室移到一个或多个传送腔室,随后移到不同的处理腔室。因此,处理设备可以包含与传送站连通的多个腔室。可以将此类设备称为“群集工具”或“群集系统”等。
一般来说,群集工具是包括多个腔室的模块化系统,多个腔室执行各种功能,包括基板中心寻找(center-finding)和定向、除气、退火、沉积和/或蚀刻。依据一个或多个实施方式,群集工具至少包括第一腔室与中央传送腔室。中央传送腔室可容置机械手,机械手能够在处理腔室与装载锁定腔室之间和之中往复移动基板。通常将传送腔室保持在真空条件,并提供中间阶段以将基板从一个腔室往复移动至另一腔室和/或装载锁定腔室,所述装载锁定腔室位于群集工具的前端。可适用于本发明的两种已知的群集工具是上述两者皆可从美国加利福尼亚州圣克拉拉市的应用材料公司获得。然而,为了执行如本文所述的工艺的特定步骤,可改变确切的腔室排列和组合。可使用的其他处理腔室包括、但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(例如RTP)、等离子体氮化、除气、定向、羟基化和其他基板工艺。通过在群集工具上的腔室中执行工艺,可避免大气杂质对基板表面的污染而无需在沉积后续膜之前氧化。
依据一个或多个实施方式,基板持续处于真空下或“装载锁定”状态,并且当从一个腔室移动到下一个腔室时不暴露于周围空气。因此传送腔室处于真空下并且于真空压力下“降压(pump down)”。惰性气体可存在于处理腔室或传送腔室中。在一些实施方式中,使用惰性气体作为净化气体,以移除一些或所有反应物。依据一个或多个实施方式,在沉积腔室的出口处注入净化气体,以防止反应物从沉积腔室移动到传送腔室和/或另外的处理腔室。因此,惰性气体流在腔室的出口处形成帘幕。
可在单一基板沉积腔室中处理基板,其中在处理另一基板之前装载、处理和卸载单一基板。也可用连续方式(类似传送带(conveyor)系统)处理基板,其中多个基板被单独地装载到腔室的第一部分中、移动通过所述腔室并且从所述腔室的第二部分卸载。所述腔室和相关的传送带系统的形状可形成直路径或弯曲路径。此外,处理腔室可以是旋转式传送带(carousel),其中多个基板绕中心轴移动,并且在整个旋转式传送带路径中暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间可将基板加热或冷却。这样的加热或冷却可以通过任何合适的手段完成,所述手段包括、但不限于改变基板支撑件的温度和使加热或冷却的气体流至基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,可控制所述加热器/冷却器以传导地改变基板温度。在一个或多个实施方式中,所采用的气体(反应气体或惰性气体)经加热或冷却以局部改变基板温度。在一些实施方式中,加热器/冷却器定位在腔室内邻近基板表面,以对流地改变基板温度。
在处理期间基板也可以是静止的或旋转的。可以使旋转基板连续旋转或以不连续的步骤旋转。例如,基板可在整个工艺中旋转,或基板可在暴露于不同反应气体或净化气体之间少量旋转。在处理期间旋转基板(连续地或步进地)可通过使例如气流几何形状中局部变化的影响最小化而有助于产生更均匀的沉积或蚀刻。
本说明书通篇提及的“一个实施方式”、“某实施方式”、“一个或多个实施方式”、或“一实施方式”意指与所述实施方式相关的特定特征、结构、材料或特性包括在本发明的至少一个实施方式中。因说明书通篇多处出现的诸如“在一个或多个实施方式中”、“在某实施方式中”、“在一个实施方式中”、或“在一实施方式中”的短语并非必须表示本发明的相同实施方式。此外,在一个或多个实施方式中可以任何合适的方式组合特定特征、结构、材料或特性。
尽管已参照特定实施方式描述本文的发明,但应理解这些实施方式仅说明本发明的原理与应用。对于本领域技术人员而言显而易见的是,可以在不偏离本发明的精神和范围的情况下对本发明的方法和设备进行各种修改与变化。因此,本发明欲包括在所附权利要求和权利要求的等效物的范围内的修改与变化。

Claims (13)

1.一种处理方法,包含以下步骤:
提供具有基板表面的基板,所述基板表面具有从所述基板表面延伸到所述基板中的至少一个特征,所述特征具有底部和侧壁,所述基板包含第一材料,第一柱状体定位于所述特征内并延伸出所述特征到达第一柱状体顶部,所述第一柱状体从所述特征垂直于所述基板表面延伸;
在所述基板表面上沉积第一膜,使得所述第一膜覆盖所述基板表面和所述第一柱状体材料;
移除所述第一膜以通过所述第一膜暴露所述第一柱状体顶部;
移除所述第一柱状体材料,以留下在所述基板中的空特征和穿过所述第一膜的通道;
在所述第一膜上沉积第二膜,使得所述第二膜填充所述基板中的所述特征和穿过所述第一膜的所述通道而形成第二柱状体;
移除所述第二膜以暴露所述第一膜和所述第二柱状体的顶部;和
移除所述第一膜以使所述基板在所述特征中具有垂直于所述基板表面延伸的所述第二柱状体。
2.一种处理方法,包含以下步骤:
提供具有基板表面的基板,所述基板表面具有从所述基板表面延伸到所述基板中的至少一个特征,所述特征具有底部和侧壁,所述基板包含第一材料;
在所述特征内形成延伸出所述特征到达第一柱状体顶部的第一柱状体,所述第一柱状体从所述特征垂直于所述基板表面延伸;
在所述基板表面上沉积第一膜,使得所述第一膜覆盖所述基板表面和所述第一柱状体材料;
移除所述第一膜以通过所述第一膜暴露所述第一柱状体顶部;
蚀刻所述第一柱状体材料,以留下在所述基板中的空特征和穿过所述第一膜的通道;
在所述第一膜上沉积第二膜,使得所述第二膜填充所述基板中的所述特征和穿过所述第一膜的所述通道而形成第二柱状体,所述第二柱状体包含不同于所述第一柱状体的材料;
移除所述第二膜以暴露所述第一膜和所述第二柱状体的顶部;和
蚀刻所述第一膜以使所述基板在所述特征中具有垂直于所述基板表面延伸的所述第二柱状体。
3.如权利要求1所述的方法,进一步包含以下步骤:形成所述第一柱状体。
4.如权利要求2或3所述的方法,其中形成所述第一柱状体包含以下步骤:在所述特征中沉积第一柱状体材料并使所述第一柱状体材料直向上膨胀而从所述基板表面延伸。
5.如权利要求4所述的方法,其中使所述第一柱状体材料膨胀包含以下步骤:使所述第一柱状体材料氧化或使所述第一柱状体材料氮化中的一种或多种。
6.如权利要求1或2所述的方法,其中移除所述第一膜以暴露所述第一柱状体顶部包含化学机械平面化工艺。
7.如权利要求1或2所述的方法,其中移除所述第一柱状体材料包含以下步骤:蚀刻所述第一柱状体材料。
8.如权利要求7所述的方法,其中蚀刻所述第一柱状体材料包含以下步骤:使所述第一柱状体材料暴露于金属卤化物化合物。
9.如权利要求8所述的方法,其中所述金属卤化物化合物具有与所述第一柱状体材料不同的金属。
10.如权利要求1或2所述的方法,其中所述第二柱状体不同于所述第一柱状体材料。
11.如权利要求1或2所述的方法,其中移除所述第一膜以使所述基板具有所述第二柱状体包含以下步骤:蚀刻所述第一膜。
12.如权利要求11所述的方法,其中蚀刻所述第一膜包含以下步骤:使所述第一膜暴露于金属卤化物化合物。
13.一种处理方法,包含以下步骤:
提供具有基板表面的基板;
在所述基板中形成至少一个特征,所述至少一个特征从所述基板表面延伸到所述基板中,所述特征具有底部和侧壁;
在所述特征中形成第一柱状体,包含以下步骤:在所述特征中沉积金属并使所述金属膨胀而延伸出所述特征到第一柱状体顶部,所述第一柱状体从所述基板表面垂直地延伸;
在所述基板表面上沉积第一膜,使得所述第一膜覆盖所述基板表面和所述第一柱状体材料;
通过化学机械平面化移除所述第一膜以通过所述第一膜暴露所述第一柱状体顶部;
通过使所述第一材料暴露于金属卤化物化合物来蚀刻所述第一柱状体材料,以留下在所述基板中的空特征和穿过所述第一膜的通道;
在所述第一膜上沉积第二膜,使得所述第二膜填充所述基板中的所述特征和穿过所述第一膜的所述通道而形成第二柱状体,所述第二柱状体由不同于所述第一材料的材料制成;
通过化学机械平面化来移除所述第二膜以暴露所述第一膜和所述第二柱状体的顶部;和
通过使所述第一膜暴露于金属卤化物化合物来蚀刻所述第一膜以使所述基板在所述特征中具有垂直于所述基板表面延伸的所述第二柱状体。
CN201780069198.0A 2016-11-08 2017-11-07 用于图案化应用的自底向上的柱状体的几何控制 Pending CN109923662A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662419228P 2016-11-08 2016-11-08
US62/419,228 2016-11-08
PCT/US2017/060368 WO2018089351A1 (en) 2016-11-08 2017-11-07 Geometric control of bottom-up pillars for patterning applications

Publications (1)

Publication Number Publication Date
CN109923662A true CN109923662A (zh) 2019-06-21

Family

ID=62064094

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780069198.0A Pending CN109923662A (zh) 2016-11-08 2017-11-07 用于图案化应用的自底向上的柱状体的几何控制

Country Status (7)

Country Link
US (2) US10319591B2 (zh)
EP (1) EP3539154A4 (zh)
JP (1) JP2020501344A (zh)
KR (1) KR20190067939A (zh)
CN (1) CN109923662A (zh)
TW (1) TWI687978B (zh)
WO (1) WO2018089351A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687978B (zh) * 2016-11-08 2020-03-11 美商應用材料股份有限公司 用於圖案化應用之由下而上的柱體之幾何控制
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
JP2018199863A (ja) 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
TWI760540B (zh) 2017-08-13 2022-04-11 美商應用材料股份有限公司 自對準高深寬比結構及製作方法
SG11202001177SA (en) * 2017-09-05 2020-03-30 Applied Materials Inc Bottom-up approach to high aspect ratio hole formation in 3d memory structures
TWI778118B (zh) * 2017-09-05 2022-09-21 美商應用材料股份有限公司 來自次氧化物的自對準結構
KR20200137016A (ko) * 2018-04-27 2020-12-08 도쿄엘렉트론가부시키가이샤 접촉이 향상된 캡 층 형성용 영역 선택적 증착
WO2019236350A1 (en) * 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
WO2020033698A1 (en) 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self-assembled monolayers
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11164938B2 (en) * 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
TW202236453A (zh) * 2021-03-10 2022-09-16 新加坡商發明與合作實驗室有限公司 內連線結構及其製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050266627A1 (en) * 2004-01-29 2005-12-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US20060286806A1 (en) * 2005-06-21 2006-12-21 Matsushita Electric Industrial Co., Ltd Plasma etching method and plasma etching apparatus
JP2011018778A (ja) * 2009-07-09 2011-01-27 Panasonic Corp 自己組織化パターン形成方法
US20160260743A1 (en) * 2014-08-18 2016-09-08 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671970A (en) 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
KR0165813B1 (ko) 1995-04-12 1999-02-01 문정환 접속홀의 플러그 형성 방법
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
KR100223334B1 (ko) 1996-06-29 1999-10-15 김영환 반도체소자의 금속배선형성방법
TW415060B (en) * 1998-08-31 2000-12-11 United Microelectronics Corp Manufacturing method of self-aligned via hole in the multilevel interconnects
US6143653A (en) 1998-10-04 2000-11-07 Promos Technologies, Inc. Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss
KR20000026588A (ko) 1998-10-21 2000-05-15 윤종용 콘택홀을 갖는 반도체 장치 및 그 제조방법
JP2000216160A (ja) * 1999-01-27 2000-08-04 Hitachi Ltd 半導体製造方法、および半導体製造装置
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP2001015479A (ja) 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
JP2003507888A (ja) 1999-08-18 2003-02-25 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェーハ上に銅の特徴を生じさせる方法
US6576113B1 (en) 1999-10-29 2003-06-10 California Institute Of Technology Method of electroplating of high aspect ratio metal structures into semiconductors
US6373087B1 (en) 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US7192803B1 (en) 2000-10-13 2007-03-20 Bridge Semiconductor Corporation Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
JP2002252281A (ja) 2001-02-27 2002-09-06 Sony Corp 半導体装置およびその製造方法
US6528884B1 (en) 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
US7279119B2 (en) 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
AU2003228402A1 (en) 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7645677B2 (en) 2004-03-16 2010-01-12 Ishikawajima-Harima Heavy Industries Co., Ltd. Method for manufacturing semiconductor device
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7351648B2 (en) 2006-01-19 2008-04-01 International Business Machines Corporation Methods for forming uniform lithographic features
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7956465B2 (en) 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
JP2008108757A (ja) 2006-10-23 2008-05-08 Matsushita Electric Works Ltd 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
US7985977B2 (en) * 2007-12-11 2011-07-26 Hvvi Semiconductors, Inc. Sacrificial pillar dielectric platform
KR20100006646A (ko) * 2008-07-10 2010-01-21 삼성전자주식회사 텅스텐 재성장을 통한 금속 배선 패턴 및 그 배선 패턴형성 방법
KR101477661B1 (ko) 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
US8169031B2 (en) 2008-08-26 2012-05-01 International Business Machines Corporation Continuous metal semiconductor alloy via for interconnects
US8101456B2 (en) 2008-10-01 2012-01-24 International Business Machines Corporation Method to reduce a via area in a phase change memory cell
KR101026486B1 (ko) * 2008-10-22 2011-04-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
JP5133852B2 (ja) 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US8575753B2 (en) * 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2011060803A (ja) 2009-09-07 2011-03-24 Toshiba Corp 半導体装置
US8274065B2 (en) 2009-10-19 2012-09-25 Macronix International Co., Ltd. Memory and method of fabricating the same
US8148222B2 (en) * 2009-12-10 2012-04-03 Micron Technology, Inc. Cross-point diode arrays and methods of manufacturing cross-point diode arrays
US8778749B2 (en) 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
JP2011233922A (ja) 2011-07-20 2011-11-17 Ihi Corp 素子間分離領域の形成方法
US8946082B2 (en) 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
KR20130046664A (ko) * 2011-10-28 2013-05-08 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8860001B2 (en) 2012-04-09 2014-10-14 Freescale Semiconductor, Inc. ReRAM device structure
US20140029181A1 (en) 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US9245987B2 (en) 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9178011B2 (en) 2013-03-13 2015-11-03 Intermolecular, Inc. Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate
US9012322B2 (en) 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
WO2015047320A1 (en) 2013-09-27 2015-04-02 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
CN105493249B (zh) 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9362413B2 (en) 2013-11-15 2016-06-07 Cbrite Inc. MOTFT with un-patterned etch-stop
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9368395B1 (en) 2014-05-06 2016-06-14 Globalfoundries Inc. Self-aligned via and air gap
US9299745B2 (en) 2014-05-08 2016-03-29 GlobalFoundries, Inc. Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9281382B2 (en) * 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9627318B2 (en) 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
CN105470132B (zh) * 2014-09-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9735030B2 (en) 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
US20160260779A1 (en) 2015-03-06 2016-09-08 Kabushiki Kaisha Toshiba Non-volatile resistive random access memory device
US9362165B1 (en) 2015-05-08 2016-06-07 Globalfoundries Inc. 2D self-aligned via first process flow
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
WO2017136577A1 (en) 2016-02-02 2017-08-10 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
CN109791913A (zh) * 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
TW201833991A (zh) 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
TWI687978B (zh) 2016-11-08 2020-03-11 美商應用材料股份有限公司 用於圖案化應用之由下而上的柱體之幾何控制
US10403542B2 (en) 2017-06-10 2019-09-03 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050266627A1 (en) * 2004-01-29 2005-12-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US20060286806A1 (en) * 2005-06-21 2006-12-21 Matsushita Electric Industrial Co., Ltd Plasma etching method and plasma etching apparatus
JP2011018778A (ja) * 2009-07-09 2011-01-27 Panasonic Corp 自己組織化パターン形成方法
US20160260743A1 (en) * 2014-08-18 2016-09-08 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods

Also Published As

Publication number Publication date
US10930503B2 (en) 2021-02-23
TW201826347A (zh) 2018-07-16
TWI687978B (zh) 2020-03-11
EP3539154A1 (en) 2019-09-18
JP2020501344A (ja) 2020-01-16
WO2018089351A1 (en) 2018-05-17
EP3539154A4 (en) 2020-06-03
KR20190067939A (ko) 2019-06-17
US20180130657A1 (en) 2018-05-10
US10319591B2 (en) 2019-06-11
US20190252188A1 (en) 2019-08-15

Similar Documents

Publication Publication Date Title
CN109923662A (zh) 用于图案化应用的自底向上的柱状体的几何控制
CN109923642A (zh) 用于自对准图案化的方法
US11094544B2 (en) Methods of forming self-aligned vias
CN109314079A (zh) 金属及含金属化合物的氧化体积膨胀
CN110678981B (zh) 3d-nand器件中用于字线分离的方法
CN111052346B (zh) 自对准高深宽比结构及制作方法
KR20190067933A (ko) 패터닝을 위한 막들의 증착 및 처리
TW201839897A (zh) 自對準接觸圖案化之臨界尺寸控制
TW201931524A (zh) 金屬薄膜之高壓氧化

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190621