KR20190067939A - 패터닝 응용들을 위한 상향식 필러들의 기하형상 제어 - Google Patents

패터닝 응용들을 위한 상향식 필러들의 기하형상 제어 Download PDF

Info

Publication number
KR20190067939A
KR20190067939A KR1020197016118A KR20197016118A KR20190067939A KR 20190067939 A KR20190067939 A KR 20190067939A KR 1020197016118 A KR1020197016118 A KR 1020197016118A KR 20197016118 A KR20197016118 A KR 20197016118A KR 20190067939 A KR20190067939 A KR 20190067939A
Authority
KR
South Korea
Prior art keywords
film
substrate
filler
feature
metal
Prior art date
Application number
KR1020197016118A
Other languages
English (en)
Inventor
지칭 두안
아브히짓 바수 말릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190067939A publication Critical patent/KR20190067939A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

자기 정렬 프로세스에서 제1 필러 물질을 제2 필러 물질로 선택적으로 대체하는 단계를 포함하는 처리 방법들이 설명된다. 제1 필러 물질은 기판 표면에 직교로 성장되고, 제1 필러 물질과 실질적으로 유사한 형상 및 정렬을 남기도록 제2 필러 물질로 대체될 수 있다.

Description

패터닝 응용들을 위한 상향식 필러들의 기하형상 제어
본 개시내용은 일반적으로, 박막들을 증착 및 식각하는 방법들에 관한 것이다. 특히, 본 개시내용은 자기 정렬 패터닝을 형성하기 위한 프로세스들에 관한 것이다.
반도체 산업은 단위 면적당 더 많은 기능성을 얻기 위해 점점 더 작은 트랜지스터 치수들을 갖는 칩들을 빠르게 개발하고 있다. 디바이스들의 치수들이 계속 축소됨에 따라, 디바이스들 사이의 갭/공간도 계속 축소되어, 디바이스들을 서로 물리적으로 격리시키는 데에 어려움을 증가시킨다. 고품질 유전체 물질들로 종종 불규칙하게 성형되는 디바이스들 사이의 고종횡비 트렌치들/공간들/갭들을 충전하는 것은, 갭충전, 하드마스크들 및 스페이서 응용들을 포함하는 기존의 방법들로 구현하기에는 점점 더 난제가 되고 있다.
복잡하게 패터닝된 물질 층들을 기판 표면들 상에 생성하는 프로세스들에 의해 집적 회로들이 가능하게 된다. 패터닝된 물질들을 기판 상에 생성하는 것은, 노출된 물질의 제거를 위한 제어된 방법들을 필요로 한다. 포토레지스트의 패턴을 아래 놓인 층들 내에 전사하는 것, 층들을 박형화하는 것 또는 표면 상에 이미 존재하는 피쳐들의 측방향 치수들을 박형화하는 것을 포함하는 다양한 목적들을 위해 화학적 식각이 사용된다. 종종, 하나의 물질을 다른 물질보다 더 빠르게 식각하여, 예를 들어, 패턴 전사 프로세스들을 돕는 식각 프로세스를 갖는 것이 바람직하다. 그러한 식각 프로세스를 제1 물질에 대해 선택적이라고 한다. 물질들, 회로들 및 프로세스들의 다양성의 결과로서, 광범위한 물질들 중 하나 이상을 선택적으로 제거하는 식각 프로세스들이 개발되었다.
건식 식각 프로세스들은 물질을 반도체 기판들로부터 선택적으로 제거하는 데에 종종 사용된다. 건식 식각 프로세스는 최소의 물리적 교란으로 물질을 소형 구조들로부터 온화하게 제거하는 능력을 갖는다. 건식 식각 프로세스들은 또한, 기상 시약들을 제거하는 것에 의해 식각률이 갑자기 중단되는 것을 허용한다. 일부 건식 식각 프로세스들은 하나 이상의 전구체로부터 형성된 원격 플라즈마 부산물들에 대한 기판의 노출을 수반한다. 다양한 유전체들을 서로에 대해 선택적으로 제거하기 위해 많은 건식 식각 프로세스들이 최근에 개발되었다. 그러나, 금속 함유 물질들을 선택적으로 제거하기 위해서는 상대적으로 소수의 건식 식각 프로세스들이 개발되었다. 프로세스 순서를 다양한 금속 함유 물질들로 넓히기 위한 방법들이 필요하다.
회로들 및 반도체 디바이스들의 피쳐 크기가 전자 구성요소들의 더 높은 집적 밀도를 위해 계속 축소됨에 따라, 자기 정렬 콘택은 더욱 더 매력적이게 되어 가고, 비아 프로세스 동안 점점 더 발생하는 패터닝 어려움들을 해결하기 위해 매우 요구된다. 일반적으로, 자기 정렬 콘택을 만드는 것은 상향식 필러들을 필요로 하지만, 상향식 필러들은, 특히, 물질, 형상, 피쳐 크기, 방향 등이 고도로 제한될 때, 형성되기 매우 어렵다. 지금까지, 자기 정렬 콘택들을 위해 개발된 대부분의 상향식 필러들은, 미크론미만 피쳐 크기 및 제어불가능한 형상들을 갖고 수직으로 정렬된 전도성 금속들이다.
그러므로, 관련 기술분야에서는 자기 정렬 패터닝 응용들을 위한 새로운 방법들이 필요하다.
본 개시내용의 하나 이상의 실시예는, 기판 표면으로부터 기판 내로 연장되는 적어도 하나의 피쳐를 갖는 기판 표면을 갖는 기판을 제공하는 단계를 포함하는 처리 방법들에 관한 것이다. 피쳐는 바닥부 및 측벽들을 갖는다. 기판은 제1 물질을 포함한다. 제1 필러는 피쳐 내에 위치되고 피쳐로부터 제1 필러 최상부까지 연장된다. 제1 필러는 피쳐로부터 기판 표면에 직교로 연장된다. 제1 막은, 제1 막이 기판 표면 및 제1 필러 물질을 덮도록, 기판 표면 상에 증착된다. 제1 막은 제1 막을 통해 제1 필러 최상부를 노출시키기 위해 제거된다. 제1 필러 물질은 기판에 빈 피쳐를 남겨두고 제1 막을 통한 통로를 남겨두기 위해 제거된다. 제2 막은, 제2 필러를 형성하기 위해 제2 막이 기판의 피쳐 및 제1 막을 통한 통로를 충전하도록, 제1 막 상에 증착된다. 제2 막은 제1 막 및 제2 필러의 최상부를 노출시키기 위해 제거된다. 제1 막은, 기판을, 제2 필러가 피쳐에 있고 기판 표면에 직교로 연장되는 상태로 남겨두기 위해 제거된다.
본 발명의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 발명의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 발명의 전형적인 실시예들만을 예시하고 그러므로 본 발명의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 하나 이상의 실시예에 따른 기판 피쳐의 단면도를 도시하고;
도 2a 및 2b는 본 개시내용의 하나 이상의 실시예에 따른 갭충전 프로세스의 단면 개략도를 도시하고;
도 3은 본 개시내용의 하나 이상의 실시예에 따른 산화된 막의 단면도를 도시하고;
도 4는 본 개시내용의 하나 이상의 실시예에 따른 산화된 막의 단면도를 도시하고;
도 5a 내지 5c는 본 개시내용의 하나 이상의 실시예에 따른 프로세스의 단면 개략도를 도시하고;
도 6a 내지 6c는 본 개시내용의 하나 이상의 실시예에 따른 프로세스의 단면 개략도를 도시하고;
도 7a 내지 7d는 본 개시내용의 하나 이상의 실시예에 따른 프로세스의 단면 개략도를 도시하고;
도 8a 내지 15c는 본 개시내용의 하나 이상의 실시예에 따라 처리된 기판의 사시도 및 단면도들을 도시하고;
도 16의 (a) 내지 (f)는 본 개시내용의 하나 이상의 실시예에 따른 다양한 필러 형상들을 도시하고;
도 17의 (a) 내지 (g)는 본 개시내용의 하나 이상의 실시예에 따른 프로세스를 도시한다.
첨부 도면들에서, 유사한 구성요소들 및/또는 피쳐들은 동일한 참조 라벨을 가질 수 있다. 또한, 동일한 유형의 다양한 구성요소들은, 유사한 구성요소들을 구별하는 대시 및 제2 라벨을 참조 라벨 뒤에 둠으로써 구별될 수 있다. 제1 참조 라벨만이 본 명세서에 사용되는 경우, 본 설명은, 제2 참조 라벨에 관계없이, 동일한 제1 참조 라벨을 갖는 유사한 구성요소들 중 임의의 구성요소에 적용가능하다.
본 발명의 여러 예시적인 실시예들을 설명하기 전에, 본 발명은 이하의 설명에서 열거되는 구성 또는 프로세스 단계들의 세부사항들에 제한되지 않는다는 것을 이해해야 한다. 본 발명은 다른 실시예들이 가능하고, 다양한 방식들로 실시되거나 수행될 수 있다.
본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 막 처리가 수행되는, 임의의 기판 또는 기판 상에 형성되는 물질 표면을 지칭한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 물질들, 예컨대, 규소, 산화규소, 변형된 규소, 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 비정질 규소, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질들, 예컨대, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 발명에서, 기판 자체의 표면에 대한 직접적인 막 처리에 추가하여, 개시된 막 처리 단계들 중 임의의 단계는 또한, 아래에 더 상세히 개시되는 바와 같이 기판 상에 형성되는 하부 층에 대해 수행될 수 있으며, "기판 표면"이라는 용어는 문맥이 나타내는 바와 같이 그러한 하부 층을 포함하도록 의도된다. 따라서, 예를 들어, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
일부 집적 방식들에서, 산화물 막들(예를 들어, 텅스텐 산화물)은 유전체 및 금속 막들(예를 들어, 산화되지 않은 텅스텐)에 대해 선택적으로 식각된다. 본 개시내용의 실시예들은 금속 및 유전체 막들에 대해 선택적인 산화물들의 완전한 식각을 위해 금속 할로겐화물을 사용하는 방법들을 제공한다. 일부 경우들에서, 식각되는 산화물 막은 기판의 표면 위에 달라붙는다. 일부 실시예들에서, 식각되는 산화물 막은 유전체의 표면 위에 달라붙는다. 하나 이상의 실시예에서, 식각되는 산화물은 마스크에 의해 둘러싸인다.
본 개시내용의 하나 이상의 실시예는 임의의 등각 및/또는 저종횡비 내지 고종횡비의 갭/트렌치/보이드 충전 응용들에 대해 금속 산화물 막들을 증착시키는 방법들에 관한 것이다. 본 개시내용의 실시예들은 유리하게, 작은 치수들을 갖는 고종횡비(AR) 구조들에 막(예를 들어, 금속 산화물 막)을 증착시키는 방법들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 갭에 이음새를 형성하지 않고 갭들을 충전하는 방법들을 제공한다. 본 개시내용의 하나 이상의 실시예는 유리하게, 자기 정렬 비아들을 형성하는 방법들을 제공한다.
도 1은 피쳐(110)를 갖는 기판(100)의 부분 단면도를 도시한다. 도면들은 예시적인 목적들을 위해 단일 피쳐를 갖는 기판들을 도시하지만; 관련 기술분야의 통상의 기술자는 하나 초과의 피쳐들이 존재할 수 있다는 것을 이해할 것이다. 피쳐(110)의 형상은 트렌치들 및 원통형 비아들(그러나 이에 제한되지 않음)을 포함하는 임의의 적합한 형상일 수 있다. 이와 관련하여 사용되는 바와 같이, "피쳐"라는 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피쳐들의 적합한 예들은, 최상부, 2개의 측벽들 및 바닥부를 갖는 트렌치들, 표면으로부터 상방으로 연장되는 2개의 측벽들 및 최상부를 갖는 피크들, 및 개방 바닥부를 갖는 표면으로부터 하방으로 연장되는 측벽들을 갖는 비아들을 포함하지만, 이에 제한되지 않는다. 피쳐들은 임의의 적합한 종횡비(피쳐의 깊이 대 피쳐의 폭의 비율)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1 이상이다.
기판(100)은, 적어도 하나의 피쳐(110)가 기판 표면(120)에 개구부를 형성하는 기판 표면(120)을 갖는다. 피쳐(110)는 기판 표면(120)으로부터 바닥 표면(112)까지 깊이(D)만큼 연장된다. 피쳐(110)는, 피쳐(110)의 폭(W)을 한정하는, 제1 측벽(114) 및 제2 측벽(116)을 갖는다. 측벽들 및 바닥부에 의해 형성된 개방 영역은 또한, 갭 또는 트렌치로 지칭된다.
도 2a 및 2b를 참조하면, 처리를 위해 기판(100)이 제공된다. 이와 관련하여 사용되는 바와 같이, "제공된"이라는 용어는 기판이 추가 처리를 위한 위치 또는 환경 내에 배치된다는 것을 의미한다.
막(130)은 기판 표면(120) 및 피쳐(110)의 벽들 및 바닥부 상에 형성된다. 막(130)은, 화학 기상 증착, 플라즈마 강화 화학 기상 증착, 원자 층 증착, 플라즈마 강화 원자 층 증착 및/또는 물리 기상 증착(그러나 이에 제한되지 않음)을 포함하는 임의의 적합한 프로세스에 의해 형성된 임의의 적합한 막일 수 있다. 일부 실시예들에서, 막(130)은 원자 층 증착 또는 플라즈마 강화 원자 층 증착에 의해 형성된다.
일부 실시예들에서, 막(130)은 금속 막 또는 금속 함유 막이다. 적합한 금속 막들은 Co, Mo, W, Ta, Ti, Ru, Rh, Cu, Fe, Mn, V, Nb, Hf, Zr, Y, Al, Sn, Cr 및/또는 La 중 하나 이상을 포함하는 막들을 포함하지만, 이에 제한되지 않는다. 일부 실시예들에서, 금속 막은 텅스텐을 포함한다. 적합한 금속 함유 막들은 금속 막의 유도체들을 포함한다. 금속 막의 적합한 유도체들은, 질화물, 붕화물, 탄화물, 산질화물, 산붕화물, 산탄화물, 탄질화물, 붕탄화물, 붕질화물, 붕탄질화물, 붕산탄질화물, 산탄질화물, 붕산탄화물 및 붕산질화물을 포함하지만, 이들로 제한되지 않는다. 관련 기술분야의 통상의 기술자는 증착된 금속 막이 금속 막 내에 비-화학량론적 양의 원자들을 가질 수 있다는 것을 이해할 것이다. 예를 들어, WN으로 지정된 막은, "WN"의 사용에 의해 제안된 1:1 화학량론과 상이한 양들의 텅스텐 및 질소를 가질 수 있다. WN 막은, 예를 들어, 90 원자% 텅스텐일 수 있다. 텅스텐 질화물 막을 설명하기 위해 WN을 사용하는 것은 막이 텅스텐 및 질소 원자들을 포함한다는 것을 의미하며, 막을 특정 조성으로 제한하는 것으로 간주되어서는 안 된다. 일부 실시예들에서, 막은 본질적으로, 지정된 원자들로 구성된다. 예를 들어, 본질적으로 WN으로 구성된 막은 막의 조성이 약 95%, 98% 또는 99% 이상의 텅스텐 및 질소 원자들이라는 것을 의미한다. 일부 실시예들에서, 막(130)은 텅스텐을 포함한다. 일부 실시예들에서, 막(130)은 본질적으로 텅스텐으로 구성된다. 하나 이상의 실시예에서, 막은 티타늄을 포함한다. 일부 실시예들에서, 막은 본질적으로 티타늄 또는 티타늄 질화물로 구성된다.
일부 실시예들에서, 막(130)은 적어도 하나의 피쳐(110) 상에 등각으로 형성된다. 본원에서 사용되는 바와 같이, "등각" 또는 "등각으로"라는 용어는, 막의 평균 두께에 대해 1% 미만의 변동을 갖는 두께로, 노출된 표면들에 접착되어 이 표면들을 균일하게 덮는 층을 지칭한다. 예를 들어, 1,000 Å 두께의 막은 두께에서 10 Å 미만의 변동들을 가질 것이다. 이러한 두께 및 변동은 리세스들의 바닥부, 측들, 코너들, 및 에지들을 포함한다. 예를 들어, 본 개시내용의 다양한 실시예들에서 ALD에 의해 증착된 등각 층은, 복잡한 표면들 상의 본질적으로 균일한 두께의 증착된 영역에 걸친 적용범위를 제공할 것이다.
일부 실시예들에서, 막(130)은 연속적인 막이다. 본원에 사용되는 바와 같이, "연속적인"이라는 용어는, 증착된 층 아래에 놓인 물질을 드러내는 갭들 또는 노출 부위들 없이, 전체 노출된 표면을 덮는 층을 지칭한다. 연속적인 층은, 막의 전체 표면적의 약 1% 미만의 표면적을 갖는 갭들 또는 노출 부위들을 가질 수 있다.
일부 실시예들에서, 막(130)은 피쳐(110) 내에 실질적으로 이음새없이 형성된다. 일부 실시예들에서, 이음새(115)는 피쳐(110)의 폭(W) 내에 형성될 수 있다. 이음새(115)는 피쳐(110)의 벽들(114, 116) 사이에 형성되는 임의의 갭, 공간 또는 보이드일 수 있다.
그 다음, 막(130)은 금속 또는 금속 함유 막을 금속 산화물 막으로 변환하기 위해 산화제 또는 산화 조건들에 대한 노출에 의해 산화된다. 도 2b에 도시된 바와 같이, 산화성 변환은 본래의 막(130)의 체적 확장을 야기한다. 막(130)의 확장은 약 10% 내지 약 1000%의 범위, 또는 약 50% 내지 약 800%의 범위, 또는 약 100% 내지 약 700%의 범위일 수 있다. 일부 실시예들에서, 막(130)은 약 150%, 200%, 250%, 300% 또는 350% 이상의 양만큼 확장된다. 일부 실시예들에서, 막(130)은 약 300% 내지 약 400%의 범위의 양만큼 확장된다. 도 2b에 도시된 바와 같이, 막(130)의 확장은 이음새(115)가 충전되게 한다.
산화제는, O2, O3, N2O, H2O, H2O2, CO, CO2, NH3, N2/Ar, N2/He, N2/Ar/He 및 이들의 조합들(그러나 이에 제한되지 않음)을 포함하는 임의의 적합한 산화제일 수 있다. 일부 실시예들에서, 산화 조건들은 열 산화, 플라즈마 강화 산화, 원격 플라즈마 산화, 마이크로파 및 무선 주파수(예를 들어, ICP, CCP)를 포함한다.
막(130)의 산화는, 예를 들어, 산화제 및 막의 조성에 따라 임의의 적합한 온도에서 일어날 수 있다. 일부 실시예들에서, 산화는 약 25 ℃ 내지 약 1100 ℃ 범위의 온도에서 일어난다. 일부 실시예들에서, 산화는 약 250 ℃, 300 ℃, 350 ℃, 400 ℃, 450 ℃, 500 ℃, 또는 550 ℃ 이상의 온도에서 일어난다.
일부 실시예들에서, 막(130)은 약 25 Å 내지 약 200 Å 범위, 또는 약 50 Å 내지 약 150 Å 범위의 두께까지 증착된다. 하나 이상의 실시예에서, 막(130)은 약 50 Å의 두께까지 증착되고, 막에 형성된 이음새가 실질적으로 없다. 이음새의 형성은, 피쳐(110)가 막으로 충전되기 전에 막의 두께가 피쳐의 최상부 부분 상에서 종료되는 곳에서 일어난다. 일부 실시예들에서, 기판 표면은, 적어도 하나의 피쳐의 측벽들 사이에 이음새가 있는 막을 갖는다. 이와 관련하여 사용되는 바와 같이, "사이"라는 용어는 피쳐의 측벽과 이음새 사이에서 이음새의 어느 한 측 상에 일부 막이 존재한다는 것을 의미한다. 이음새는 정확하게 측벽들의 중심에 있는 것으로 제한되지 않는다.
산화에 의한 막(130)의 확장 동안, 갭(140)이 기판 표면(120)의 최상부에 형성된다. 갭(140)은 산화 환경과 일치하는 내용물들을 가질 수 있거나, 상이한 조성으로 이루어질 수 있다. 예를 들어, 질소 플라즈마를 사용하는 산화 환경은 질소 환경을 갖는 갭(140)을 형성할 수 있다.
도 3에 도시된 바와 같이, 확장 동안, 막(130)이 피쳐(110)로부터 직립 성장하도록 피쳐의 최상부 상에서 피쳐 형상의 충실도가 유지된다. 이와 관련하여 사용되는 바와 같이, "직립"이라는 용어는 막이 갭(140) 주위에 표면(144)을 형성하고, 피쳐 측벽(114)에 인접한, 표면(144)의 부분이 측벽(114)과 실질적으로 동일 평면 상에 있다는 것을 의미한다. 표면(144)은 측벽(114)과 동일 평면 상에 있으며, 측벽(114)과 표면(144)의 접합부에 형성된 각도는 ±10 °이다. 이러한 종류의 확장은, 등방성으로 성장하여 버섯 형상의 최상부를 형성할 것으로 예상되었다. 직선 세그먼트(142)를 형성하기 위한 막(130)의 확장은 예상되지 않았다.
일부 실시예들에서, 막(130)은 산화 전에 도펀트로 도핑된다. 도펀트는, 막(130)의 형성과 동시에, 또는 막(130) 증착과 순차적으로 별개의 프로세스에서 막(130) 내에 통합될 수 있다. 예를 들어, 막(130)을 증착시키는 것이 일어날 수 있고, 후속하여 동일한 프로세스 챔버 또는 상이한 프로세스 챔버에서 별개의 프로세스로 도펀트로 막(130)을 도핑한다. 일부 실시예들에서, 막(130)의 증착은 도핑과 함께 단일 프로세스에서 일어난다. 예를 들어, 막 전구체 및 도펀트는 막(130)을 형성하기 위해 처리 챔버 내로 공동 유동될 수 있다.
일부 실시예들은 선택적 처리 프로세스를 포함한다. 처리 프로세스는 막(130)의 일부 파라미터를 개선하기 위해 막을 처리한다. 일부 실시예들에서, 처리 프로세스는 막을 어닐링하는 것을 포함한다. 일부 실시예들에서, 처리는 증착 및/또는 환원을 위해 사용되는 동일한 프로세스 챔버에서의 인-시튜 어닐링에 의해 수행될 수 있다. 적합한 어닐링 프로세스들은 급속 열 처리(RTP) 또는 급속 열 어닐링(RTA), 스파이크 어닐링, 또는 UV 경화, 또는 e-빔 경화 및/또는 레이저 어닐링을 포함하지만, 이에 제한되지 않는다. 어닐링 온도는 약 500 ℃ 내지 900 ℃의 범위에 있을 수 있다. 어닐링 동안의 환경의 조성은 H2, Ar, He, N2, NH3, SiH4 등 중 하나 이상을 포함할 수 있다. 어닐링 동안의 압력은 약 100 mTorr 내지 약 1 atm(760 Torr)의 범위에 있을 수 있다.
도 4를 참조하면, 일부 실시예들에서, 피쳐(110)의 바닥 부분(131)에서보다 최상부 부분(직선 세그먼트(142))에서 더 많은 양의 산화가 존재한다. 일부 실시예들에서, 피쳐(110)의 바닥 부분(131)에서의 막(130)의 산화는 거의 없거나 전혀 없다. 도 5a 내지 5c는 피쳐(110)의 바닥부에 막을 증착시키는 방법을 도시한다. 막(130)은 임의의 적합한 기법에 의해 증착된다. 예를 들어, 도 5a에서, 텅스텐 막은 원자 층 증착에 의해 기판 상에 증착될 수 있다. 도 5b의 막(130)은 피쳐(110)를 충전하기 위해 산화되고 확장되었다. 막(130)의 최상부 부분(142)은 증착된 금속의 산화물(예를 들어, 텅스텐 산화물)을 포함하고, 막(130)의 바닥 부분(131)은 산화되지 않은 채로(예를 들어, 텅스텐 금속) 유지된다. 최상부 부분(142)과 바닥 부분(131) 사이의 차이는, 물질을 기판으로부터 선택적으로 식각하는 데에 사용될 수 있다. 도 5c에 도시된 바와 같이, 막(130)이 산화물들에 대해 선택적인 식각 프로세스에 증착되는 경우, 최상부 부분(142)에서의 산화물 막이 제거될 수 있고 바닥 부분(131)에서의 금속 막은 남긴다.
도 6a 내지 6c는 본 개시내용의 다른 실시예를 도시한다. 도 6a에서, 적어도 하나의 피쳐(110)를 갖는 기판(100)이 도시된다. 도 6b에 도시된 바와 같이, 막(130)이 피쳐(110)의 바닥부에 증착된다. 도 6c에서, 막(130)이 산화될 수 있고, 그에 의해 막은 피쳐(110)를 충전하도록 확장된다.
도 7a 내지 7d는, 자기 정렬 비아가 형성된, 본 개시내용의 다른 실시예를 도시한다. 도 7a에서, 산화된 막(130)을 갖는 기판이 제공된다. 도 7b에 도시된 바와 같이, 기판(100)의 표면(120)으로부터 막(130)의 최상부를 제거하기 위해 연마 또는 식각 프로세스가 수행될 수 있다. 막(130)은 피쳐들(110) 내에 남아 피쳐들을 충전한다. 도 7c에 도시된 바와 같이, 그 다음, 막(130)은 막(130)을 상방으로 성장하게 하도록 산화될 수 있다. 막(130)의 측들은, 피쳐들(110)로부터 연장되는 필러들이 존재하도록, 피쳐(110)의 측들과 실질적으로 동일 평면 상에 유지된다. 물질 층(160)이 기판(100)의 표면(120) 상에 증착된다. 도 7d에 도시된 바와 같이, 막(130)은 피쳐들(110)을, 물질 층(160)이 피쳐들(110)의 최상부 상에 정렬된 상태로 남겨두기 위해 (예를 들어, 식각에 의해) 제거될 수 있다.
도 8a 내지 15c는, 금속 층의 체적 확장을 포함하는 정렬 프로세스를 예시하는, 본 개시내용의 다른 실시예를 도시한다. 기판 표면(202)을 갖는 기판(200)이 제공된다. 기판 표면(202)은 제1 표면(212)을 갖는 제1 물질(210) 및 제2 표면(222)을 갖는 제2 물질(220)을 포함한다. 도면들에 도시된 실시예에서, 기판 표면(202)은 (도시된 평면 표면의 경우) 제1 표면(212) 및 제2 표면(222)의 결합된 표면들로 이루어진 전체 평면이다. 도시된 실시예는 제1 물질(210) 및 제2 물질(220)의 패턴을 포함하는 실질적으로 평탄한 물질을 사용한다.
제1 물질(210)과 제2 물질(220)은 상이한 물질들이다. 예를 들어, 일부 실시예들에서, 제1 물질(210)은 금속이고, 제2 물질(220)은 유전체이다. 제1 물질(210) 및 제2 물질(220)은 공통 원소들을 공유할 수 있지만, 상이한 표면 반응성들을 가질 수 있다. 일부 실시예들에서, 제1 물질(210)의 금속은 제2 물질(220)에는 실질적으로 존재하지 않는다. 이와 관련하여 사용되는 바와 같이, "실질적으로 존재하지 않는다"라는 용어는, 제2 물질(220)의 벌크 조성이, 원자 기준으로, 약 1% 미만의 금속을 포함한다는 것을 의미한다.
제1 물질(210)은 Co, Mo, W, Ta, Ti, Ru, Rh, Cu, Fe, Mn, V, Nb, Hf, Zr, Y, Al, Sn, Cr, 또는 La를 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 물질일 수 있다. 일부 실시예들에서, 제1 물질(210)은 Co, Mo, W, Ta, Ti, Ru, Rh, Cu, Fe, Mn, V, Nb, Hf, Zr, Y, Al, Sn, Cr 및/또는 La 중 하나 이상을 포함한다. 일부 실시예들에서, 제1 물질(210)은 Co, Mo, W, Ta, Ti, Ru, Rh, Cu, Fe, Mn, V, Nb, Hf, Zr, Y, Al, Sn, Cr, La 및 이들의 조합들로 구성된 군으로부터 선택된다. 실시예들에서, 적합한 금속들은 티타늄, 하프늄, 지르코늄, 바나듐, 니오븀, 탄탈럼, 크로뮴, 몰리브데넘, 텅스텐, 망가니즈, 레늄, 테크네튬, 철, 알루미늄 및 갈륨 중 하나 이상을 포함할 수 있다. 실시예들에서, 금속 층은 금속 원소를 포함하거나 이로 구성될 수 있다. 금속 원소는 하나 이상의 실시예에 따라 22, 23, 24, 40, 41, 42, 72, 73 또는 74의 원자번호를 가질 수 있다. 실시예들에서, 금속 원소는 주기율표의 4족, 5족 또는 6족 중 하나로부터일 수 있거나, 전이 금속일 수 있다. 하나 이상의 실시예에서, 제1 물질(210)은 텅스텐을 포함한다.
도 8b는, x-z 평면을 따라서 본, 도 8a의 기판(200)의 부분도를 도시한다. 도 8b, 9b, 10b, 11b, 12b, 13b, 14b 및 15b 각각은 동일한 위치에서 도시된다. 도 8c는, 제1 물질(210)을 통해 y-z 평면을 따라서 본, 도 8a의 기판(200)의 부분도를 도시한다. 도 8c, 9c, 10c, 11c, 12c, 13c, 14c 및 15c 각각은 동일한 위치에서 도시된다. 그러나, 예시의 목적들을 위해, 단면도 선들은 오직 도 8a에만 도시된다.
도 9a 내지 9c에 도시된 바와 같이, 마스크(230)가 기판 표면(202) 상에 형성된다. 마스크(230)는 마스크에 형성된 개구부(232)를 갖고, 이 개구부는 제1 표면(212) 및 제2 표면(222)의 적어도 일부를 노출시킨다. 도시된 마스크(230)는 직사각형 개구부(232)를 갖지만; 관련 기술분야의 통상의 기술자는, 이것이 단지 하나의 가능한 구성을 대표하는 것이고 다른 형상의 개구부들이 본 개시내용의 범위 내에 있다는 것을 이해할 것이다.
마스크(230)는, 합금화 작용제, 산화제, 또는 다른 반응성 작용제의, 마스크(230) 아래의 표면으로의 확산을 중단시킬 수 있는 임의의 적합한 물질로 만들어질 수 있다. 예를 들어, 도시된 마스크(230)는 산화제가 마스크(230)의 개구부(232) 내의 제1 표면(212) 및 제2 표면(222)과 접촉하는 것을 허용할 수 있지만, 개구부(232) 내에 있지 않은 표면들과의 그러한 상호작용은 방지할 것이다. 관련 기술분야의 통상의 기술자는 마스크(230)의 일부 측방향 확산 및 언더컷팅이 처리 동안 발생할 수 있다는 것을 이해할 것이다.
마스크는 관련 기술분야의 통상의 기술자에게 알려진 임의의 적합한 기법에 의해 형성될 수 있다. 예를 들어, 마스크(230)는 원자 층 증착, 화학 기상 증착, 플라즈마 강화 원자 층 증착, 플라즈마 강화 화학 기상 증착, 물리 기상 증착 또는 리소그래피 기법들 중 하나 이상에 의해 표면(202) 상에 증착 또는 형성될 수 있다.
도 10a 내지 10c에 도시된 바와 같이, 제1 물질(210)은 확장된다. 제1 물질(210)의 체적 확장은 임의의 적합한 수단, 예를 들어, 도 1 내지 7d와 관련하여 설명된 프로세스들에 의해 행해질 수 있다. 예를 들어, 제1 물질(210)은 제2 물질(220)에 비해 제1 물질(210)에 대해 선택적인 산화제에 노출될 수 있다. 산화제 노출은 제1 표면(212)으로부터 직교로 제1 물질(210)의 성장을 초래할 수 있다. 확장된 제1 물질(215)의 높이는 제1 물질(210)의 초기 높이로부터 증가되어, 제2 물질(220)의 높이를 초과하게 된다. 일부 실시예들에서, 확장된 제1 물질(215)의 높이는 마스크(230)의 높이를 초과한다.
일부 실시예들에서, 제1 물질(210)을 확장시키는 것은, 제1 물질(210)이 제1 표면(212)으로부터 마스크(230)의 개구부(232)를 통해, 마스크(230)를 초과한 높이까지 직립 확장되게 한다. 이와 관련하여 사용되는 바와 같이, "직립"이라는 용어는, 확장된 제1 물질(215)의 측들이, 기판 표면(202)에 대해 약 85° 내지 약 95°의 범위의 각도를 이루는 측벽(216)을 갖는 표면을 형성한다는 것을 의미한다.
일부 실시예들에서, 제1 물질(210)을 확장시키는 것은 제1 물질(210)을 산화 또는 질화시키는 것 중 하나 이상을 포함한다. 하나 이상의 실시예에서, 확장된 제1 물질(215)을 형성하기 위해 제1 물질(210)을 산화 또는 질화시키는 것은, O2, O3, N2O, H2O, H2O2, CO, CO2, NH3, N2H4, NO2, N2, N2/Ar, N2/He 또는 N2/Ar/He 중 하나 이상을 포함하는 산화제 또는 질화제에 제1 물질을 노출시키는 것을 포함한다. 일부 실시예들에서, 산화 조건들은 열 산화, 플라즈마 강화 산화, 원격 플라즈마 산화, 마이크로파 및 무선 주파수(예를 들어, ICP, CCP)를 포함한다.
예를 들어, 일부 실시예들에서, 제1 물질(210)은 금속 또는 금속 함유 막을 금속 산화물 막으로 변환하기 위해 산화제 또는 산화 조건들에 노출시킴으로써 산화된다. 도 10a에 도시된 바와 같이, 산화성 변환은 본래의 제1 물질(210)의 체적 확장을 야기한다. 제1 물질(210)의 확장은, 본래의(즉, 확장되기 전) 두께의 약 10% 내지 약 1000%의 범위에 있을 수 있다. 일부 실시예들에서, 제1 물질(210)은 본래의 두께의 약 50% 내지 약 800%의 범위로, 또는 약 100% 내지 약 700%의 범위로 확장된다. 일부 실시예들에서, 제1 물질(210)은 본래의 두께의 약 150%, 200%, 250%, 300% 또는 350% 이상의 양만큼 확장된다.
확장된 제1 물질(215)의 높이는 제1 표면(212)으로부터 측정된 임의의 적합한 높이일 수 있다.
제1 물질(210)의 (예를 들어, 산화에 의한) 확장은, 예를 들어, 산화제 및 제1 물질(210)의 조성에 따라 임의의 적합한 온도에서 일어날 수 있다. 일부 실시예들에서, 산화는 약 25 ℃ 내지 약 1100 ℃ 범위의 온도에서 일어난다. 일부 실시예들에서, 산화는 약 250 ℃, 300 ℃, 350 ℃, 400 ℃, 450 ℃, 500 ℃, 또는 550 ℃ 이상의 온도에서 일어난다.
확장된 제1 물질(215)은 측방향 성장이 거의 또는 전혀 없이 표면(202)으로부터 직교로 성장한다. 마스크(230)의 개구부(232)의 위치결정에 대한 공차들은, 직교 성장이 없는 프로세스에 대한 공차들 미만이다. 이는, 마스크(230)의 개구부(232)가, 개구부(232)에 의해 에워싸인 제1 표면들(212)의 양쪽 측 상에서 제2 표면(222) 상으로 연장되는 도 10a에 도시된다.
도 10b 및 10c의 단면도들은 제1 물질(210)과 확장된 제1 표면(215) 사이의 계면(217)을 도시한다. 도시된 계면(217)은 불연속 경계이지만; 관련 기술분야의 통상의 기술자는 계면이 일반적으로, 제1 물질(210)이, 확장된 제1 물질(215)로 전이되는 더 확산된 영역인 것을 이해할 것이다.
도 10c에 도시된 바와 같이, 제1 물질(210)의 확장은 소정의 양의 측방향 성장을 포함할 수 있다. 이는 확장된 제1 물질(215)의 역 버섯 형상에서 확인할 수 있다. 측방향 성장은 대칭적이거나 비대칭적일 수 있다.
도 11a 내지 11c를 참조하면, 마스크(230)는 기판 표면(202) 및 제1 표면(212)으로부터 직교로 연장되는 확장된 제1 물질(215)을 남겨두기 위해 기판(200)으로부터 제거된다.
일부 실시예들에서, 도 12a 내지 12c에 도시된 바와 같이, 방법은 제3 물질(240)을 기판 표면(202) 상에 증착시키는 단계를 더 포함한다. 제3 물질(240)은, 기판 표면(202) 및 제1 표면(212)으로부터 연장되는 직교 성장된 확장된 제1 물질(215)을 둘러싼다. 제3 물질(240)은 확장된 제1 물질(215)의 높이와 실질적으로 동일하거나 그 미만인 높이를 가질 수 있다. 일부 실시예들에서, 제3 물질(240)의 높이는, 도시된 바와 같이, 확장된 제1 물질(215)의 최상부가 제3 물질(240)에 의해 덮히지 않도록, 확장된 제1 물질(215)의 높이 미만이다.
갭충전 물질로 또한 지칭되는 제3 물질(240)은, 확장된 제1 물질(215)에 대해 기판 표면(202), 제1 물질(210)의 제1 표면(212) 및 제2 물질(220)의 제2 표면(222) 상에 선택적으로 증착된다. 예를 들어, 확장된 제1 물질(215)이 산화물(예를 들어, 텅스텐 산화물)을 포함하는 경우, 제3 물질(240)은 제1 물질의 산화물을 둘러싸는 표면 물질들보다 산화물에 대해 덜 반응성인 적합한 화학물질을 포함한다.
제3 물질(240)은 임의의 적합한 수단에 의해 증착될 수 있다. 예를 들어, 제3 물질(240)은 원자 층 증착, 플라즈마 강화 원자 층 증착, 화학 기상 증착, 플라즈마 강화 화학 기상 증착 또는 물리 기상 증착에 의해 증착될 수 있다.
제3 물질(240)은 확장된 제1 물질(215)에 대해 기판 표면(202) 상에 선택적으로 증착될 수 있는 임의의 적합한 물질일 수 있다. 하나 이상의 실시예에서, 제3 물질(240)은 유전체를 포함한다. 일부 실시예들에서, 제3 물질(240)은 제1 물질(210) 및 제2 물질(220)과 상이하다.
일부 실시예들에서, 도 13a 내지 13c에 도시된 바와 같이, 확장된 제1 물질(215)은 기판(200)으로부터 제거된다(예를 들어, 식각된다). 식각 또는 제거 프로세스는 제3 물질(240), 제1 물질(210) 및/또는 제2 물질에 비해, 확장된 제1 물질(215)에 대해 선택적일 수 있다. 확장된 제1 물질(215)의 식각은 제3 물질(240)을 통하는 개구부(245)를 남긴다. 도 13b 및 13c에서, 도 13a의 단면도가 도시된다. 식각은, 제1 물질(210)의 제1 표면(212)이, 도 8a의 제1 표면(212)의 초기 위치에 대해 하방으로 이동되도록, 확장된 제1 물질(215)의 실질적으로 전부를 제거한다.
확장된 제1 물질(215)의 식각은 임의의 적합한 기법에 의해 행해질 수 있다. 일부 실시예들에서, 확장된 제1 물질(215)을 식각하는 것은 확장된 제1 물질(215)을 금속 할로겐화물 화합물에 노출시키는 것을 포함한다. 일부 실시예들에서, 금속 할로겐화물 화합물은 제1 물질(210)과 상이한 금속을 갖는다.
일부 실시예들에서, 확장된 제1 물질(215)을 식각하는 것은, 금속 할로겐화물 전구체로 또한 지칭되는, 금속-및-할로겐 함유 전구체(예를 들어, WCl6)에 대한 노출을 포함한다. 금속 할로겐화물 전구체는 확장된 제1 물질(215)과 반응할 수 있다.
일부 실시예들에서, 금속 할로겐화물 전구체에 대한 노출은 확장된 제1 물질(215)과의 발열 반응을 야기하고, 기판 처리 영역에 플라즈마가 존재하지 않는다. 하나 이상의 실시예에 따르면, 기판 처리 영역에 진입하기 전에 플라즈마는 금속-할로겐화물 전구체를 여기시키지 않는다.
예시적인 비제한적인 프로세스에서, 제1 물질(210)은 텅스텐을 포함하고, WO3의 형태를 취할 수 있는 확장된 제1 물질(215) 텅스텐 산화물을 형성하기 위해 산소와의 반응에 의해 확장된다. WCl6(또는 가능하게는 WCl5)에 대한 WO3의 노출은, 모든 텅스텐 산화물이 제거될 때까지 표면을 떠나는 휘발성 WOCl4 및/또는 WO2Cl2를 형성한다. 일단 텅스텐 산화물 부분(또는 일반적으로, 금속 산화물 부분)이 제거되면 반응은 자발적으로 정지될 수 있다. 프로세스는 정수 횟수의 주기들로 반복될 수 있다. 각각의 주기는 본래의 텅스텐 막의 선택가능한 양(예를 들어, 1 또는 2개의 단층들)을 제거할 수 있다.
일부 실시예들에서, 금속 할로겐화물 전구체는, 금속 원소 및 할로겐 원소를 포함하는 2개 이상의 또는 오직 2개의 상이한 원소들을 포함한다. 금속 할로겐화물 전구체는 오직 금속 원소의 단일 원자만 포함하지만 (WCl6 및 WCl5에 대한 경우와 같이) 동일한 할로겐 원소의 다수의 원자들을 포함할 수 있다. 실시예들에서, 금속 할로겐화물의 금속 원소는 티타늄, 하프늄, 지르코늄, 바나듐, 니오븀, 탄탈럼, 크로뮴, 몰리브데넘, 텅스텐, 망가니즈, 레늄, 테크네튬, 철, 알루미늄 및 갈륨 중 하나 이상을 포함할 수 있다. 일부 실시예들에서, 금속 할로겐화물의 금속 원소는 22, 23, 24, 40, 41, 42, 72, 73 또는 74의 원자번호를 갖는다. 하나 이상의 실시예에서, 금속 원소는 주기율표의 4족, 5족 또는 6족의 원소를 포함하거나, 전이 금속들일 수 있다. 하나 이상의 실시예에 따르면, 할로겐 원소는 F 및 Cl 중 하나일 수 있다. 일부 실시예들에서, 할로겐 원소는 F, Cl, Br 및/또는 I 중 하나 이상일 수 있다. 일부 실시예들에서, 금속-및-할로겐 함유 전구체는 플루오린이 없다. 적합한 금속 할로겐화물 전구체들의 일부 예들은, 바나듐 오할로겐화물들, 탄탈럼 오할로겐화물들, 크로뮴 육할로겐화물들, 몰리브데넘 오할로겐화물들, 몰리브데넘 육할로겐화물들, 니오븀 오할로겐화물들, 텅스텐 오할로겐화물들, 텅스텐 육할로겐화물들, 및 망가니즈 사할로겐화물들을 포함하지만, 이에 제한되지 않는다. 일부 실시예들에서, 금속 할로겐화물 전구체들은 바나듐 할로겐화물들, 탄탈럼 할로겐화물들, 크로뮴 할로겐화물들, 몰리브데넘 할로겐화물들, 니오븀 할로겐화물들, 텅스텐 할로겐화물들 및/또는 망가니즈 할로겐화물들을 포함하지만, 이에 제한되지 않으며, 금속 원소의 산화 상태는 임의의 적합한 산화 상태일 수 있다.
일부 실시예들의 식각 프로세스들은 약 10:1 이상, 약 15:1 이상, 약 20:1 이상 또는 약 25:1 이상의 선택도를 갖는다.
일부 실시예들에서, 식각 프로세스들을 더 선택적이고 섬세하며 등방성으로 만들기 위해, 식각 프로세스에서 사용되는 국부 플라즈마가 거의 또는 전혀 없다. "플라즈마가 없는"이라는 용어는 본원에서, 플라즈마 전력이 기판 처리 영역에 인가되지 않는 동안의 또는 본질적으로 인가되지 않는 동안의 기판 처리 영역을 설명하기 위해 사용될 것이다. 설명된 식각제들(금속-및-할로겐 함유 전구체)는, 본원에서의 금속 함유 물질들을 식각하는 작동들 동안에 기판 처리 영역이 플라즈마가 없는 것을 가능하게 하는 효과적으로 유리한 식각 반응 경로들을 소유한다. 달리 말하면, 하나 이상의 실시예에 따라, 기판 처리 영역에서의 전자 온도는 0.5 eV 미만, 0.45 eV 미만, 0.4 eV 미만, 또는 0.35 eV 미만일 수 있다. 게다가, 실시예들에서, 금속-및-할로겐 함유 전구체는, 기판 처리 영역에 진입하기 전에 임의의 원격 플라즈마에서 여기되지 않았을 수 있다. 예를 들어, 원격 플라즈마 영역 또는 별도의 챔버 영역이 존재하고 할로겐 함유 전구체를 기판 처리 영역을 향해 안내하는 데에 사용되는 경우, 별도의 챔버 영역 또는 원격 플라즈마 영역은 본원에 정의되는 바와 같이 플라즈마가 없을 수 있다.
하나 이상의 실시예에 따르면, 금속-및-할로겐 함유 전구체들이 통과하는, 기판 처리 영역 및 임의의 원격 영역들은 "수소가 없을" 수 있다. 수소 및 -OH 기들은, 실시예들에서, 식각 프로세스가 방해되도록 금속들 및 금속 질화물들에 물질을 추가할 수 있다. 금속 막 또는 금속 질화물 막은 더 얇아지는 대신에 더 두꺼워질 수 있다. 기판 처리 영역에서의 수소의 존재는 유효한 식각 선택도를 감소시킬 수 있다.
일부 실시예들에서, 금속-및-할로겐 함유 전구체(예를 들어, WCl6)는 캐리어 가스와 함께 약 5 sccm 내지 500 sccm의 범위, 약 10 sccm 내지 300 sccm의 범위, 약 25 sccm 내지 200 sccm의 범위, 약 50 sccm 내지 150 sccm의 범위, 또는 약 75 sccm 내지 125 sccm의 범위의 유량으로 공급된다.
하나 이상의 실시예에 따르면, 반응들은 오직 기판 자체의 온도에 의해서만 여기되어, 열적으로 진행될 수 있다. 식각 반응을 일으키기 위해 기판의 온도를 사용하는 실시예들에서, "플라즈마가 없는"이라는 용어는 본원에서, 플라즈마 전력을 사용하지 않는 또는 본질적으로 사용하지 않는 응용 동안의 기판 처리 영역을 설명하기 위해 사용될 수 있다. 플라즈마 전력은 또한, 적절한 반응들이 진행될 수 있게 하기 위해 작은 임계량들 아래로 유지될 수 있다. 다양한 실시예들에서, 기판 처리 영역에 인가되는 플라즈마 전력은 100 와트 미만, 50 와트 미만, 30 와트 미만, 10 와트 미만일 수 있고, 0 와트일 수 있다. 실시예들에서, 기판 처리 영역 내의 압력은, 약 0.1 Torr 내지 50 Torr의 범위, 약 0.2 Torr 내지 30 Torr의 범위, 약 0.5 Torr 내지 20 Torr의 범위, 약 1 Torr 내지 10 Torr의 범위에 있을 수 있다.
도 14a 내지 14c를 참조하면, 일부 실시예들은 제3 물질(240)의 표면(247) 상에 갭충전 금속(250)을 증착시키는 것을 더 포함한다. 갭충전 금속(250)은 제3 물질(240)의 개구부들(245)을 충전하고, 도 14b 및 14c의 단면도들에 도시된 바와 같이, 제1 물질(210)과의 전기 콘택을 형성한다.
갭충전 금속(250)은 제1 물질(210)과 동일하거나 제1 물질(210)과 상이할 수 있는 임의의 적합한 금속일 수 있다. 일부 실시예들에서, 갭충전 금속(250)은 티타늄, 하프늄, 지르코늄, 바나듐, 니오븀, 탄탈럼, 크로뮴, 몰리브데넘, 텅스텐, 망가니즈, 레늄, 테크네튬, 철, 알루미늄 및 갈륨 중 하나 이상을 포함한다.
도 15a 내지 15c를 참조하면, 일부 실시예들은 갭충전 금속(250)을 제3 물질(240)의 표면(247)으로부터 제거하는 것을 더 포함한다. 표면(247)으로부터 갭충전 금속(250)을 제거하는 것은, 제3 물질(240)의 개구부들(245)에 갭충전 금속(250)을 남겨둔다. 이 프로세스는, 다른 프로세스에 의해 표면(247)의 최상부 상에 형성된 물질과 제1 물질(210) 사이에 전기 콘택을 제공하는 자기 정렬 비아를 생성할 수 있다.
갭충전 금속(250)의 제거는 임의의 적합한 기법에 의해 행해질 수 있다. 예를 들어, 식각 프로세스 또는 평탄화 프로세스이다. 일부 실시예들에서, 갭충전 금속(250)은 실질적으로 평활한 표면을 남기기 위해 물질을 연마함으로써 제거된다. 표면(247)을 연마하고 평활화하기 위해 화학적 기계적 평탄화(CMP) 기법들이 사용될 수 있다. 갭충전 금속(250)의 제거 동안, 소정의 양의 제3 물질(240)이 제거될 수 있다. 제거된 제3 물질(240)의 양은 대략 없거나, 제3 물질(240)의 본래의 두께의 약 95%까지일 수 있다.
본 개시내용의 추가적인 실시예들은, 다양한 형상들(예를 들어, 원형, 선형, 정사각형, 마름모형, 별형 등) 및 제어가능한 피쳐 크기(수 나노미터 내지 수백 나노미터)를 갖는 상향식 필러들을 형성하는 방법들에 관한 것이다. 필러는 수직 방향 및 수평 방향 모두로 성장될 수 있다. 도 16의 (a) 내지 (f)는 순서대로, 원형, 정사각형, 마름모형, 별형, 선형 및 수평형의 필러 형상들을 도시한다. 관련 기술분야의 통상의 기술자들은, 본 개시내용이, 예시된 형상들로 제한되지 않으며 다른 형상들이 본 개시내용의 범위 내에 있다는 것을 이해할 것이다.
상향식 필러들의 기하형상 제어가, 복잡하고 작은 피쳐들과 연관된 많은 패터닝 어려움들에 해결책들을 제공할 수 있다는 것이 발견되었다. 이러한 종류의 상향식 필러는 홀들 또는 트렌치들 구조들에 완전히 충전되고 제약된 특정 금속 물질들(예컨대, W, Ru, Mo, Os, V, Ta, Co, Mn 등)을 산화시킴으로써 형성된다. 금속이 산화되어 금속 산화물이 될 때, 체적 확장은 금속 산화물을 홀들 또는 트렌치들 밖으로 밀어내 필러들을 형성한다. 일부 실시예들에서, 노출된 표면을 통해 산소가 금속 물질들 내로 확산되어, 안정적인 금속 산화물을 금속 산화물과 금속 사이의 계면에서만 형성한다. 일부 실시예들에서, 일단 금속 산화물이 형성되면, 산소 확산은 이를 추가로 산화시키지 않아서, 산화물의 다른 상을 형성하지 않을 것이다. 일부 실시예들에서, 산화는 체적 확장을 야기하는데, 즉, 금속 산화물 체적은 금속의 체적보다 더 커야 한다. 홀 또는 트렌치 구조의 내부 및 개구부의 형상은 최종적인 필러 형상들을 국한시킬 것이다. 필러들의 피쳐 크기는 또한, 홀들 또는 트렌치들 구조들의 피쳐 크기에 의해 결정된다. 필러들의 방향은 홀 또는 트렌치가 어떻게 개방되는지에 따를 것이다. 구조 개구부가 수평 표면 상에 있는 경우, 자기 정렬 필러는 수직으로 성장할 것이다. 한편, 수평 필러는, 구조 개구부가, 깊은 트렌치 또는 홀의 측벽과 같은 수직 표면 상에 있을 때 형성될 수 있다.
산화 프로세스는, O2, O3, H2O, H2O2, N2O 등과 같은 많은 종류들의 산화 가스들을 사용할 수 있다. 프로세스는, 300 ℃ 내지 600 ℃까지 변화되는 온도를 갖는 플라즈마에 의해 열적으로 행해지거나 강화될 수 있다. 플라즈마에 대한 RF 전력은 200 W 내지 2000 W까지 변할 수 있고, 챔버 압력은 수 torr 내지 600 torr까지 변화할 수 있다.
필러 물질는 금속 산화물들로 제한되지 않는다. 일부 실시예들에서, 필러 물질은 금속 질화물 또는 금속 규화물을 포함한다. 일단 형성되면, 필러들은 도 17에 도시된 바와 같은 패터닝 프로세스를 통해 임의의 종류의 물질들, 예컨대, 금속, 유전체들, a-Si, a-C 등으로 대체될 수 있다.
도 17의 (a) 내지 (g)는 본 개시내용의 하나 이상의 실시예에 따른 처리 방법(300)을 예시한다. 기판(310)은, 적어도 하나의 피쳐(320)가 기판 표면(315)에 형성된 기판 표면(315)을 갖는다. 피쳐(320)는 바닥부(322) 및 측벽들(324)을 갖고, 피쳐(310)의 깊이를 한정하는, 기판 표면(315)으로부터의 거리만큼 연장된다.
기판(310)은 제1 물질로 만들어진다. 기판은 본원에 설명되고 논의된 바와 같은 임의의 적합한 물질일 수 있다. 제1 필러(330)는 피쳐(320) 내에 위치되고 피쳐(320)로부터 제1 필러 최상부(332)까지 연장된다. 제1 필러(330)는 피쳐(320)로부터 기판 표면(315)에 직교로 연장된다. 제1 필러(330)는, 예를 들어, 금속, 금속 산화물 또는 유전체일 수 있는 제1 필러 물질로 만들어진다.
일부 실시예들에서, 제1 필러(330)는 피쳐(320)에 형성된다. 일부 실시예들에서, 제1 필러(330)를 형성하는 것은 피쳐(320)에 제1 필러 물질(예를 들어, 금속)을 증착시키는 것, 및 기판 표면(315) 위로 연장되도록 제1 필러 물질을 직립 확장시키는 것을 포함한다. 일부 실시예들에서, 제1 필러(330) 물질을 확장시키는 것은 제1 필러(330) 물질을 산화 또는 질화시키는 것 중 하나 이상을 포함한다.
도 17의 (b)에 도시된 바와 같이, 제1 막(340)은, 제1 막(340)이 기판 표면(315) 및 제1 필러(330) 물질을 덮도록, 기판 표면(315) 상에 증착된다. 이러한 방식으로 사용되는 바와 같이, "덮도록", "덮는"은 제1 필러 최상부(332)가 그 위에 증착되거나 형성된 제1 막(340)의 적어도 일부를 갖는다는 것을 의미한다.
제1 막(340)은 임의의 적합한 기법에 의해 증착되거나 형성될 수 있다. 예를 들어, 제1 막(340)은 화학 기상 증착, 원자 층 증착, 플라즈마 강화 CVD 또는 ALD 프로세스, 또는 물리 기상 증착 프로세스에 의해 증착되거나 형성될 수 있다.
도 17의 (c)에 도시된 바와 같이, 제1 막(340)은 제1 막(340)을 통해 제1 필러 최상부(332)를 노출시키기 위해 제거된다. 제1 필러 최상부(332)를 노출시키기 위해 제1 막(340)을 제거하는 것은 임의의 적합한 기법에 의해 행해질 수 있다. 예를 들어, 제1 막(340)은 임의의 적합한 화학적 식각제를 사용하여 제1 막(340)을 식각하는 것에 의해, 또는 화학적 기계적 평탄화(CMP) 프로세스에 의해 제거될 수 있다. 일부 실시예들에서, 제1 막(340)은 제1 필러 최상부(332)를 노출시키기 위해 CMP에 의해 제거된다.
도 17의 (d)에 도시된 바와 같이, 제1 필러(330) 물질은, 기판 표면(315) 상에 제1 막(340)이 있는 기판(310)에 빈 피쳐(320)를 남겨두기 위해 제거될 수 있다. 빈 피쳐(320)는 제1 막(340)을 통해 기판(310)으로의 통로(345)를 형성한다.
제1 필러(330) 물질은 임의의 적합한 프로세스에 의해 제거될 수 있다. 일부 실시예들에서, 제1 필러(330) 물질을 제거하는 것은 제1 필러(330) 물질을 식각하는 것을 포함한다. 하나 이상의 실시예에서, 제1 필러(330) 물질은 제1 필러(330) 물질을 금속 할로겐화물 화합물에 노출시키는 것에 의해 식각된다. 일부 실시예들에서, 금속 할로겐화물 화합물은 제1 필러(330) 물질과 상이한 금속을 갖는다. 일부 실시예들에서, 금속 할로겐화물 화합물은 제1 필러(330) 물질과 동일한 금속을 갖는다.
도 17의 (e)에 도시된 바와 같이, 제2 막(350)은, 제2 필러(360)를 형성하기 위해 제2 막(350)이 기판(310)의 피쳐(320) 및 제1 막(340)을 통한 통로(345)를 충전하도록, 제1 막(340) 상에 증착되거나 형성된다. 제2 막(350)은 임의의 적합한 물질의 임의의 적합한 기법에 의해 증착될 수 있다. 일부 실시예들에서, 제2 필러(360)는 제1 필러(330) 물질과 상이하다.
도 17의 (f)에 도시된 바와 같이, 제2 막(350)은 제1 막(340) 및 제2 필러(360)의 최상부(362)를 노출시키기 위해 제거될 수 있다. 제2 필러(360) 최상부(362)를 노출시키기 위해 제2 막(350)을 제거하는 것은 임의의 적합한 기법에 의해 행해질 수 있다. 예를 들어, 제2 막(350)은 임의의 적합한 화학적 식각제를 사용하여 제2 막(350)을 식각하는 것에 의해, 또는 화학적 기계적 평탄화(CMP) 프로세스에 의해 제거될 수 있다. 일부 실시예들에서, 제2 막(350)은 제2 필러(360) 최상부(362)를 노출시키기 위해 CMP에 의해 제거된다. 일부 실시예들에서, 제2 필러(360)는 금속 또는 유전체를 포함한다.
도 17의 (g)에 도시된 바와 같이, 기판(310) 상에 남아있는 제1 막(340)은, 기판(310)을, 제2 필러(360)가 피쳐(320)에 있는 상태로 남겨두기 위해 제거될 수 있다. 제2 필러(360)는, 대체된 제1 필러(330)와 유사하게, 기판 표면(315)에 직교로 연장된다.
일부 실시예들에서, 제1 막(340)은 식각에 의해 기판(310)으로부터 제거된다. 하나 이상의 실시예에서, 제1 막(340)을 식각하는 것은 제1 막(340)을 금속 할로겐화물 화합물에 노출시키는 것을 포함한다.
하나 이상의 실시예에 따르면, 기판은 층을 형성하기 전에 및/또는 이후에 처리를 겪는다. 이러한 처리는 동일한 챔버에서 또는 하나 이상의 별개의 처리 챔버에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가의 처리를 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 처리 챔버로 직접 이동될 수 있거나, 기판은 제1 챔버로부터 하나 이상의 이송 챔버로 이동된 다음, 별개의 처리 챔버에 이동될 수 있다. 이에 따라, 처리 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터형 시스템" 등으로 지칭될 수 있다.
일반적으로, 클러스터 툴은, 기판 중심 찾기 및 배향, 탈기, 어닐링, 증착 및/또는 식각을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 이상의 실시예에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 처리 챔버들과 로드 록 챔버들 사이에서 그리고 그들 사이에서 기판들을 왕복시킬 수 있는 로봇을 수납할 수 있다. 이송 챔버는 전형적으로, 진공 조건으로 유지되며, 기판들을 하나의 챔버로부터, 클러스터 툴의 전단부에 위치된 로드 록 챔버 및/또는 다른 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 발명에 적응될 수 있는 2개의 잘 알려진 클러스터 툴은 센츄라®(Centura®) 및 엔듀라®(Endura®)이며, 이들 둘 모두는 캘리포니아주 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다. 그러나, 챔버들의 정확한 배열 및 조합은, 본원에 설명되는 바와 같은 프로세스의 특정 단계들을 수행할 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 처리 챔버들은, 주기적 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 식각, 사전 세정, 화학적 세정, 열 처리, 예컨대, RTP, 플라즈마 질화, 탈기, 배향, 히드록실화 및 다른 기판 프로세스들을 포함할 수 있지만, 이에 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염은, 후속 막을 증착시키기 전에 산화 없이 회피될 수 있다.
하나 이상의 실시예에 따르면, 기판은 계속적으로 진공 또는 "로드 록" 조건들 하에 있고, 한 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운"된다. 처리 챔버들 또는 이송 챔버들에 불활성 가스들이 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들의 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 실시예에 따르면, 반응물들이 증착 챔버로부터 이송 챔버 및/또는 추가적인 처리 챔버로 이동하는 것을 방지하기 위해, 퍼지 가스가 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
기판은 단일 기판 증착 챔버들에서 처리될 수 있으며, 여기서, 다른 기판이 처리되기 전에 단일 기판이 로딩되고, 처리되고 언로딩된다. 기판은 또한, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩되는, 컨베이어 시스템과 유사한 연속적인 방식으로 처리될 수 있다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가적으로, 처리 챔버는 캐러셀일 수 있고, 이 캐러셀에서, 다수의 기판들이 중심 축을 중심으로 이동되고 캐러셀 경로 전체에 걸쳐 증착, 식각, 어닐링, 세정 등의 프로세스들에 노출된다.
처리 동안, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키고 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것(그러나 이에 제한되지 않음)을 포함하는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는 기판 온도를 전도식으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예에서, 채용되는 가스들(반응성 가스들 또는 불활성 가스들)은 기판 온도를 국부적으로 변화시키기 위해 가열되거나 냉각된다. 일부 실시예들에서, 가열기/냉각기는 기판 온도를 대류식으로 변화시키기 위해, 챔버 내에 기판 표면에 인접하여 위치된다.
기판은 또한, 처리 동안 회전되거나 고정식일 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 적은 양만큼 회전될 수 있다. 처리 동안 기판을 (연속적으로 또는 단계들로) 회전시키는 것은, 예를 들어, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 식각을 생성하는 것을 도울 수 있다.
본 명세서 전체에 걸친 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정 피쳐, 구조, 물질, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 구문들의 출현들은, 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피쳐들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.
본 발명이 본원에서 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 본 발명의 원리들 및 응용들을 단지 예시하는 것임을 이해해야 한다. 본 발명의 방법 및 장치에 대해 다양한 수정들 및 변형들이 본 발명의 사상 및 범위로부터 벗어나지 않고 이루어질 수 있다는 것이 관련 기술 분야의 통상의 기술자에게 명백할 것이다. 따라서, 본 발명이, 첨부된 청구항들 및 그들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것이 의도된다.

Claims (13)

  1. 처리 방법으로서,
    기판 표면으로부터 기판 내로 연장되는 적어도 하나의 피쳐를 갖는 기판 표면을 갖는 기판을 제공하는 단계 ― 상기 피쳐는 바닥부 및 측벽들을 갖고, 상기 기판은 제1 물질을 포함하고, 제1 필러는 상기 피쳐 내에 위치되고 상기 피쳐로부터 제1 필러 최상부까지 연장되며, 상기 제1 필러는 상기 피쳐로부터 상기 기판 표면에 직교로 연장됨 ―;
    제1 막이 상기 기판 표면 및 상기 제1 필러 물질을 덮도록, 제1 막을 상기 기판 표면 상에 증착시키는 단계;
    상기 제1 막을 통해 상기 제1 필러 최상부를 노출시키기 위해 상기 제1 막을 제거하는 단계;
    상기 기판에 빈 피쳐를 남겨두고 상기 제1 막을 통한 통로를 남겨두기 위해, 상기 제1 필러 물질을 제거하는 단계;
    제2 필러를 형성하기 위해 제2 막이 상기 기판의 피쳐 및 상기 제1 막을 통한 통로를 충전하도록, 제2 막을 상기 제1 막 상에 증착시키는 단계;
    상기 제1 막 및 상기 제2 필러의 최상부를 노출시키기 위해 상기 제2 막을 제거하는 단계; 및
    상기 기판을, 상기 제2 필러가 상기 피쳐에 있고 상기 기판 표면에 직교로 연장되는 상태로 남겨두기 위해 상기 제1 막을 제거하는 단계를 포함하는, 처리 방법.
  2. 처리 방법으로서,
    기판 표면으로부터 기판 내로 연장되는 적어도 하나의 피쳐를 갖는 기판 표면을 갖는 기판을 제공하는 단계 ― 상기 피쳐는 바닥부 및 측벽들을 갖고, 상기 기판은 제1 물질을 포함함 ―;
    상기 피쳐 내에 있고 상기 피쳐로부터 제1 필러 최상부까지 연장되는 제1 필러를 형성하는 단계 ― 상기 제1 필러는 상기 피쳐로부터 상기 기판 표면에 직교로 연장됨 ―;
    제1 막이 상기 기판 표면 및 상기 제1 필러 물질을 덮도록, 제1 막을 상기 기판 표면 상에 증착시키는 단계;
    상기 제1 막을 통해 상기 제1 필러 최상부를 노출시키기 위해 상기 제1 막을 제거하는 단계;
    상기 기판에 빈 피쳐를 남겨두고 상기 제1 막을 통한 통로를 남겨두기 위해, 상기 제1 필러 물질을 식각하는 단계;
    상기 제1 필러와 상이한 물질을 포함하는 제2 필러를 형성하기 위해 제2 막이 상기 기판의 피쳐 및 상기 제1 막을 통한 통로를 충전하도록, 제2 막을 상기 제1 막 상에 증착시키는 단계;
    상기 제1 막 및 상기 제2 필러의 최상부를 노출시키기 위해 상기 제2 막을 제거하는 단계; 및
    상기 기판을, 상기 제2 필러가 상기 피쳐에 있고 상기 기판 표면에 직교로 연장되는 상태로 남겨두기 위해 상기 제1 막을 식각하는 단계를 포함하는, 처리 방법.
  3. 제1항에 있어서,
    상기 제1 필러를 형성하는 단계를 더 포함하는, 처리 방법.
  4. 제2항 또는 제3항에 있어서,
    상기 제1 필러를 형성하는 단계는 제1 필러 물질을 상기 피쳐에 증착시키는 단계 및 상기 기판 표면으로부터 연장되도록 상기 제1 필러 물질을 직립 확장시키는 단계를 포함하는, 처리 방법.
  5. 제4항에 있어서,
    상기 제1 필러 물질을 확장시키는 단계는 상기 제1 필러 물질을 산화 또는 질화시키는 단계 중 하나 이상을 포함하는, 처리 방법.
  6. 제1항 또는 제2항에 있어서,
    상기 제1 필러 최상부를 노출시키기 위해 상기 제1 막을 제거하는 단계는 화학적 기계적 평탄화 프로세스를 포함하는, 처리 방법.
  7. 제1항 또는 제2항에 있어서,
    상기 제1 필러 물질을 제거하는 단계는 상기 제1 필러 물질을 식각하는 단계를 포함하는, 처리 방법.
  8. 제7항에 있어서,
    상기 제1 필러 물질을 식각하는 단계는 상기 제1 필러 물질을 금속 할로겐화물 화합물에 노출시키는 단계를 포함하는, 처리 방법.
  9. 제8항에 있어서,
    상기 금속 할로겐화물 화합물은 상기 제1 필러 물질과 상이한 금속을 갖는, 처리 방법.
  10. 제1항 또는 제2항에 있어서,
    상기 제2 필러는 상기 제1 필러 물질과 상이한, 처리 방법.
  11. 제1항 또는 제2항에 있어서,
    상기 기판을 상기 제2 필러가 있는 상태로 남겨두기 위해 상기 제1 막을 제거하는 단계는 상기 제1 막을 식각하는 단계를 포함하는, 처리 방법.
  12. 제11항에 있어서,
    상기 제1 막을 식각하는 단계는 상기 제1 막을 금속 할로겐화물 화합물에 노출시키는 단계를 포함하는, 처리 방법.
  13. 처리 방법으로서,
    기판 표면을 갖는 기판을 제공하는 단계;
    적어도 하나의 피쳐를 상기 기판에 형성하는 단계 ― 상기 적어도 하나의 피쳐는 상기 기판 표면으로부터 상기 기판 내로 연장되고, 상기 피쳐는 바닥부 및 측벽들을 가짐 ―;
    금속을 상기 피쳐에 증착시키는 단계 및 상기 금속을 상기 피쳐로부터 제1 필러 최상부까지 연장시키기 위해 상기 금속을 확장시키는 단계를 포함하는, 제1 필러를 상기 피쳐에 형성하는 단계 ― 상기 제1 필러는 상기 기판 표면으로부터 직교로 연장됨 ―;
    제1 막이 상기 기판 표면 및 상기 제1 필러 물질을 덮도록, 제1 막을 상기 기판 표면 상에 증착시키는 단계;
    상기 제1 막을 통해 상기 제1 필러 최상부를 노출시키기 위해 상기 제1 막을 화학적 기계적 평탄화에 의해 제거하는 단계;
    상기 기판에 빈 피쳐를 남겨두고 상기 제1 막을 통한 통로를 남겨두기 위해, 상기 제1 필러 물질을 금속 할로겐화물 화합물에 노출시킴으로써 상기 제1 필러 물질을 식각하는 단계;
    상기 제1 물질과 상이한 물질로 만들어진 제2 필러를 형성하기 위해 제2 막이 상기 기판의 피쳐 및 상기 제1 막을 통한 통로를 충전하도록, 제2 막을 상기 제1 막 상에 증착시키는 단계;
    화학적 기계적 평탄화에 의해 상기 제1 막 및 상기 제2 필러의 최상부를 노출시키기 위해 상기 제2 막을 제거하는 단계; 및
    상기 기판을, 상기 제2 필러가 상기 피쳐에 있고 상기 기판 표면에 직교로 연장되는 상태로 남겨두기 위해 상기 제1 막을 금속 할로겐화물 화합물에 노출시킴으로써 상기 제1 막을 식각하는 단계를 포함하는, 처리 방법.
KR1020197016118A 2016-11-08 2017-11-07 패터닝 응용들을 위한 상향식 필러들의 기하형상 제어 KR20190067939A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662419228P 2016-11-08 2016-11-08
US62/419,228 2016-11-08
PCT/US2017/060368 WO2018089351A1 (en) 2016-11-08 2017-11-07 Geometric control of bottom-up pillars for patterning applications

Publications (1)

Publication Number Publication Date
KR20190067939A true KR20190067939A (ko) 2019-06-17

Family

ID=62064094

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197016118A KR20190067939A (ko) 2016-11-08 2017-11-07 패터닝 응용들을 위한 상향식 필러들의 기하형상 제어

Country Status (7)

Country Link
US (2) US10319591B2 (ko)
EP (1) EP3539154A4 (ko)
JP (1) JP2020501344A (ko)
KR (1) KR20190067939A (ko)
CN (1) CN109923662A (ko)
TW (1) TWI687978B (ko)
WO (1) WO2018089351A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687978B (zh) * 2016-11-08 2020-03-11 美商應用材料股份有限公司 用於圖案化應用之由下而上的柱體之幾何控制
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
JP2018199863A (ja) 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
TWI760540B (zh) 2017-08-13 2022-04-11 美商應用材料股份有限公司 自對準高深寬比結構及製作方法
SG11202001177SA (en) * 2017-09-05 2020-03-30 Applied Materials Inc Bottom-up approach to high aspect ratio hole formation in 3d memory structures
TWI778118B (zh) * 2017-09-05 2022-09-21 美商應用材料股份有限公司 來自次氧化物的自對準結構
KR20200137016A (ko) * 2018-04-27 2020-12-08 도쿄엘렉트론가부시키가이샤 접촉이 향상된 캡 층 형성용 영역 선택적 증착
WO2019236350A1 (en) * 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
WO2020033698A1 (en) 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self-assembled monolayers
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11164938B2 (en) * 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
TW202236453A (zh) * 2021-03-10 2022-09-16 新加坡商發明與合作實驗室有限公司 內連線結構及其製造方法

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671970A (en) 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
KR0165813B1 (ko) 1995-04-12 1999-02-01 문정환 접속홀의 플러그 형성 방법
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
KR100223334B1 (ko) 1996-06-29 1999-10-15 김영환 반도체소자의 금속배선형성방법
TW415060B (en) * 1998-08-31 2000-12-11 United Microelectronics Corp Manufacturing method of self-aligned via hole in the multilevel interconnects
US6143653A (en) 1998-10-04 2000-11-07 Promos Technologies, Inc. Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss
KR20000026588A (ko) 1998-10-21 2000-05-15 윤종용 콘택홀을 갖는 반도체 장치 및 그 제조방법
JP2000216160A (ja) * 1999-01-27 2000-08-04 Hitachi Ltd 半導体製造方法、および半導体製造装置
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP2001015479A (ja) 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
JP2003507888A (ja) 1999-08-18 2003-02-25 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェーハ上に銅の特徴を生じさせる方法
US6576113B1 (en) 1999-10-29 2003-06-10 California Institute Of Technology Method of electroplating of high aspect ratio metal structures into semiconductors
US6373087B1 (en) 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US7192803B1 (en) 2000-10-13 2007-03-20 Bridge Semiconductor Corporation Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
JP2002252281A (ja) 2001-02-27 2002-09-06 Sony Corp 半導体装置およびその製造方法
US6528884B1 (en) 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
US7279119B2 (en) 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
AU2003228402A1 (en) 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7211844B2 (en) * 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US7645677B2 (en) 2004-03-16 2010-01-12 Ishikawajima-Harima Heavy Industries Co., Ltd. Method for manufacturing semiconductor device
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2007005381A (ja) * 2005-06-21 2007-01-11 Matsushita Electric Ind Co Ltd プラズマエッチング方法、及びプラズマエッチング装置
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7351648B2 (en) 2006-01-19 2008-04-01 International Business Machines Corporation Methods for forming uniform lithographic features
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7956465B2 (en) 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
JP2008108757A (ja) 2006-10-23 2008-05-08 Matsushita Electric Works Ltd 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20090017631A1 (en) * 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
US7985977B2 (en) * 2007-12-11 2011-07-26 Hvvi Semiconductors, Inc. Sacrificial pillar dielectric platform
KR20100006646A (ko) * 2008-07-10 2010-01-21 삼성전자주식회사 텅스텐 재성장을 통한 금속 배선 패턴 및 그 배선 패턴형성 방법
KR101477661B1 (ko) 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
US8169031B2 (en) 2008-08-26 2012-05-01 International Business Machines Corporation Continuous metal semiconductor alloy via for interconnects
US8101456B2 (en) 2008-10-01 2012-01-24 International Business Machines Corporation Method to reduce a via area in a phase change memory cell
KR101026486B1 (ko) * 2008-10-22 2011-04-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
JP5133852B2 (ja) 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US8575753B2 (en) * 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2011060803A (ja) 2009-09-07 2011-03-24 Toshiba Corp 半導体装置
US8274065B2 (en) 2009-10-19 2012-09-25 Macronix International Co., Ltd. Memory and method of fabricating the same
US8148222B2 (en) * 2009-12-10 2012-04-03 Micron Technology, Inc. Cross-point diode arrays and methods of manufacturing cross-point diode arrays
US8778749B2 (en) 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
JP2011233922A (ja) 2011-07-20 2011-11-17 Ihi Corp 素子間分離領域の形成方法
US8946082B2 (en) 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
KR20130046664A (ko) * 2011-10-28 2013-05-08 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8860001B2 (en) 2012-04-09 2014-10-14 Freescale Semiconductor, Inc. ReRAM device structure
US20140029181A1 (en) 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US9245987B2 (en) 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9178011B2 (en) 2013-03-13 2015-11-03 Intermolecular, Inc. Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate
US9012322B2 (en) 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
WO2015047320A1 (en) 2013-09-27 2015-04-02 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
CN105493249B (zh) 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9362413B2 (en) 2013-11-15 2016-06-07 Cbrite Inc. MOTFT with un-patterned etch-stop
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9368395B1 (en) 2014-05-06 2016-06-14 Globalfoundries Inc. Self-aligned via and air gap
US9299745B2 (en) 2014-05-08 2016-03-29 GlobalFoundries, Inc. Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9281382B2 (en) * 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9627318B2 (en) 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9356047B2 (en) * 2014-08-18 2016-05-31 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
CN105470132B (zh) * 2014-09-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9735030B2 (en) 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
US20160260779A1 (en) 2015-03-06 2016-09-08 Kabushiki Kaisha Toshiba Non-volatile resistive random access memory device
US9362165B1 (en) 2015-05-08 2016-06-07 Globalfoundries Inc. 2D self-aligned via first process flow
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
WO2017136577A1 (en) 2016-02-02 2017-08-10 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
CN109791913A (zh) * 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
TW201833991A (zh) 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
TWI687978B (zh) 2016-11-08 2020-03-11 美商應用材料股份有限公司 用於圖案化應用之由下而上的柱體之幾何控制
US10403542B2 (en) 2017-06-10 2019-09-03 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps

Also Published As

Publication number Publication date
US10930503B2 (en) 2021-02-23
TW201826347A (zh) 2018-07-16
TWI687978B (zh) 2020-03-11
EP3539154A1 (en) 2019-09-18
JP2020501344A (ja) 2020-01-16
WO2018089351A1 (en) 2018-05-17
EP3539154A4 (en) 2020-06-03
US20180130657A1 (en) 2018-05-10
CN109923662A (zh) 2019-06-21
US10319591B2 (en) 2019-06-11
US20190252188A1 (en) 2019-08-15

Similar Documents

Publication Publication Date Title
US11094544B2 (en) Methods of forming self-aligned vias
US10930503B2 (en) Geometric control of bottom-up pillars for patterning applications
US10319604B2 (en) Methods for self-aligned patterning
TWI719262B (zh) 用於圖案化之薄膜的沉積與處理
KR20190005258A (ko) 금속들 및 금속 함유 화합물들의 산화성 부피 팽창
CN111052346B (zh) 自对准高深宽比结构及制作方法
US10770349B2 (en) Critical dimension control for self-aligned contact patterning
CN111066139A (zh) 来自次氧化物的自对准结构

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application