TW201939628A - 移除金屬氧化物的方法 - Google Patents
移除金屬氧化物的方法 Download PDFInfo
- Publication number
- TW201939628A TW201939628A TW108106634A TW108106634A TW201939628A TW 201939628 A TW201939628 A TW 201939628A TW 108106634 A TW108106634 A TW 108106634A TW 108106634 A TW108106634 A TW 108106634A TW 201939628 A TW201939628 A TW 201939628A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- metal
- insulating layer
- feature
- liner
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 130
- 229910044991 metal oxide Inorganic materials 0.000 title claims abstract description 60
- 150000004706 metal oxides Chemical class 0.000 title claims abstract description 60
- 239000000758 substrate Substances 0.000 claims abstract description 140
- 229910052751 metal Inorganic materials 0.000 claims description 131
- 239000002184 metal Substances 0.000 claims description 131
- 239000007864 aqueous solution Substances 0.000 claims description 31
- 238000000151 deposition Methods 0.000 claims description 23
- 239000010949 copper Substances 0.000 claims description 16
- 229910052721 tungsten Inorganic materials 0.000 claims description 16
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 15
- 239000010937 tungsten Substances 0.000 claims description 15
- 229910052802 copper Inorganic materials 0.000 claims description 14
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 13
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 claims description 13
- 229910001930 tungsten oxide Inorganic materials 0.000 claims description 13
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 claims description 10
- 239000004020 conductor Substances 0.000 claims description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 claims description 7
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 7
- 229910052707 ruthenium Inorganic materials 0.000 claims description 6
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 5
- 229910017052 cobalt Inorganic materials 0.000 claims description 4
- 239000010941 cobalt Substances 0.000 claims description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 4
- 239000000243 solution Substances 0.000 claims description 4
- 238000004140 cleaning Methods 0.000 claims description 3
- 239000012670 alkaline solution Substances 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 218
- 239000010408 film Substances 0.000 description 113
- 230000008569 process Effects 0.000 description 45
- 239000000463 material Substances 0.000 description 30
- 238000004519 manufacturing process Methods 0.000 description 25
- 238000005530 etching Methods 0.000 description 24
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 20
- 230000003647 oxidation Effects 0.000 description 17
- 238000007254 oxidation reaction Methods 0.000 description 17
- 238000001465 metallisation Methods 0.000 description 14
- 239000002585 base Substances 0.000 description 13
- 230000001590 oxidative effect Effects 0.000 description 13
- 238000012545 processing Methods 0.000 description 13
- 230000004888 barrier function Effects 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 238000004377 microelectronic Methods 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 9
- 239000010936 titanium Substances 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 239000003989 dielectric material Substances 0.000 description 8
- 239000007800 oxidant agent Substances 0.000 description 8
- 239000000377 silicon dioxide Substances 0.000 description 8
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- 150000002739 metals Chemical class 0.000 description 7
- 238000001451 molecular beam epitaxy Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- 239000013078 crystal Substances 0.000 description 6
- 239000010931 gold Substances 0.000 description 6
- 239000011810 insulating material Substances 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 6
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 6
- 239000000376 reactant Substances 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- 239000011651 chromium Substances 0.000 description 5
- 238000009413 insulation Methods 0.000 description 5
- 239000011572 manganese Substances 0.000 description 5
- 230000000873 masking effect Effects 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- 229910001092 metal group alloy Inorganic materials 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 239000002356 single layer Substances 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000003795 chemical substances by application Substances 0.000 description 3
- 229910052804 chromium Inorganic materials 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 3
- 238000007654 immersion Methods 0.000 description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N iron Substances [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 3
- 229910052748 manganese Inorganic materials 0.000 description 3
- 230000015654 memory Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- 230000003472 neutralizing effect Effects 0.000 description 3
- 230000005693 optoelectronics Effects 0.000 description 3
- 125000004430 oxygen atom Chemical group O* 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229910021426 porous silicon Inorganic materials 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- 239000004332 silver Substances 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- CDBYLPFSWZWCQE-UHFFFAOYSA-L Sodium Carbonate Chemical compound [Na+].[Na+].[O-]C([O-])=O CDBYLPFSWZWCQE-UHFFFAOYSA-L 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 229910052793 cadmium Inorganic materials 0.000 description 2
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000006056 electrooxidation reaction Methods 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 2
- -1 tungsten oxide Chemical class 0.000 description 2
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910020177 SiOF Inorganic materials 0.000 description 1
- VMHLLURERBWHNL-UHFFFAOYSA-M Sodium acetate Chemical compound [Na+].CC([O-])=O VMHLLURERBWHNL-UHFFFAOYSA-M 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910052783 alkali metal Inorganic materials 0.000 description 1
- 150000001340 alkali metals Chemical class 0.000 description 1
- 150000001447 alkali salts Chemical class 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000003637 basic solution Substances 0.000 description 1
- 239000008366 buffered solution Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000000994 depressogenic effect Effects 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001507 metal halide Inorganic materials 0.000 description 1
- 150000005309 metal halides Chemical class 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 150000007530 organic bases Chemical class 0.000 description 1
- 150000002892 organic cations Chemical class 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- RMAQACBXLXPBSY-UHFFFAOYSA-N silicic acid Chemical compound O[Si](O)(O)O RMAQACBXLXPBSY-UHFFFAOYSA-N 0.000 description 1
- 239000001632 sodium acetate Substances 0.000 description 1
- 235000017281 sodium acetate Nutrition 0.000 description 1
- 229910000029 sodium carbonate Inorganic materials 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02052—Wet cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32134—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01074—Tungsten [W]
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Weting (AREA)
Abstract
描述了從基板表面去除金屬氧化物的方法。本公開內容的一些實施方式利用鹼性水溶液以使用濕式方法從基板表面去除金屬氧化物。本公開內容的一些實施方式在大氣壓力和較低溫度下執行。還描述了形成自對準過孔的方法。
Description
相關申請的交叉引用
本申請要求2018年3月2日提交的美國臨時申請第62/637,730號的優先權,該申請的全部公開內容以引用的方式併入本文。
本公開內容的實施方式整體涉及用於從基板去除金屬氧化物材料的方法。另外的實施方式涉及產生過孔(via)的方法,所述過孔自對準而使得具有在相反方向上延續(run)的線的導電層連接。
對於許多材料,已經很好地建立了去除金屬氧化物(例如氧化鎢,WOx
)的乾式方法。金屬鹵化物可以通過熱方法(thermal method)去除金屬氧化物。例如,WOx
可以通過WCl5
、WF6
和其它氯基化學物質去除。其它方法利用電漿反應物。例如,氯氣(Cl2
)的電漿也可以用於去除WOx
。然而,仍然需要另外的製程,特別是那些可以在沒有真空、電漿或其它特殊製程條件下進行的製程。
典型地,在積體電路製造中,金屬化層中的金屬線的圖案化獨立於在該金屬化層上方或下方的過孔而執行。然而,常規的過孔製造技術不能提供完全的過孔自對準。在常規的技術中,形成以將上部金屬化層中的線連接到下部金屬化層的過孔通常未對準於下部金屬化層中的線。這種未對準增加過孔電阻並引起錯誤的金屬線的潛在短路。這些錯誤可能導致裝置故障、降低產量並增加製造成本。因此,還需要產生自對準過孔的方法。
本公開內容的一個或多個實施方式涉及一種方法,所述方法包括提供基板,所述基板具有介電基板表面,所述介電基板表面中形成有至少一個特徵。所述至少一個特徵從所述基板表面向所述基板中延伸某一距離,並且具有側壁和底部。所述至少一個特徵中還具有第一金屬氧化物膜。將所述基板暴露於鹼性水溶液以從所述特徵去除所述第一金屬氧化物膜而不影響與所述特徵相鄰的所述基板表面。
本公開內容的另外實施方式涉及一種方法,所述方法包括提供基板,所述基板具有基板表面,所述基板表面中形成有至少一個特徵。所述至少一個特徵從所述基板表面向所述基板中延伸某一距離,並且具有側壁和底部。所述至少一個特徵具有大於或等於約10:1的深寬比。所述至少一個特徵中具有氧化鎢膜。將所述基板暴露於氫氧化物水溶液以從所述特徵去除所述氧化鎢膜而不影響與所述特徵相鄰的所述基板表面。用包含水和異丙醇的溶液清洗(rinse)所述基板。
本公開內容的其它實施方式涉及一種提供自對準過孔的方法。所述方法包括提供基板,所述基板具有在多個第一絕緣層之間的多個第一導電線,所述多個第一導電線大體上共面並沿著第一方向延伸。所述第一導電線的部分是凹陷的。將襯裡沉積在所述第一導電線的凹陷部分上。在襯裡上形成第一金屬膜。將所述第一金屬膜氧化以形成包括所述第一金屬膜的氧化物的柱。在所述柱周圍沉積第二絕緣層。通過將所述基板暴露於鹼性水溶液以去除所述柱和所述第一金屬膜來去除所述柱以在所述第二絕緣層中形成過孔而不影響所述第一絕緣層、所述襯裡或所述第二絕緣層。
在以下描述中,闡述許多細節諸如材料、化學物質、元件尺寸等,以便提供對本公開內容的一個或多個實施方式的透徹理解。本領域的一般技術人員將理解,可以在沒有這些細節的情況下實踐本公開內容的一個或多個實施方式。在其它情況下,沒有詳細地描述半導體製造製程、技術、材料、設備等,以避免不必要地使本說明書模糊。通過所包括的描述,本領域的一般技術人員將能夠實現適當的功能性而無需進行過多實驗。
雖然描述並且在附圖中示出本公開內容的某些示例性實施方式,但是將理解,這些實施方式僅是說明性的,而不是對本公開內容的限制,並且本公開內容不限於所示出和描述的具體結構和佈置,因為本領域的一般技術人員可以進行修改。
在本說明書全文中提到「一個實施方式」、「另一個實施方式」或「實施方式」意味著結合實施方式描述的特定特徵、結構或特性包括在本公開內容的至少一個實施方式中。因此,本說明書全文各處出現諸如「在一個實施方式中」或「在實施方式中」的短語不一定全都是指本公開內容的相同實施方式。此外,特定特徵、結構或特性可以以任何合適的方式組合在一個或多個實施方式中。
在描述本公開內容的若干示例性實施方式前,將理解,本公開內容不限於以下描述中闡述的構造或製程步驟的細節。本公開內容也能夠具有其它實施方式並以各種方式實踐或實施。
在本說明書和所附申請專利範圍中使用的術語「基板」是指製程所作用的表面或表面的部分。本領域的技術人員還將理解,提及基板可以僅指基板的一部分,除非上下文清楚地另外指明。另外,提及在基板上沉積可以是指裸露基板(bare substrate)和在基板上沉積或形成有一或多個膜或特徵的基板兩者。
如本文所用的「基板」是指任何基板或在基板上形成的材料表面,在製造製程期間在所述任何基板或在基板上形成的材料表面上執行膜處理。例如,可執行處理的基板表面包括某些材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石和任何其它材料(諸如金屬、金屬氮化物、金屬合金和其它導電材料),這取決於應用。基板包括但不限於半導體晶圓。基板可以暴露於預處理製程以對基板表面進行拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束(e-beam)固化和/或烘烤。除了直接地在基板本身的表面上的膜處理之外,在本公開內容中,所公開的膜處理步驟中的任一者也可以在基板上形成的下層上執行,如下文更詳細地公開的,並且術語「基板表面」旨在包括如上下文指示的這種下層。因此,例如,在膜/層或部分膜/層已經沉積到基板表面上的情況下,新沉積的膜/層的暴露表面成為基板表面。
本公開內容的一個或多個實施方式有利地提供用於去除金屬氧化物的方法。本公開內容的一些實施方式有利地提供去除金屬和金屬氧化物兩者的用於去除金屬氧化物的方法。本公開內容的一些實施方式有利地提供可以在大氣壓力下和/或在較低的基板溫度下執行的去除金屬氧化物的方法。本公開內容的一些實施方式有利地提供可以在不使用苛刻的(harsh)反應物(例如電漿)的情況下執行的去除金屬氧化物的方法。本公開內容的一些實施方式有利地提供可以在不淨化反應腔室的情況下執行的去除金屬氧化物的方法。
一個或多個實施方式提供自對準過孔,自對準過孔有利地減弱未對準問題並避免錯誤的金屬線的短路。一些實施方式的自對準過孔提供比常規的過孔更低的過孔電阻和電容益處。本公開內容的一些實施方式提供過孔與金屬化層的導電線之間的完全對準。本公開內容的一些實施方式提供自對準過孔,自對準過孔大體上沒有錯誤並有利地增加元件產量和降低元件成本。
本公開內容的一些實施方式提供從基板特徵(例如,溝槽或過孔)去除金屬氧化物膜而不影響與特徵相鄰的基板表面的方法。換句話說,本公開內容的一些實施方式提供相對於介電質(例如,SiN)從基板特徵選擇性蝕刻金屬氧化物膜的方法。
本文所述的一些方法被認為是濕式方法,更具體地是濕式蝕刻方法。如本文所用,「濕式方法」是依賴於使用液相反應物的那些方法。這些方法與「乾式方法」形成對比,「乾式方法」不依賴於液體反應物而替代地依賴於氣態反應物(熱的或含離子的),或不依賴於反應物(例如,機械蝕刻方法)。
本公開內容的一個或多個實施方式涉及用於從基板表面去除金屬氧化物的方法。在一些實施方式中,從基板表面的特徵內去除金屬氧化物。參照圖1A至圖1C,本公開內容的一個或多個實施方式涉及一種方法10,方法10包括提供基板15,基板15具有介電基板表面20,介電基板表面20中形成有至少一個特徵30。為清楚起見,圖1A圖示在特徵30內沒有任何材料的基板15。
一般地講,出於說明目的,附圖示出具有兩個特徵的基板;然而,本領域的技術人員將理解,可以不存在特徵、存在少於兩個的特徵或存在多於兩個的特徵。如就此所用,術語「特徵」是指任何有意的表面不規則處。特徵30的形狀可以是任何合適的形狀。特徵的合適示例包括但不限於具有一個頂部、兩個側壁和一個底部的溝槽、具有頂部和從表面向上延伸的兩個側壁的尖峰和具有從表面向下延伸的連續側壁和敞開底部或由與側壁不同的材料形成的底部的過孔。
在具體實施方式中,特徵30是溝槽。出於本公開內容目的,溝槽具有頂部、從表面向下延伸到底部的兩個側壁。如圖所示,每個側壁31、32可以大體上正交於底部35,或可以相對於底部35以不同於90度的角度傾斜,使得開口在基板表面20處大於在特徵30的下部部分處。
在一些實施方式中,特徵30從基板表面20向基板15中延伸距離D而至底部35。特徵30具有第一側壁31和第二側壁32,第一側壁31和第二側壁32限定特徵30的寬度W。
特徵的深寬比是特徵的深度D相對於特徵的寬度W的比率。較高深寬比特徵將具有比較低深寬比特徵更窄/更長的形狀。在一些實施方式中,特徵具有大於或等於約3:1、4:1、5:1、6:1、7:1、8:1、9:1、10:1、15:1、20:1、25:1或30:1的深寬比。
如圖1B所示,在一些實施方式中,特徵30中具有第一金屬氧化物膜40。在一些實施方式中,第一金屬氧化物膜40在基板表面20上方延伸。在一些實施方式中,第一金屬氧化物膜40與基板表面20大體上共面。在一些實施方式中,第一金屬氧化物膜40完全地在特徵30內,使得第一金屬氧化物膜40的頂部在基板表面20下方。第一金屬氧化物膜40包括第一金屬。換句話說,第一金屬氧化物膜包括第一金屬的氧化物。例如,如果第一金屬包括鎢,那麼第一金屬氧化物將會包括氧化鎢(WOx
)。
第一金屬可以是能夠形成金屬氧化物的任何合適的金屬。第一金屬可以包括Co、Mo、W、Ta、Ti、Ru、Rh、Cu、Fe、Mn、V、Nb、Hf、Zr、Y、Al、Sn、Cr或La中的一種或多種或由上述材料中的一種或多種組成。在一些實施方式中,第一金屬作為金屬合金包括金屬的組合。在一些實施方式中,第一金屬包括鎢。在一些實施方式中,第一金屬由鎢組成。在一些實施方式中,第一金屬基本上由鎢組成。如以此方式所用,術語「基本上由……組成」意味著膜的金屬組成以原子計大於或等於陳述的金屬的約95%、98%或99%。
方法10將基板15暴露於鹼性水溶液以從特徵30去除第一金屬氧化物膜40而不影響與特徵30相鄰的基板表面20。將基板暴露於鹼性水溶液可以通過任何合適的濕法製程執行。在一些實施方式中,通過在靜態條件或動態(即,活動流動(active flowing))條件下浸入、浸沒或浸泡來將基板暴露於鹼性水溶液。
在一些實施方式中,方法10在環境條件(即,處理/製造環境的類似壓力和氣體組成)下執行。在一些實施方式中,方法10在不使用真空的情況下執行。在一些實施方式中,方法10在不使用電漿的情況下執行。
如圖1C所示,在一些實施方式中,特徵30具有第一金屬膜50,並且第一金屬氧化物膜40在第一金屬膜50上。本領域的技術人員應理解,第一金屬氧化物膜40和第一金屬膜50可以包括相同的第一金屬或可以具有不同的金屬。在一些實施方式中,第一金屬膜50包括與第一金屬氧化物膜40相同的金屬。
方法10將基板15暴露於鹼性水溶液以從特徵30去除第一金屬氧化物膜40和第一金屬膜50而不影響與特徵30相鄰的基板表面20。
在一些實施方式中,第一金屬膜50形成在特徵30中,並且第一金屬膜50部分氧化以在第一金屬膜50上形成第一金屬氧化物膜40。在第一金屬膜50在特徵30中完全地氧化的情況下,將會不再存在第一金屬膜50,並且將會產生圖1B所示的實施方式。
在一些實施方式中,使用沉積技術中的一種來沉積第一金屬膜50,沉積技術諸如但不限於ALD、CVD、PVD、MBE、MOCVD、旋塗或微電子元件製造領域的一般技術人員已知的其它沉積技術。
使第一金屬膜50氧化可以通過任何合適的方法或技術完成。在一些實施方式中,第一金屬膜50通過暴露於氧化劑或氧化條件而被氧化以將第一金屬膜50轉變為第一金屬氧化物膜40。技術人員將認識到膜的氧化可以是化學氧化(即,添加氧原子)或電化學氧化(即,從零價金屬去除電子以形成氧化金屬)。氧化劑可以是任何合適的氧化劑,包括但不限於O2
、O3
、N2
O、H2
O、H2
O2
、CO、CO2
、NH3
、N2
/Ar、N2
/He、N2
/Ar/He或上述項的任何組合。在一些實施方式中,氧化條件包括熱氧化、電漿增強氧化、遠端電漿氧化、微波和射頻氧化(例如,電感耦合電漿(ICP)、電容耦合電漿(CCP))。在一些實施方式中,使第一金屬膜50氧化造成形成包括第一金屬的原子和氧原子的膜,或基本上由第一金屬原子和氧原子組成的膜。如以此方式所用,術語「基本上由......組成」意味著膜的組成以任何合適的比例大於或等於陳述的元件的約95%、98%、99%或99.5%。
在一些實施方式中,第一金屬氧化物膜40是通過在任何合適的溫度下氧化第一金屬膜50而形成,所述溫度取決於例如第一金屬膜50的組成和氧化劑。在一些實施方式中,氧化在約25℃至約800℃範圍中的溫度下發生。在一些實施方式中,第一金屬膜50的氧化在大於或等於約50℃、100℃、150℃、200℃、250℃、300℃、350℃、400℃、450℃、500℃、550ºC、600ºC、650ºC、700ºC、750ºC或800ºC的溫度下發生。
在一些實施方式中,特徵30包括襯裡。如在此方面所用,包括襯裡的特徵具有定位在基板與沉積在特徵內的膜或其它材料之間的襯裡。在一些實施方式中,襯裡定位在特徵30的側壁31、32上。在一些實施方式中,襯裡定位在特徵30的側壁31、32和底部35上。在一些實施方式中,襯裡還定位在特徵30外部的基板表面20上。
在一些實施方式中,沉積襯裡以保護相鄰材料在之後的製程中不會改變性質或在之後的製程中用作蝕刻停止。在一些實施方式中,沉積襯裡以防止蝕刻在襯裡下方的材料。在一些實施方式中,襯裡是導電襯裡。在另一個實施方式中,襯裡是非導電襯裡。在一些實施方式中,當襯裡是非導電襯裡時,方法進一步包括在之後的製程中去除襯裡,如下面進一步詳細描述的。在一些實施方式中,襯裡大體上不包括金屬。如在此方面所用,「大體上不包括金屬」意味著襯裡包括以原子計小於2%、1%或0.5%的金屬。在一些實施方式中,襯裡是氮化物,例如氮化矽(SiN)。在一些實施方式中,襯裡包括SiN或SiCN中的一種或多種。在一個實施方式中,襯裡具有在約0.1nm至約50nm的範圍內、或在約0.5nm至約30nm的範圍內或在約1nm至約20nm的範圍內的厚度。
如圖2A至圖2C所示,在一些實施方式中,特徵30包括第二金屬膜60。如圖2A所示,在一些實施方式中,第二金屬膜60在襯裡70下方。在一些實施方式(未示出)中,基板表面20還具有襯裡70。在一些實施方式中,襯裡僅存在於特徵30內。在一些實施方式中,襯裡70僅存在於特徵的底部處。
第二金屬膜60包括第二金屬。在一些實施方式中,第二金屬不同於第一金屬。第二金屬可以是任何合適的金屬或金屬合金。在一些實施方式中,第二金屬包括以下項中的一種或多種、基本上由以下項中的一種或多種組成或由以下項中的一種或多種組成:銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr),鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hi)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Au)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)或鎘(Cd)。在一些實施方式中,第二金屬包括銅、鈷或釕中的一種或多種。
如圖2B中所示,在一些實施方式中,特徵30具有在襯裡70下方的第二金屬膜60和在襯裡70上的第一金屬氧化物膜40。方法10將基板15暴露於鹼性水溶液以從特徵30去除第一金屬氧化物膜40而不影響襯裡70或在下面的第二金屬膜60。在未示出的一些實施方式中,與特徵30相鄰的基板表面20也不受影響。
如圖2C所示,在一些實施方式中,特徵30具有在襯裡70下方的第二金屬膜60、在襯裡上的第一金屬膜50和在第一金屬膜50上的第一金屬氧化物膜40。方法10將基板15暴露於鹼性水溶液以從特徵30去除第一金屬氧化物膜40和第一金屬膜50而不影響襯裡70。在未示出的一些實施方式中,與特徵30相鄰的基板表面20也不受影響。
鹼性水溶液包括水和可溶性鹼。在一些實施方式中,鹼性水溶液包含具有小於或等於約5、小於或等於約4.8、小於或等於約4.75、小於或等於約4.7、小於或等於約4.5、小於或等於約3、小於或等於約2、或小於或等於約1的pKb
的鹼。在一些實施方式中,鹼包括有機鹼(例如,吡啶、甲胺、咪唑、有機陽離子的氫氧化物)。在一些實施方式中,鹼包括鹼性鹽(例如,碳酸鈉、乙酸鈉、具有水解形成鹼性溶液的弱酸組分的化合物)。在一些實施方式中,鹼包括鹼金屬。在一些實施方式中,鹼包括氫氧根離子。在一些實施方式中,鹼包括NaOH、KOH或NH4
OH中的一種或多種。
在一些實施方式中,鹼性水溶液具有約0.1 M至約10 M、或約0.5 M至約5 M、或約1 M至約2 M範圍中的氫氧化物濃度。在一些實施方式中,鹼性水溶液具有小於或等於約10 M、小於或等於約5 M、小於或等於約2 M、小於或等於約1 M、小於或等於約0.5 M、小於或等於約0.2 M、或小於或等於約0.1 M的氫氧化物濃度。
方法10可以在任何合適的壓力和溫度下執行。在一些實施方式中,在大氣壓力(即製造或處理環境的壓力)下將基板暴露於鹼性水溶液。本領域的技術人員將認識到,大氣壓力取決於例如海拔和製造空氣處理(handling)條件。在一些實施方式中,大氣壓力在約0.9 atm(684托)至約1.1 atm(836托)的範圍內。
在處理期間,可以加熱或冷卻基板。這種加熱或冷卻可以通過任何合適的手段來完成,包括但不限於改變基板支撐件的溫度和使加熱氣體或冷卻氣體流動到基板表面、加熱或冷卻鹼性水溶液。在一些實施方式中,基板支撐件包括加熱器/冷卻器,加熱器/冷卻器可以被控制來傳導地改變基板溫度。在一個或多個實施方式中,所採用的氣體(反應氣體或惰性氣體)被加熱或冷卻以局部地改變基板溫度。在一些實施方式中,加熱器/冷卻器鄰近基板表面定位在腔室內,以對流地改變基板溫度。
在一些實施方式中,將基板保持在約室溫(25℃)至約400℃的範圍內、或約50℃至約250℃的範圍內的溫度下。在一些實施方式中,將基板保持在小於或等於約400或等於℃、小於或等於約350℃、小於或等於約300℃、小於或等於約250℃、小於或等於約200℃、小於或等於約150℃、小於或等於約100℃、小於或等於約50℃或小於或等於約25℃的溫度下。
在一些實施方式中,方法10在封閉的處理容積內執行。例如,封閉的處理容積可以是原子層沉積處理腔室或其它密封腔室。在一些實施方式中,鹼性水溶液在基板表面上沸騰並在封閉處理容積內回流。
雖然附圖中未示出,但是方法10可以進一步包括用水溶液清洗基板15。不受理論束縛,相信用水溶液清洗基板可以有利地去除任何殘餘鹼性溶液、過量的鹽、反應產物/副產物和/或其它污染物。在一些實施方式中,用水或基本上由水組成(即,以莫耳計小於1%的非水分子)的水溶液清洗基板。
在一些實施方式中,水溶液進一步包括醇。在一些實施方式中,水溶液進一步包括異丙醇。在一些實施方式中,水與異丙醇的比例在約99:1至約10:90的範圍內。在一些實施方式中,水與異丙醇的比率小於或等於約99:1、小於或等於約98:1、小於或等於約95:1、小於或等於約90:1、小於或等於約50:1、小於或等於約20:1、小於或等於約10:1、小於或等於約5:1、小於或等於約2:1、小於或等於約1:1、或小於或等於約1:5。
在一些實施方式中,水溶液進一步包括中和劑。在一些實施方式中,中和劑是弱酸。在一些實施方式中,中和劑是緩衝溶液。
本公開內容的一個或多個實施方式涉及提供自對準過孔的方法。參考圖3A至圖24描述了用於形成自對準過孔的製程。在圖3A至圖23B中的每一個中,指示為「A」的附圖示出剖視圖,並且指示為「B」的附圖示出半導體元件的俯視圖。A圖的側視剖視圖沿著相應B圖中所示的線A-A'截取。例如,圖3B圖示元件結構的俯視圖,而圖3A示出沿著軸線A-A'的圖3B中所示的元件結構的剖視圖。
圖3A圖示根據一些實施方式的用於提供自對準過孔或氣隙的電子元件結構的剖視圖100。剖視圖100沿著如圖3B所示的軸線A-A'。圖3B是圖3A中描繪的電子元件結構的俯視圖110。下部金屬化層(Mx)包括沿著基板101上的X軸(方向)121延伸的一組導電線,如圖3A和圖3B所示。如圖3B所示,X方向121以角度123與Y軸(方向)122交叉。在一個或多個實施方式中,角度123為約90度。在一些實施方式中,角度123是非90度角度的角度。絕緣層102包括溝槽104,溝槽104是相鄰的絕緣層102之間的間隙。導電線103沉積在溝槽104中。導電線包括上面標識的第一金屬。
在一些實施方式中,基板101包括半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、鍺矽(SiGe)、砷化鎵(GaAs)、InP、GaAs、InGaAs、InAIAs、其它半導體材料或上述項的任何組合。在一些實施方式中,基板101是絕緣體上半導體(SOI)基板,包括塊狀(bulk)下部基板、中間絕緣層和頂部單晶層。頂部單晶層可以包括上面列出的任何材料,例如矽。在各種實施方式中,基板101可以是例如有機基板、陶瓷基板、玻璃基板或半導體基板。雖然本文中描述了可形成基板的材料的一些示例,但是可用作基礎(在所述基礎上可構建無源電子元件和有源電子元件(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電元件或任何其它電子元件))的任何材料落入本公開內容的精神和範圍內。
在一些實施方式中,基板101包括用於積體電路的一個或多個金屬化互連層。在一些實施方式中,基板101包括互連,例如過孔,所述互連被配置為連接金屬化層。在一些實施方式中,基板101包括電子元件,例如電晶體、記憶體、電容器、電阻器、光電元件、開關和由電絕緣層分開的任何其它有源和無源電子元件,電絕緣層例如是層間介電質絕緣層或電子元件製造領域的一般技術人員已知的任何其它絕緣層。在一些實施方式中,基板包括一個或多個緩衝層,以適應基板101與在基板101上方的一個或多個層之間的晶格失配並限制晶格位錯和缺陷。
絕緣層102可以是適合於使相鄰元件絕緣並防止洩漏的任何材料。在一些實施方式中,電絕緣層102是氧化物層,例如二氧化矽,或由電子元件設計決定的任何其它電絕緣層。在一些實施方式中,絕緣層102包括層間介電質(ILD)。在一些實施方式中,絕緣層102是低介電常數介電質,包括但不限於諸如二氧化矽、氧化矽、碳摻雜氧化物(「CDO」)(例如碳摻雜二氧化矽)、多孔二氧化矽、氮化矽或上述項的任何組合的材料。
在一些實施方式中,絕緣層102包括k值小於5的介電材料。在一些實施方式中,絕緣層102包括k值小於2的介電材料。在一些實施方式中,絕緣層102包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、由電子元件設計決定的另一個電絕緣層或上述項的任何組合。在一些實施方式中,絕緣層102可以包括聚醯亞胺、環氧樹脂、光可限定的(photodefinable)材料(例如苯并環丁烯(BCB)、WPR系列材料)和/或旋塗玻璃。
在一些實施方式中,絕緣層102是低介電常數層間介電質,以將一條金屬線與基板101上的其它金屬線隔離。在一些實施方式中,層102的厚度在約10奈米(nm)至約2微米(μm)的近似範圍內。。
在一些實施方式中,使用沉積技術中的一種沉積絕緣層102,諸如但不限於化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋塗或微電子元件製造領域的一般技術人員已知的其它絕緣沉積技術。
在一些實施方式中,包括金屬線103的下部金屬化層Mx是電子元件的後端金屬化的一部分。在一些實施方式中,使用硬掩模對絕緣層102進行圖案化和蝕刻,以使用微電子元件製造領域的一般技術人員已知的一種或多種圖案化和蝕刻技術來形成溝槽104。在一些實施方式中,絕緣層102中的溝槽的大小由之後在製程中形成的導電線的大小決定。
在一些實施方式中,形成導電線103涉及用一層導電材料(第一金屬)填充溝槽104。在一些實施方式中,首先將基層或襯裡(未示出)沉積在溝槽104的內側壁和底部上,並且然後將導電層沉積在基層上。在一些實施方式中,基層包括沉積在導電阻擋層(未示出)上的導電種晶層(未示出)。種晶層可以包括銅,並且導電阻擋層可以包括鋁、鈦、鉭、氮化鉭和類似的金屬。導電阻擋層可以用於防止導電材料從種晶層(例如銅)擴散到絕緣層102中。另外,導電阻擋層可以用於為種晶層(例如,銅)提供黏附力。
在一些實施方式中,為了形成基層,將導電阻擋層沉積到溝槽104的側壁和底部上,並且然後將種晶層沉積在導電阻擋層上。在另一個實施方式中,導電基層包括直接地沉積在溝槽104的側壁和底部上的種晶層。可以使用在半導體製造領域的一般技術人員已知的任何薄膜沉積技術(例如,濺射、均厚沉積(blanket deposition)等)沉積導電阻擋層和種晶層中的每一個。在一個實施方式中,導電阻擋層和種晶層中的每一個的厚度在約1 nm至約100 nm的近似範圍內。在一些實施方式中,導電阻擋層可以是薄介電質,所述薄介電質已經被蝕刻以建立到下面的金屬層的導電性。在一些實施方式中,可以完全地省略導電阻擋層,並且可以使用銅線的適當摻雜來形成「自形成阻擋層」。
在一些實施方式中,通過電鍍製程將導電層(例如銅)沉積在銅的基層的種晶層上。在一些實施方式中,使用微電子元件製造領域的一般技術人員已知的鑲嵌製程將導電層沉積到溝槽104中。在一個實施方式中,使用選擇性沉積技術將導電層沉積在溝槽104中的種晶層上,選擇性沉積技術諸如但不限於電鍍、無電、CVD、PVD、MBE、MOCVD、ALD、旋塗或微電子元件製造領域的一般技術人員已知的其它沉積技術。
在一些實施方式中,導電線103的導電層的材料的選擇決定種晶層的材料的選擇。例如,如果導電線103的材料包括銅,那麼種晶層的材料可以也包括銅。在一些實施方式中,導電線103包括金屬,例如,銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hi)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Au)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)或上述項的任何組合。
在一些實施方式中,可用於金屬化層Mx的導電線103的導電材料的示例是,但不限於,金屬(例如,銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其它導電材料或上述項的任何組合。
在一些實施方式中,使用微電子元件製造領域的一般技術人員已知的化學機械拋光(「CMP」)技術,去除導電層和基層的部分以使導電線103的頂部與絕緣層102的頂部齊平。
在一個非限制性示例中,導電線103的厚度在約15 nm至約1000 nm的近似範圍內。在一個非限制性示例中,導電線103的厚度為約20 nm至約200 nm。在一個非限制性示例中,導電線103的寬度在約5 nm至約500 nm的近似範圍內。在一個非限制性示例中,導電線103之間的間隔(間距)為約2 nm至約500 nm。在更具體的非限制性示例中,導電線103之間的間隔(間距)為約5 nm至約50 nm。
在一些實施方式中,下部金屬化層Mx被配置為連接到其它金屬化層(未示出)。在一些實施方式中,金屬化層Mx被配置為提供與電子元件的電接觸,電子元件例如電晶體、記憶體、電容器、電阻器、光電元件、開關和由電絕緣層分開的任何其它有源和無源電子元件,電絕緣層例如是層間介電質、溝槽絕緣層或電子元件製造領域的一般技術人員已知的任何其它絕緣層。
圖4A是類似於圖3A的視圖100的視圖200。圖4B是類似於圖3B的視圖210。為了簡單起見,圖4A或任何接下來的附圖中未示出基板101。圖4A和圖4B示出根據一些實施方式的在電子元件的一部分上方沉積第一掩模201和第二掩模202之後的電子元件。圖示第一掩模201和第二掩模202。本領域的技術人員將認識到,掩蔽和絕緣層可以是單層或多層。
為了清楚起見,在一些實施方式中,第一掩模201沉積在整個電子元件(包括第一導電線和第一絕緣層)上方。除了如圖4B所示的第一導電線的部分之外,第二掩模202沉積在幾乎整個電子元件上方。掩模201和/或掩模202可以是任何合適的材料。在一些實施方式中,掩模201或掩模202中的一個或多個包括氮化矽、氧化矽、氮氧化矽、碳化矽、碳氧化矽、碳氮化矽或碳氮氧化矽。在一些實施方式中,掩模201或掩模202中的一個或多個包括光刻膠。
圖5A和圖5B是分別類似於圖4A和圖4B的根據一些實施方式的在去除導電線103的部分之後的視圖。可以通過任何合適的技術或技術組合去除導電線103和掩模201。例如,蝕刻製程可以選擇性去除導電線103和掩模201而不影響絕緣層102。
導電線103凹陷到預定深度以形成凹陷的導電線301。如圖5A和圖5B所示,溝槽302形成在絕緣層102中。每個溝槽302具有作為絕緣層102的部分的側壁304和作為凹陷的導電線301的頂表面303的底部。
在一些實施方式中,溝槽302的深度為從約10 nm至約500 nm。在一些實施方式中,溝槽302的深度為導電線的厚度的約10%至約100%。在一些實施方式中,使用濕法蝕刻、乾法蝕刻或電子元件製造領域的一般技術人員已知的技術的組合中的一種或多種來使導電線103凹陷。
圖6A和圖6B是分別類似於圖5A和圖5B的根據一些實施方式的在去除掩模201和掩模202的部分之後的視圖。可以通過任何合適的技術或技術組合去除掩模201和掩模202。例如,蝕刻製程可以選擇性去除掩模201和掩模202而不影響絕緣層102或導電線103。
在一些實施方式中,從圖4A和圖4B至圖6A和圖6B的製程可以涉及使用多於一種蝕刻製程去除導電線103、掩模201和掩模202的部分。例如,可以使用第一蝕刻製程去除掩模201,並且可以使用第二蝕刻製程去除掩模202和去除導電線103的部分。在一些實施方式中,存在用於去除三個層的三種蝕刻製程,其中每種蝕刻製程選擇性用於其中一層。
在一些實施方式中,製程以如圖6A和圖6B所示的元件開始。例如,元件結構可以設有已經形成的凹陷的導電線301。
圖7A和圖7B是分別類似於圖6A和圖6B的在襯裡501沉積在電子元件上之後的視圖。襯裡501可以是任何合適的襯裡材料,如上面參考圖2A所述。
圖8A和圖8B是分別類似於圖7A和圖7B的在襯裡501從電子元件的頂表面601去除而仍保留在溝槽302中之後的視圖。在一些實施方式中,使用微電子元件製造領域的一般技術人員已知的化學機械拋光(「CMP」)技術去除襯裡。
在一些實施方式中,襯裡501選擇性沉積在溝槽302的底部和側壁上,如圖8A所示,而沒有將襯裡501沉積在電子元件的頂表面上。在一些實施方式(未示出)中,襯裡501選擇性沉積在溝槽302的底部上,而不是側壁304上。
在一些實施方式中,沉積襯裡501以保護導電線301不會之後在製程中改變性質(例如,在鎢沉積或其它製程期間)。在一些實施方式中,襯裡501是導電襯裡。在另一個實施方式中,襯裡501是非導電襯裡。在一些實施方式中,當襯裡501是非導電襯裡時,襯裡501之後在製程中被去除,如下面進一步詳細地描述的。在一些實施方式中,襯裡501包括氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)或上述項的任何組合。在又一個實施方式中,襯裡501包括氮化物(例如,SiN)或碳化物(例如,SiC)或碳氮化物(例如SiCN)。在一個實施方式中,襯裡501被沉積到約0.1 nm至約50 nm的厚度。
在一些實施方式中,使用原子層沉積(ALD)技術沉積襯裡501。在一些實施方式中,使用沉積技術中的一種沉積襯裡501,沉積技術諸如但不限於CVD、PVD、MBE、MOCVD、旋塗或微電子元件製造領域的一般技術人員已知的其它襯裡沉積技術。
圖9A和圖9B是分別類似於圖8A和圖8A的根據一些實施方式的在第一金屬膜701沉積在襯裡501上之後的視圖。在一些實施方式中,第一金屬膜701是自對準選擇性生長種晶膜。如圖9A和圖9B所示,第一金屬膜701沉積在凹陷的導電線301的頂表面303上和溝槽302的側壁304上的襯裡501上。
在一些實施方式中,第一金屬膜701是鎢(W)層或其它種晶間隙填充層,以提供選擇性生長柱。在一些實施方式中,第一金屬膜701是金屬膜或含金屬的膜。在一些實施方式中,第一金屬膜701包括鎢(W),並且可以被稱為種晶間隙填充層。
在一些實施方式中,使用沉積技術中的一種沉積第一金屬膜701,沉積技術諸如但不限於ALD、CVD、PVD、MBE、MOCVD、旋塗或微電子元件製造領域的一般技術人員已知的其它沉積技術。
圖10A和圖10B是分別類似於圖9A和圖9B的根據一個實施方式的在去除第一金屬膜701的部分以暴露絕緣層102的頂部部分之後的視圖。在一些實施方式中,去除第一金屬膜701的部分,使得第一金屬膜701與電子元件的頂表面601共面。在一些實施方式中,使用微電子元件製造領域的一般技術人員已知的化學機械拋光(CMP)技術中的一種去除第一金屬膜701的部分。
在一些實施方式中,第一金屬膜701沉積在溝槽302內而不沉積在電子元件的頂表面601上。在一些實施方式中,溝槽302未被第一金屬膜701完全地填充,例如,如圖2B或圖2C中圖示的實施方式所示。
圖11A和圖11B是分別類似於圖10A和圖10B的根據一個或多個實施方式的在使用在凹陷的導電線301上的襯裡501上的第一金屬膜701形成自對準選擇性生長柱(即,第一金屬氧化物膜901)之後的視圖。如圖11A和圖11B所示,第一金屬氧化物膜901的陣列具有與導電線301的一部分相同的圖案。如圖11A和圖11B所示,柱從電子元件的頂表面601大體上正交地延伸。如圖11A和圖11B所示,柱由間隙904分開。
在一些實施方式中,由第一金屬氧化物膜901形成的柱從襯裡501的在導電線103上的部分上的第一金屬膜701選擇性生長。在一些實施方式中,第一金屬膜701的在導電線103上方的部分例如通過氧化、氮化或其它製程來擴展以使柱生長。在一些實施方式中,通過暴露於氧化劑或氧化條件以使第一金屬膜701氧化來將第一金屬膜701轉變為金屬氧化物柱。本領域的技術人員將理解,形成柱是通過電化學氧化完成的,並且不一定含有氧。在一些實施方式中,柱包括上面列出的一種或多種金屬的氧化物。在更具體的實施方式中,柱包括氧化鎢(例如,WO、WO3
或其它鎢氧化物)。在一些實施方式中,柱是氮化物。
氧化劑可以是任何合適的氧化劑,包括但不限於O2
、O3
、N2
O、H2
O、H2
O2
、CO、CO2
、NH3
、N2
/Ar、N2
/He、N2
/Ar/He或上述項的任何組合。在一些實施方式中,氧化條件包括熱氧化、電漿增強氧化、遠端電漿氧化、微波和射頻氧化(例如,電感耦合電漿(ICP)、電容耦合電漿(CCP))。
在一些實施方式中,第一金屬氧化物膜901的柱通過在任何合適的溫度下使第一金屬膜701氧化而形成,所述溫度取決於例如第一金屬膜701的組成和氧化劑。在一些實施方式中,氧化在約25℃至約800℃的近似範圍內的溫度下發生。在一些實施方式中,氧化在大於或等於約150℃的溫度下發生。在一些實施方式中,柱的高度在約5埃(Å)至約10微米(μm)的近似範圍內。
圖12A和圖12B是分別類似於圖11A和圖11B的根據一些實施方式的在沉積絕緣層1001以超量裝填(overfill)柱901之間的間隙904以及與第一金屬氧化物膜901的柱相鄰的區域911、912之後的視圖。如圖12A和圖12B所示,絕緣層1001沉積在與柱相鄰的區域911、912中和間隙904中。絕緣層1001也可以被稱為第二絕緣層並且由第二絕緣材料組成。第二絕緣層1001可以是如本文關於絕緣層102所述的任何合適的介電材料。
在圖12A和圖12B中所示的實施方式中,第二絕緣層1001具有大於柱的高度。換句話說,第二絕緣層1001的厚度足以覆蓋柱。在一些實施方式中,形成第二絕緣層1001,使得第二絕緣層的頂部與柱大體上平齊或略低於柱的頂部。
在一些實施方式中,絕緣層1001是低介電常數間隙填充層。在一些實施方式中,絕緣層1001是可流動的。在一個實施方式中,絕緣層1001是可流動氧化矽(FSiOx)層。在一些實施方式中,絕緣層1001是氧化物層,例如二氧化矽,或由電子元件設計決定的任何其它電絕緣層。在一些實施方式中,絕緣層1001是層間介電質(ILD)。在一些實施方式中,絕緣層1001是低介電常數介電質,包括但不限於諸如二氧化矽、氧化矽、碳基材料(例如,多孔碳膜、碳摻雜氧化物(「CDO」),例如碳摻雜二氧化矽)、多孔二氧化矽、多孔碳氫氧化矽(SiOCH)、氮化矽或上述項的任何組合。在一些實施方式中,絕緣層1001是k值小於3的介電材料。在一些實施方式中,絕緣層1001是k值在約2.2至約2.7的近似範圍內的介電材料。在一些實施方式中,絕緣層1001包括k值小於2的介電材料。在一些實施方式中,絕緣層1001表示本文關於絕緣層102描述的絕緣層中的一個。
在一些實施方式中,絕緣層1001是低介電常數層間介電質,以將一條金屬線與其它金屬線隔離。在一些實施方式中,使用沉積技術中的一種沉積絕緣層1001,沉積技術諸如但不限於CVD、旋塗、ALD、PVD、MBE、MOCVD或微電子元件製造領域的一般技術人員已知的其它低介電常數絕緣層沉積技術。
圖13A和圖13B是分別類似於圖12A和圖12B的根據一些實施方式的在絕緣層1001的化學機械平坦化(CMP)以暴露第一金屬氧化物膜901的柱的頂部1101之後的視圖。如圖所示,去除絕緣層1001,使得柱暴露,同時絕緣層1001保持與柱的頂部1101大體上共面。CMP製程可以是本領域的技術人員已知的任何合適的平坦化製程。在一些實施方式中,沉積絕緣層1001,使得絕緣層1001的頂部與柱的頂部1101平齊或略低於柱的頂部1101,並且不執行CMP製程。
圖14A和圖14B是分別類似於圖13A和圖13B的根據一些實施方式的在去除第一金屬氧化物膜901的柱以經由蝕刻形成間隙1201之後的視圖。柱的蝕刻可以通過任何合適的技術完成。在一些實施方式中,蝕刻柱包括將柱暴露於鹼性水溶液。去除柱而不影響襯裡501或絕緣層1001。
在示例性非限制性製程中,柱包括鎢並通過與氧反應生長以形成氧化鎢柱,氧化鎢柱可以採取WO3
形式。將WO3
暴露於KOH形成可溶K2
WO4
和/或WO2
Cl2
,可溶K2
WO4
和/或WO2
Cl2
離開表面直至去除所有氧化鎢。一旦去除氧化鎢部分(或一般是金屬氧化物部分),反應就會自發地停止。
在所示的實施方式中,襯裡501保留在間隙1201中。在一些實施方式(未示出)中,使用電子元件製造領域的一般技術人員已知的乾法蝕刻技術和濕法蝕刻技術中的一種或多種去除襯裡501。
圖15A和圖15B是分別類似於圖14A和圖14B的根據一些實施方式的在絕緣層1301已經沉積在絕緣層1001上和間隙1201中之後的視圖。絕緣層1301可以被稱為第三絕緣層並且由第三絕緣材料構成。第三絕緣層1301可以是與本文關於絕緣層102所述的第二絕緣層1001材料不同的任何合適的介電質。第三絕緣層1301填充間隙1201並接觸襯裡501(如圖所示)或凹陷的第一導電線301(如果不存在襯裡501)。
圖16A和圖16B是分別類似於圖15A和圖15B的在用第三絕緣層1301對間隙1201進行間隙填充之後的視圖1300和視圖1310。第三絕緣層1301可以是與第二絕緣層1001不同的任何合適的介電材料。第三絕緣層1301填充間隙1201並接觸襯裡501(如圖所示)或凹陷的第一導電線301(如果不存在襯裡501)。
圖17A和圖17B是分別類似於圖16A和圖16B的根據一些實施方式的在已經沉積和/或蝕刻絕緣層以使得保留預定深度之後的視圖。在所示的實施方式中,沉積絕緣層1301的覆蓋層,如圖15A和圖15B所示,並且然後將絕緣層1301的覆蓋層去除以與第二絕緣層1001共面,如圖16A和圖16B所示,然後,再沉積到預定深度,如圖17A和圖17B所示。在一些實施方式中,沉積絕緣層,如圖15A和圖15B所示,並且然後將絕緣層去除以留下預定深度,如圖17A和圖17B所示。在一些實施方式中,可以通過本領域的技術人員已知的化學機械平坦化(CMP)製程去除覆蓋層。
在一些實施方式中,沉積絕緣層1301使得絕緣層1301與絕緣層1001共面,如圖16A和圖16B所示,然後再沉積預定深度的絕緣層1301以建立預定深度的絕緣層1301,如圖17A和圖17B所示。無論具體方法如何,預定深度的絕緣層1301都會保留,如圖17A和圖17B所示。
圖18A和圖18B是分別類似於圖17A和圖17B的根據一些實施方式的在第一掩模1620和第二掩模1630已經沉積在絕緣層1301上之後的視圖。第一掩模1620和第二掩模1630可以與本文其它地方公開的第一掩模201和第二掩模202相同或不同。
在絕緣層1301上圖示第一掩模1620和第二掩模1630。第一掩模1620被示出為覆蓋絕緣層1301的全部,並且第二掩模1630覆蓋如圖11A和圖11B所示的在柱的區域和間隙904外部的區域。第一掩模1620和第二掩模1630可以與本文其它地方公開的第一掩模201和第二掩模202相同或不同。本領域的技術人員將認識到,掩蔽和絕緣層可以是單層或多層。
在絕緣層1001上方的絕緣層1301的厚度(即,不在間隙1201內的絕緣層1301的深度)和第一掩模1620的厚度大體上相同。
圖19A和圖19B是分別類似於圖18A和圖18B的根據一些實施方式的在蝕刻製程各向同性地去除不位於掩模1630正下方的絕緣材料1301的一部分之後的視圖。在各向同性去除之後,暴露絕緣層1301的一部分和絕緣層1001的部分。
可以通過任何合適的技術或技術組合來去除絕緣層1301、掩模1620和掩模1630。例如,蝕刻製程可以選擇性去除絕緣層1301、掩模1620和掩模1630而不影響絕緣層1001。在一些實施方式中,使用多於一種蝕刻製程來去除絕緣層1301、掩模1620和掩模1630。例如,可以使用第一蝕刻製程來去除掩模1630,並且可以使用第二蝕刻製程來去除掩模1620和絕緣層1301。在一些實施方式中,存在用於去除三個層的三種蝕刻製程,其中每種蝕刻製程選擇性用於其中一層。
圖20A和圖20B是分別類似於圖19A和圖19B的根據一些實施方式的在去除先前的第一掩模1620和第二掩模1630並已經在絕緣層1301和絕緣層1001上沉積第一掩模1820和第二掩模1830之後的視圖。第一掩模1820和第二掩模1830可以與本文其它地方公開的第一掩模201和第二掩模202和/或第一掩模1620和第二掩模1630相同或不同。本領域的技術人員將認識到,掩蔽和絕緣層可以是單層或多層。
在絕緣層1301和絕緣層1001上圖示第一掩模1820和第二掩模1830。第一掩模1820被示出為覆蓋絕緣層1301和絕緣層1001的全部。第二掩模1830被示出為覆蓋與圖4A和圖4B中所示的掩模202類似的區域。本領域的技術人員將認識到,掩蔽和絕緣層可以是單層或多層。另外的第一掩模1830和間隙1201內的絕緣層1301的厚度大體上相同。
圖21A和圖21B是分別類似於圖20A和圖20B的根據一些實施方式的在蝕刻製程各向同性地去除不位於掩模1830正下方的絕緣材料1301之後的視圖。
圖22A和圖22B是分別類似於圖21A和圖21B的根據一些實施方式的在去除掩模1620和掩模1630之後的視圖。在去除掩模層之後,暴露絕緣層1301的一部分、絕緣層1001的一部分和襯裡501的部分。
可以通過任何合適的技術或技術組合來去除絕緣層1301、掩模1820和掩模1830。例如,蝕刻製程可以選擇性去除絕緣層1301、掩模1820和掩模1830而不影響絕緣層1001。在一些實施方式中,使用多於一種蝕刻製程來去除絕緣層1301、掩模1820和掩模1830。例如,可以使用第一蝕刻製程來去除掩模1830,並且可以使用第二蝕刻製程來去除掩模1820和絕緣層1301。在一些實施方式中,存在用於去除三個層的三種蝕刻製程,其中每種蝕刻製程選擇性用於其中一層。無論蝕刻製程的數量如何,去除絕緣層1301和掩模1820都會形成溝槽2001和過孔2002、2003。
溝槽2001在與第一導電線103的第一方向不同的第二方向上延伸。在所示的實施方式中,第一導電線103沿著x軸延伸,並且溝槽2001沿著y軸延伸。在一些實施方式中,第二方向與第一方向成在約30°至約150°的範圍內、或在約50°至約130°的範圍內、或在約70°至約110°的範圍內、或在約80°至約100°的範圍內、或在約85°至約95°的範圍內、或在約87°至約93°的範圍內、或在約89°至約91°的範圍內的角度。
圖23A和圖23B是分別類似於圖22A和圖22B的根據一些實施方式的在沉積第二導電材料以在過孔2002、2003和溝槽2001中形成第二導電線2101之後的視圖。第二導電線2101可以是任何合適的金屬,並且可以通過任何合適的沉積技術沉積。如本文所述,第二導電線2101在與第一導電線103的第一方向不同的第二方向上延伸。
圖24示出元件2100的一部分,其中自對準過孔在嵌套結構中。第一導電線103在圖中豎直地延伸,並且第二導電線2101在頁面上水平地延伸。圖示發生第一導電線103與第二導電線2101之間的連接的過孔2002、2003。導電線和過孔的封裝和佈置可以比所示的實施方式更緊密(即,更高的密度)或更鬆散(即,更低的密度)。
雖然本文中的公開內容參考特定實施方式進行描述,但是本領域的技術人員將理解,所述的實施方式僅說明本公開內容的原理和應用。本領域的技術人員將清楚,在不脫離本公開內容的精神和範圍的情況下,可以對本公開內容的方法和設備進行各種修改和變化。因此,本公開內容可以包括在所附申請專利範圍和其等同物的範圍內的修改和變化。
10‧‧‧方法
15‧‧‧基板
20‧‧‧基板表面
30‧‧‧特徵
31‧‧‧側壁
32‧‧‧側壁
35‧‧‧底部
40‧‧‧第一金屬氧化物膜
50‧‧‧第一金屬膜
60‧‧‧第二金屬膜
70‧‧‧襯裡
100‧‧‧剖視圖
101‧‧‧基板
102‧‧‧絕緣層
103‧‧‧導電線
104‧‧‧溝槽
110‧‧‧俯視圖
121‧‧‧X方向
122‧‧‧Y軸
123‧‧‧角度
200‧‧‧視圖
201‧‧‧第一掩模
202‧‧‧第二掩模
210‧‧‧視圖
301‧‧‧導電線
302‧‧‧溝槽
303‧‧‧頂表面
304‧‧‧側壁
501‧‧‧襯裡
601‧‧‧頂表面
701‧‧‧第一金屬膜
901‧‧‧第一金屬氧化物膜
904‧‧‧間隙
911‧‧‧區域
912‧‧‧區域
1001‧‧‧絕緣層
1101‧‧‧頂部
1201‧‧‧間隙
1301‧‧‧絕緣層
1620‧‧‧第一掩模
1630‧‧‧第二掩模
1820‧‧‧第一掩模
1830‧‧‧第二掩模
2001‧‧‧溝槽
2002‧‧‧過孔
2003‧‧‧過孔
2100‧‧‧元件
2101‧‧‧第二導電線
為了能夠詳細地理解本公開內容的上述特徵所用方式,本公開內容的更具體描述可以參考若干實施方式進行,一些實施方式示於附圖中。然而,將注意,附圖僅示出本公開內容的典型實施方式,並且因此不應視為對本公開內容的範圍的限制,因為本公開內容可允許其它等效實施方式。
圖1A示出根據一些實施方式的基板的側視剖視圖,基板具有特徵;
圖1B示出根據一些實施方式的在處理期間的基板的側視剖視圖,基板在特徵內具有第一金屬氧化物膜;
圖1C示出根據一些實施方式的在處理期間的基板的側視剖視圖,基板在特徵內具有第一金屬氧化物膜和第一金屬膜;
圖2A示出根據一些實施方式的基板的側視剖視圖,基板具有特徵、第二金屬膜和襯裡;
圖2B示出根據一些實施方式的在處理期間的基板的側視剖視圖,基板在特徵內具有第一金屬氧化物膜和在襯裡下方的第二金屬膜;
圖2C示出根據一些實施方式的在處理期間的基板的側視剖視圖,基板具有含有在襯裡下方的第二金屬膜和在襯裡上的第一金屬氧化物膜和第一金屬膜的特徵;
圖3A示出根據一些實施方式的提供自對準過孔的電子元件結構的側視剖視圖;
圖3B是圖3A中描繪的電子元件結構的俯視圖;
圖4A是根據一些實施方式的在形成掩模層之後的電子元件結構的側視剖視圖;
圖4B是圖4A的電子元件結構的俯視圖;
圖5A是根據一些實施方式的在去除導電線的一部分之後的電子元件結構的側視剖視圖;
圖5B是圖5A的電子元件結構的俯視圖;
圖6A是根據一些實施方式的在去除掩模層之後的電子元件結構的側視剖視圖;
圖6B是圖6A的電子元件結構的俯視圖;
圖7A是根據一些實施方式的在將襯裡沉積在絕緣層和凹陷導電線上之後的電子元件結構的側視剖視圖;
圖7B是圖7A的電子元件結構的俯視圖;
圖8A是根據一些實施方式的在從絕緣層去除襯裡的部分之後的電子元件結構的側視剖視圖;
圖8B是圖8A的電子元件結構的俯視圖;
圖9A是根據一些實施方式的在將種晶間隙填充層沉積在襯裡上之後的電子元件結構的側視剖視圖;
圖9B是圖9A的電子元件結構的俯視圖;
圖10A是根據一些實施方式的在去除種晶間隙填充層的部分之後的電子元件結構的側視剖視圖;
圖10B是圖10A中所示的電子元件結構的俯視圖;
圖11A是根據一些實施方式的在形成自對準選擇性生長柱之後的電子元件結構的側視剖視圖;
圖11B是圖11A中所示的電子元件結構的俯視圖;
圖12A是根據一些實施方式的在沉積第二絕緣材料之後的電子元件結構的側視剖視圖;
圖12B是圖12A中所示的電子元件結構的俯視圖;
圖13A是根據一些實施方式的在去除多餘第二絕緣材料以暴露柱的頂部之後的電子元件結構的側視剖視圖;
圖13B是圖13A中所示的電子元件結構的俯視圖;
圖14A是根據一些實施方式的在去除柱之後的電子元件結構的側視剖視圖;
圖14B是圖14A中所示的電子元件結構的俯視圖;
圖15A是根據一些實施方式的在沉積第三絕緣層之後的電子元件結構的側視剖視圖;
圖15B是圖15A中所示的電子元件結構的俯視圖;
圖16A是根據一些實施方式的在去除第三絕緣層的覆蓋層之後的電子元件結構的側視剖視圖;
圖16B是圖16A中所示的電子元件結構的俯視圖;
圖17A是根據一些實施方式的在將第三絕緣層沉積到預定深度之後的電子元件結構的側視剖視圖;
圖17B是圖17A中所示的電子元件結構的俯視圖;
圖18A是根據一些實施方式的在掩蔽之後的電子元件結構的側視剖視圖;
圖18B是圖18A中所示的電子元件結構的俯視圖;
圖19A是根據一些實施方式的在將第三絕緣層蝕刻到已知深度之後的電子元件結構的側視剖視圖;
圖19B是圖19A中所示的電子元件結構的俯視圖;
圖20A是根據一些實施方式的在形成堆疊結構並進行掩蔽之後的電子元件結構的側視剖視圖;
圖20B是圖20A中所示的電子元件結構的俯視圖;
圖21A是根據一些實施方式的在蝕刻第三絕緣層以形成過孔之後的電子元件結構的側視剖視圖;
圖21B是圖21A中所示的電子元件結構的俯視圖;
圖22A是根據一些實施方式的在去除掩模層之後的電子元件結構的側視剖視圖;
圖22B是圖22A的電子元件結構的俯視圖;
圖23A是根據一些實施方式的在形成第二導電線並填充過孔之後的電子元件結構的側視剖視圖;
圖23B是圖23A中所示的電子元件結構的俯視圖;和
圖24示出根據本公開內容的一個或多個實施方式的具有自對準過孔的電子元件的一部分。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記)
無
無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記)
無
無
Claims (20)
- 一種方法,該方法包括以下步驟: 提供一基板,該基板具有一介電基板表面,該介電基板表面中形成有至少一個特徵,該至少一個特徵從該基板表面向該基板中延伸某一距離,並且具有一側壁和一底部,該至少一個特徵中具有一第一金屬氧化物膜,該第一金屬氧化物膜包括一第一金屬;和將該基板暴露於一鹼性水溶液以從該特徵去除該第一金屬氧化物膜而不影響與該特徵相鄰的該基板表面。
- 如請求項1所述的方法,其中該第一金屬包括鎢。
- 如請求項1所述的方法,其中該至少一個特徵具有包括一第一金屬的一第一金屬膜,並且該第一金屬氧化物膜在該第一金屬膜上,並且該鹼性水溶液去除該第一金屬氧化物膜和該第一金屬膜。
- 如請求項3所述的方法,其中將該第一金屬膜沉積在該至少一個特徵中,並且使該第一金屬膜部分地氧化以在該第一金屬膜上形成該第一金屬氧化物膜。
- 如請求項1所述的方法,其中該特徵包括一襯裡,並且該第一金屬氧化物膜在該襯裡上,並且暴露於該鹼性水溶液不影響該襯裡。
- 如請求項5所述的方法,其中該襯裡包含SiN或SiCN中的一種或多種。
- 如請求項5所述的方法,其中該特徵進一步包括一第二金屬膜,該第二金屬膜具有在該襯裡下方的一第二金屬。
- 如請求項7所述的方法,其中該第二金屬不同於該第一金屬。
- 如請求項8所述的方法,其中該第二金屬包括銅、鈷或釕中的一種或多種。
- 如請求項1所述的方法,其中該鹼性水溶液包含具有小於或等於約5的一pKb 的一鹼。
- 如請求項1所述的方法,其中該鹼性水溶液具有在約0.1 M至約10 M的一氫氧化物濃度。
- 如請求項1所述的方法,其中在大氣壓力下將該基板暴露於該鹼性水溶液。
- 如請求項1所述的方法,其中將該基板保持在約50℃至約250℃的一溫度範圍內。
- 如請求項1所述的方法,其中該至少一個特徵具有大於或等於約10:1的一深寬比。
- 如請求項1所述的方法,進一步包括以下步驟:用一水溶液清洗該基板。
- 一種方法,該方法包括以下步驟: 提供一基板,該基板具有一基板表面,該基板表面中形成有至少一個特徵,該至少一個特徵從該基板表面向該基板中延伸某一距離,並且具有一側壁和底部,該至少一個特徵具有大於或等於約10:1的一深寬比,該至少一個特徵中具有一氧化鎢膜; 將該基板暴露於一氫氧化物水溶液以從該特徵去除該氧化鎢膜而不影響與該特徵相鄰的該基板表面;和 用包含水和異丙醇的一溶液清洗該基板。
- 如請求項16所述的方法,其中該至少一個特徵中具有一鎢膜,並且該氧化鎢膜在該鎢膜上,並且該氫氧化物水溶液去除該鎢膜和該氧化鎢膜。
- 一種提供一自對準過孔的方法,該方法包括以下步驟: 提供一基板,該基板具有在多個第一絕緣層之間的多個第一導電線,該多個第一導電線大體上共面並沿著一第一方向延伸; 使該第一導電線的部分凹陷; 將一襯裡沉積在該第一導電線的凹陷部分上; 在該襯裡上形成一第一金屬膜; 使該第一金屬膜氧化以形成包括該第一金屬膜的一氧化物的柱。 在該柱周圍沉積一第二絕緣層;和 通過將該基板暴露於一鹼性水溶液以去除該柱和該第一金屬膜以在該第二絕緣層中形成過孔而不影響該第一絕緣層、該襯裡或該第二絕緣層。
- 如請求項18所述的方法,進一步包括以下步驟:在從該過孔去除該柱之後去除該襯裡。
- 如請求項18所述的方法,進一步包括以下步驟: 在該過孔中和該第二絕緣層上沉積一第三絕緣層,以形成被填充的過孔和第三絕緣層的一覆蓋層; 去除該第三絕緣層的該覆蓋層的一部分和從該被填充的過孔去除該第三絕緣層以形成過孔開口; 將一第二導電材料沉積到該過孔開口中;和 將一第二導電線沉積在該第二絕緣層上並使該第二導電線與該過孔開口中的該第二導電材料接觸,該第二導電線在該第二絕緣層上沿著一第二方向延伸,該第二方向與該第一方向以在約30º至約150º的一範圍內的一角度交叉。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862637730P | 2018-03-02 | 2018-03-02 | |
US62/637,730 | 2018-03-02 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201939628A true TW201939628A (zh) | 2019-10-01 |
Family
ID=65686687
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108106634A TW201939628A (zh) | 2018-03-02 | 2019-02-27 | 移除金屬氧化物的方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10892183B2 (zh) |
EP (1) | EP3534395A1 (zh) |
JP (1) | JP2019192906A (zh) |
KR (1) | KR20190104902A (zh) |
CN (1) | CN110223955A (zh) |
TW (1) | TW201939628A (zh) |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10950498B2 (en) * | 2017-05-31 | 2021-03-16 | Applied Materials, Inc. | Selective and self-limiting tungsten etch process |
CN110678981B (zh) | 2017-05-31 | 2023-05-23 | 应用材料公司 | 3d-nand器件中用于字线分离的方法 |
TW202321493A (zh) * | 2017-12-20 | 2023-06-01 | 美商應用材料股份有限公司 | 金屬薄膜之高壓氧化 |
US11387112B2 (en) * | 2018-10-04 | 2022-07-12 | Tokyo Electron Limited | Surface processing method and processing system |
JP2022509621A (ja) | 2018-11-19 | 2022-01-21 | ラム リサーチ コーポレーション | タングステン用モリブデンテンプレート |
SG11202108217UA (en) | 2019-01-28 | 2021-08-30 | Lam Res Corp | Deposition of metal films |
US11437273B2 (en) * | 2019-03-01 | 2022-09-06 | Micromaterials Llc | Self-aligned contact and contact over active gate structures |
US11821071B2 (en) | 2019-03-11 | 2023-11-21 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
US11164938B2 (en) * | 2019-03-26 | 2021-11-02 | Micromaterials Llc | DRAM capacitor module |
US10950459B1 (en) | 2019-09-13 | 2021-03-16 | International Business Machines Corporation | Back end of line structures with metal lines with alternating patterning and metallization schemes |
JP7362911B2 (ja) * | 2019-09-26 | 2023-10-17 | アプライド マテリアルズ インコーポレイテッド | 選択的自己制限式タングステンエッチングプロセス |
CN115668480A (zh) * | 2020-05-22 | 2023-01-31 | 朗姆研究公司 | 低电阻率触点和互连部 |
US20230187204A1 (en) * | 2021-12-10 | 2023-06-15 | Applied Materials, Inc. | Tungsten Fluoride Soak And Treatment For Tungsten Oxide Removal |
Family Cites Families (119)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6039848A (ja) * | 1983-08-12 | 1985-03-01 | Toshiba Corp | 半導体装置の製造方法 |
US4671970A (en) | 1986-02-05 | 1987-06-09 | Ncr Corporation | Trench filling and planarization process |
US4992135A (en) * | 1990-07-24 | 1991-02-12 | Micron Technology, Inc. | Method of etching back of tungsten layers on semiconductor wafers, and solution therefore |
NL9100241A (nl) * | 1991-02-12 | 1991-08-01 | Koninkl Philips Electronics Nv | Werkwijze voor de vervaardiging van een halfgeleiderinrichting. |
KR0165813B1 (ko) | 1995-04-12 | 1999-02-01 | 문정환 | 접속홀의 플러그 형성 방법 |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
KR100223334B1 (ko) | 1996-06-29 | 1999-10-15 | 김영환 | 반도체소자의 금속배선형성방법 |
US6114241A (en) * | 1998-06-29 | 2000-09-05 | Hyundai Electronics Industries Co., Ltd. | Method of manufacturing a semiconductor device capable of reducing contact resistance |
US6143653A (en) * | 1998-10-04 | 2000-11-07 | Promos Technologies, Inc. | Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss |
KR20000026588A (ko) | 1998-10-21 | 2000-05-15 | 윤종용 | 콘택홀을 갖는 반도체 장치 및 그 제조방법 |
US6130151A (en) | 1999-05-07 | 2000-10-10 | Taiwan Semiconductor Manufacturing Company | Method of manufacturing air gap in multilevel interconnection |
US6277738B1 (en) * | 1999-06-23 | 2001-08-21 | Hyundai Electronics Industries Co., Ltd. | Method of manufacturing a semiconductor device capable of reducing contact resistance |
JP2001015479A (ja) | 1999-06-29 | 2001-01-19 | Toshiba Corp | 半導体装置の製造方法 |
WO2001013426A1 (en) | 1999-08-18 | 2001-02-22 | Steag Rtp Systems, Inc. | Method of producing copper features on semiconductor wafers |
US6576113B1 (en) | 1999-10-29 | 2003-06-10 | California Institute Of Technology | Method of electroplating of high aspect ratio metal structures into semiconductors |
US6373087B1 (en) | 2000-08-31 | 2002-04-16 | Agere Systems Guardian Corp. | Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses |
US7192803B1 (en) | 2000-10-13 | 2007-03-20 | Bridge Semiconductor Corporation | Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint |
US6653200B2 (en) | 2001-01-26 | 2003-11-25 | Applied Materials, Inc. | Trench fill process for reducing stress in shallow trench isolation |
US6495472B2 (en) * | 2001-02-21 | 2002-12-17 | United Microelectronics Corps. | Method for avoiding erosion of conductor structure during removing etching residues |
JP2002252281A (ja) | 2001-02-27 | 2002-09-06 | Sony Corp | 半導体装置およびその製造方法 |
US6528884B1 (en) | 2001-06-01 | 2003-03-04 | Advanced Micro Devices, Inc. | Conformal atomic liner layer in an integrated circuit interconnect |
US7279119B2 (en) | 2001-06-14 | 2007-10-09 | Ppg Industries Ohio, Inc. | Silica and silica-based slurry |
US20030129846A1 (en) * | 2002-01-09 | 2003-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for achieving a uniform material removal rate in a CMP process |
CN100360710C (zh) | 2002-03-28 | 2008-01-09 | 哈佛学院院长等 | 二氧化硅纳米层压材料的气相沉积 |
US7008872B2 (en) * | 2002-05-03 | 2006-03-07 | Intel Corporation | Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures |
US8298933B2 (en) | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
US7276787B2 (en) | 2003-12-05 | 2007-10-02 | International Business Machines Corporation | Silicon chip carrier with conductive through-vias and method for fabricating same |
US7211844B2 (en) | 2004-01-29 | 2007-05-01 | International Business Machines Corporation | Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage |
WO2005088694A1 (ja) | 2004-03-16 | 2005-09-22 | Ishikawajima-Harima Heavy Industries Co., Ltd. | 半導体装置の製造方法 |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US20070054482A1 (en) * | 2004-08-10 | 2007-03-08 | Takahito Nakajima | Semiconductor device fabrication method |
JP2006054251A (ja) * | 2004-08-10 | 2006-02-23 | Toshiba Corp | 半導体装置の製造方法 |
US7820020B2 (en) | 2005-02-03 | 2010-10-26 | Applied Materials, Inc. | Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas |
US8324660B2 (en) | 2005-05-17 | 2012-12-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication |
JP2007005381A (ja) | 2005-06-21 | 2007-01-11 | Matsushita Electric Ind Co Ltd | プラズマエッチング方法、及びプラズマエッチング装置 |
US7393789B2 (en) | 2005-09-01 | 2008-07-01 | Micron Technology, Inc. | Protective coating for planarization |
US20070099806A1 (en) * | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US7351648B2 (en) | 2006-01-19 | 2008-04-01 | International Business Machines Corporation | Methods for forming uniform lithographic features |
US7368394B2 (en) | 2006-02-27 | 2008-05-06 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7288463B1 (en) | 2006-04-28 | 2007-10-30 | Novellus Systems, Inc. | Pulsed deposition layer gap fill with expansion material |
US7956465B2 (en) | 2006-05-08 | 2011-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistivity in interconnect structures of integrated circuits |
JP2008108757A (ja) | 2006-10-23 | 2008-05-08 | Matsushita Electric Works Ltd | 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法 |
US7598170B2 (en) | 2007-01-26 | 2009-10-06 | Asm America, Inc. | Plasma-enhanced ALD of tantalum nitride films |
US20080242097A1 (en) | 2007-03-28 | 2008-10-02 | Tim Boescke | Selective deposition method |
US20090017631A1 (en) | 2007-06-01 | 2009-01-15 | Bencher Christopher D | Self-aligned pillar patterning using multiple spacer masks |
WO2008153674A1 (en) | 2007-06-09 | 2008-12-18 | Boris Kobrin | Method and apparatus for anisotropic etching |
US20090072409A1 (en) | 2007-09-14 | 2009-03-19 | International Business Machines Corporation | Interconnect Structures Incorporating Air-Gap Spacers |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US20100330805A1 (en) | 2007-11-02 | 2010-12-30 | Kenny Linh Doan | Methods for forming high aspect ratio features on a substrate |
US7985977B2 (en) | 2007-12-11 | 2011-07-26 | Hvvi Semiconductors, Inc. | Sacrificial pillar dielectric platform |
CN101625971B (zh) * | 2008-07-09 | 2010-12-08 | 中国科学院半导体研究所 | 利用光辅助氧化湿法刻蚀ⅲ族氮化物的方法 |
KR101477661B1 (ko) | 2008-07-17 | 2014-12-31 | 삼성전자주식회사 | 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법 |
US8169031B2 (en) | 2008-08-26 | 2012-05-01 | International Business Machines Corporation | Continuous metal semiconductor alloy via for interconnects |
US8101456B2 (en) | 2008-10-01 | 2012-01-24 | International Business Machines Corporation | Method to reduce a via area in a phase change memory cell |
KR101026486B1 (ko) | 2008-10-22 | 2011-04-01 | 주식회사 하이닉스반도체 | 반도체 소자 및 그의 제조방법 |
KR20100051211A (ko) * | 2008-11-07 | 2010-05-17 | 주식회사 동부하이텍 | 이미지 센서의 금속배선 형성방법 |
JP5133852B2 (ja) | 2008-11-13 | 2013-01-30 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法及び半導体装置 |
KR101534678B1 (ko) | 2009-02-12 | 2015-07-08 | 삼성전자주식회사 | 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법 |
US8435830B2 (en) | 2009-03-18 | 2013-05-07 | Samsung Electronics Co., Ltd. | Methods of fabricating semiconductor devices |
US8575753B2 (en) | 2009-05-27 | 2013-11-05 | Samsung Electronics Co., Ltd. | Semiconductor device having a conductive structure including oxide and non oxide portions |
US8531033B2 (en) | 2009-09-07 | 2013-09-10 | Advanced Interconnect Materials, Llc | Contact plug structure, semiconductor device, and method for forming contact plug |
JP2011060803A (ja) | 2009-09-07 | 2011-03-24 | Toshiba Corp | 半導体装置 |
US8274065B2 (en) | 2009-10-19 | 2012-09-25 | Macronix International Co., Ltd. | Memory and method of fabricating the same |
US8778749B2 (en) | 2011-01-12 | 2014-07-15 | Sandisk Technologies Inc. | Air isolation in high density non-volatile memory |
US8900988B2 (en) | 2011-04-15 | 2014-12-02 | International Business Machines Corporation | Method for forming self-aligned airgap interconnect structures |
JP2011233922A (ja) | 2011-07-20 | 2011-11-17 | Ihi Corp | 素子間分離領域の形成方法 |
US8946082B2 (en) | 2011-09-16 | 2015-02-03 | GlobalFoundries, Inc. | Methods for forming semiconductor devices |
KR20130046664A (ko) | 2011-10-28 | 2013-05-08 | 삼성전자주식회사 | 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US8860001B2 (en) | 2012-04-09 | 2014-10-14 | Freescale Semiconductor, Inc. | ReRAM device structure |
US20140029181A1 (en) | 2012-07-27 | 2014-01-30 | Florian Gstrein | Interlayer interconnects and associated techniques and configurations |
US9245987B2 (en) | 2012-11-29 | 2016-01-26 | Micron Technology, Inc. | Semiconductor devices and fabrication methods |
US8901607B2 (en) | 2013-01-14 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabricating the same |
US9312220B2 (en) | 2013-03-12 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a low-K dielectric with pillar-type air-gaps |
US9178011B2 (en) | 2013-03-13 | 2015-11-03 | Intermolecular, Inc. | Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate |
US9012322B2 (en) | 2013-04-05 | 2015-04-21 | Intermolecular, Inc. | Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition |
US9040421B2 (en) | 2013-05-03 | 2015-05-26 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits with improved contact structures |
US9219007B2 (en) | 2013-06-10 | 2015-12-22 | International Business Machines Corporation | Double self aligned via patterning |
CN105493249B (zh) | 2013-09-27 | 2019-06-14 | 英特尔公司 | 用于后段(beol)互连的先前层自对准过孔及插塞图案化 |
US9666451B2 (en) | 2013-09-27 | 2017-05-30 | Intel Corporation | Self-aligned via and plug patterning for back end of line (BEOL) interconnects |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9362413B2 (en) | 2013-11-15 | 2016-06-07 | Cbrite Inc. | MOTFT with un-patterned etch-stop |
US9312168B2 (en) | 2013-12-16 | 2016-04-12 | Applied Materials, Inc. | Air gap structure integration using a processing system |
US9236292B2 (en) | 2013-12-18 | 2016-01-12 | Intel Corporation | Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) |
JP6297884B2 (ja) | 2014-03-28 | 2018-03-20 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
KR102377372B1 (ko) | 2014-04-02 | 2022-03-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 인터커넥트들을 형성하기 위한 방법 |
US9368395B1 (en) | 2014-05-06 | 2016-06-14 | Globalfoundries Inc. | Self-aligned via and air gap |
US9299745B2 (en) | 2014-05-08 | 2016-03-29 | GlobalFoundries, Inc. | Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same |
US9281382B2 (en) | 2014-06-04 | 2016-03-08 | Stmicroelectronics, Inc. | Method for making semiconductor device with isolation pillars between adjacent semiconductor fins |
US9627318B2 (en) | 2014-06-16 | 2017-04-18 | Taiwan Semiconductor Manufacturing Company Ltd. | Interconnect structure with footing region |
US9679852B2 (en) | 2014-07-01 | 2017-06-13 | Micron Technology, Inc. | Semiconductor constructions |
US9324650B2 (en) | 2014-08-15 | 2016-04-26 | International Business Machines Corporation | Interconnect structures with fully aligned vias |
US9356047B2 (en) | 2014-08-18 | 2016-05-31 | Globalfoundries Inc. | Integrated circuits with self aligned contact structures for improved windows and fabrication methods |
US9508642B2 (en) | 2014-08-20 | 2016-11-29 | Globalfoundries Inc. | Self-aligned back end of line cut |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9735030B2 (en) | 2014-09-05 | 2017-08-15 | Fujifilm Planar Solutions, LLC | Polishing compositions and methods for polishing cobalt films |
US9515085B2 (en) | 2014-09-26 | 2016-12-06 | Sandisk Technologies Llc | Vertical memory device with bit line air gap |
US20160111342A1 (en) | 2014-10-17 | 2016-04-21 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
US10727122B2 (en) | 2014-12-08 | 2020-07-28 | International Business Machines Corporation | Self-aligned via interconnect structures |
CN107112212B (zh) | 2014-12-22 | 2021-03-12 | 东京毅力科创株式会社 | 使用接枝聚合物材料图案化基底 |
US20160260779A1 (en) | 2015-03-06 | 2016-09-08 | Kabushiki Kaisha Toshiba | Non-volatile resistive random access memory device |
US9362165B1 (en) * | 2015-05-08 | 2016-06-07 | Globalfoundries Inc. | 2D self-aligned via first process flow |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
US9716065B2 (en) | 2015-09-14 | 2017-07-25 | International Business Machines Corporation | Via bottom structure and methods of forming |
US9721888B2 (en) | 2015-12-08 | 2017-08-01 | International Business Machines Corporation | Trench silicide with self-aligned contact vias |
US10163704B2 (en) | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
CN108780777B (zh) | 2016-02-02 | 2023-02-17 | 东京毅力科创株式会社 | 利用选择性沉积对金属和通孔进行自对准 |
KR20170108321A (ko) | 2016-03-17 | 2017-09-27 | 주식회사 루멘스 | 발광 다이오드 |
US11127629B2 (en) | 2016-05-17 | 2021-09-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and fabricating method thereof |
TWI680535B (zh) * | 2016-06-14 | 2019-12-21 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
EP3520136A4 (en) | 2016-09-30 | 2020-05-06 | Applied Materials, Inc. | METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES |
TWI719262B (zh) * | 2016-11-03 | 2021-02-21 | 美商應用材料股份有限公司 | 用於圖案化之薄膜的沉積與處理 |
TW201833991A (zh) | 2016-11-08 | 2018-09-16 | 美商應用材料股份有限公司 | 自對準圖案化之方法 |
US10424507B2 (en) * | 2017-04-04 | 2019-09-24 | Mirocmaterials LLC | Fully self-aligned via |
KR102356356B1 (ko) * | 2017-05-31 | 2022-01-28 | 에스케이하이닉스 주식회사 | 세정 조성물 및 이를 이용하는 전자 장치의 제조방법 |
WO2018227110A1 (en) * | 2017-06-10 | 2018-12-13 | Applied Materials, Inc. | Methods of forming self-aligned vias and air gaps |
WO2019046402A1 (en) * | 2017-08-31 | 2019-03-07 | Micromaterials Llc | METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES |
WO2019050735A1 (en) * | 2017-09-06 | 2019-03-14 | Micromaterials Llc | METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES |
-
2019
- 2019-02-27 KR KR1020190023208A patent/KR20190104902A/ko not_active Application Discontinuation
- 2019-02-27 TW TW108106634A patent/TW201939628A/zh unknown
- 2019-02-28 CN CN201910154351.0A patent/CN110223955A/zh active Pending
- 2019-02-28 EP EP19160060.0A patent/EP3534395A1/en not_active Withdrawn
- 2019-03-01 US US16/290,032 patent/US10892183B2/en active Active
- 2019-03-01 JP JP2019037508A patent/JP2019192906A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
US10892183B2 (en) | 2021-01-12 |
JP2019192906A (ja) | 2019-10-31 |
US20190273019A1 (en) | 2019-09-05 |
EP3534395A1 (en) | 2019-09-04 |
CN110223955A (zh) | 2019-09-10 |
KR20190104902A (ko) | 2019-09-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10892183B2 (en) | Methods for removing metal oxides | |
US11270914B2 (en) | Method of forming self-aligned via | |
US10892187B2 (en) | Method for creating a fully self-aligned via | |
US10410921B2 (en) | Fully self-aligned via | |
US20190355621A1 (en) | Method For Increasing The Verticality Of Pillars | |
TW202002219A (zh) | 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程 | |
US20190067103A1 (en) | Methods of producing self-aligned grown via | |
US11177174B2 (en) | Selective deposition of carbon films and uses thereof | |
US10699953B2 (en) | Method for creating a fully self-aligned via | |
US11605559B2 (en) | Semiconductor device having a landing pad with spacers | |
US20220028795A1 (en) | Ruthenium liner and cap for back-end-of-line applications |