TW202002219A - 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程 - Google Patents

用來產生高的深寬比的完全自對準的通孔的選擇性移除過程 Download PDF

Info

Publication number
TW202002219A
TW202002219A TW108115527A TW108115527A TW202002219A TW 202002219 A TW202002219 A TW 202002219A TW 108115527 A TW108115527 A TW 108115527A TW 108115527 A TW108115527 A TW 108115527A TW 202002219 A TW202002219 A TW 202002219A
Authority
TW
Taiwan
Prior art keywords
layer
insulating layer
wires
opening
wire
Prior art date
Application number
TW108115527A
Other languages
English (en)
Inventor
安瑞塔B 穆立克
曼何 薩肯
任河
史瓦米奈森 史林尼法森
里賈納 弗里德
尤戴 米查
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW202002219A publication Critical patent/TW202002219A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Abstract

描述了用來提供完全自對準的通孔的裝置及方法。本揭示內容的一些實施例利用覆蓋層來保護絕緣層以最小化完全自對準的通孔中的金屬凹陷期間的側壁彎曲。可以藉由將基板暴露於熱磷酸溶液選擇性地移除覆蓋層,因此增加了深寬比。

Description

用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
本揭示內容的實施例與電子設備的製造的領域相關,且詳細而言是與積體電路(IC)的製造相關。更詳細而言,本揭示內容的實施例涉及產生通孔(via)的方法,該等通孔是自對準的,使得具有在相反方向上延伸的線的導電層被連接。
一般而言,積體電路(IC)指的是形成於半導體材料(一般是矽)的小型晶片上的一組電子設備(例如電晶體)。一般而言,IC包括一或更多個金屬化層,該一或更多個金屬化層具有金屬線以將IC的電子設備彼此連接及連接到外部連接件。一般而言,層間介電材料層被安置在IC的金屬化層之間以供絕緣。
隨著IC的尺寸減少,金屬線之間的間隔減少。一般而言,為了製造互連結構使用了平面過程,該平面過程涉及將一個金屬化層與另一個金屬化層對準及連接。
一般而言,金屬化層中的金屬線的圖案化是獨立於該金屬化層上方的通孔執行的。然而,常規的通孔製造技術不能提供完全的通孔自對準。在常規技術中,被形成為將上金屬化層中的線連接到下金屬化的通孔通常與下金屬化層中的線失準。通孔-線的失準增加了通孔電阻且使得錯誤的金屬線可能短路。通孔-線的失準造成了設備故障、減少了產量、且增加了製造成本。
描述了用來提供完全自對準的通孔的裝置及方法。在一個實施例中,第一金屬化層包括沿著第一方向延伸的一組第一導線,該等第一導線中的每一者藉由第一絕緣層與相鄰的第一導線分開。蝕刻停止層在該第一絕緣層上,且第二絕緣層在該第一絕緣層上,該第二絕緣層藉由該蝕刻停止層與該第一絕緣層分開。第三絕緣層在該等第一導線中的一些上,使得至少一條導線沒有該第三絕緣層。第二金屬化層在該第二絕緣層及該第三絕緣層的一部分上,該第二金屬化層包括一組第二導線,該等第二導線沿著用一定角度與該第一方向交叉的第二方向延伸,該等第二導線中的每一者藉由第四絕緣體與相鄰的第二導線分開。至少一個通孔在該第一金屬化層與該第二金屬化層之間,該至少一個通孔中的每一者被形成於沒有該第三絕緣層的該至少一條第一導線上,且該通孔中具有導電材料,其中該通孔沿著該第二方向與該等第一導線中的一者自對準。
一或更多個實施例涉及用來提供完全自對準的通孔的方法。提供基板,該基板在該基板上具有第一絕緣層,該第一絕緣層具有頂面,該頂面具有沿著第一方向形成的複數個溝槽。覆蓋層被形成於該第一絕緣層的該頂面上。在該第一絕緣層的該等溝槽中提供複數條凹陷的第一導線,該等第一導線沿著該第一方向延伸且具有該第一絕緣層的該頂面下方的第一導電面。在該等凹陷的第一導線上形成第一金屬膜。由該等凹陷的第一導線上的該第一金屬膜形成支柱,該等支柱與該第一絕緣層的該頂面正交地延伸。選擇性地移除該覆蓋層的至少一部分,以暴露該第一絕緣層的該頂面。圍繞該等支柱且在該第一絕緣層的該頂面上沉積第二絕緣層。選擇性地移除支柱中的至少一者以在該第二絕緣層中形成至少一個開口。在該等開口中將第三絕緣層到該等凹陷的第一導線上以形成填充後通孔。相對於該第二絕緣層蝕刻該第三絕緣層的一部分以將通孔開口形成到該等第一導線中的至少一者。接著在該第二絕緣層及該第三絕緣層的一部分上形成第二導線,該等第二導線沿著用一定角度與該第一方向交叉的第二方向延伸。
在描述本揭示內容的若干示例性實施例之前,要了解,本揭示內容不限於以下說明中所闡述的構造或過程步驟的細節。本揭示內容能夠包括其他的實施例及用各種方式實行或實現。
如本文中所使用的「基板」指的是任何基板或形成於基板上的材料表面,膜處理在製造過程期間執行於該基板或材料表面上。例如,取決於應用,可以在上面執行處理的基板表面包括例如為矽、氧化矽、應變矽、絕緣體上矽結構(SOI)、摻碳的氧化矽、非晶矽、經摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料、以及例如為金屬、氮化金屬、金屬合金、及其他導電材料的任何其他材料。基板包括(但不限於)半導體晶圓。可以將基板暴露於預處理過程以拋光、蝕刻、還原、氧化、羥基化、退火、及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理以外,在本揭示內容中,也可以如下文更詳細揭露地將所揭露的任何膜處理步驟執行於形成在基板上的下層(under-layer)上,且用語「基板表面」在上下文指示時要包括此類下層。因此,例如,若已經將膜/層或部分的膜/層沉積到基板表面上,則新沉積的膜/層的受暴面變成基板表面。
如此說明書及隨附請求項中所使用的,用語「前驅物」、「反應物」、「反應氣體」等等被交替使用以指稱可以與基板表面反應的任何氣態物種。
描述了用來提供完全自對準的通孔的方法及裝置。在一個實施例中,第一金屬化層包括沿著第一方向延伸的一組第一導線,該等第一導線中的每一者藉由第一絕緣層與相鄰的第一導線分開。蝕刻停止層在該第一絕緣層上,且第二絕緣層在該第一絕緣層上,該第二絕緣層藉由該蝕刻停止層與該第一絕緣層分開。第三絕緣層在該等第一導線中的一些上,使得至少一條導線沒有該第三絕緣層。第二金屬化層在該第二絕緣層及該第三絕緣層的一部分上,該第二金屬化層包括一組第二導線,該等第二導線沿著用一定角度與該第一方向交叉的第二方向延伸,該等第二導線中的每一者藉由第四絕緣體與相鄰的第二導線分開。至少一個通孔在該第一金屬化層與該第二金屬化層之間,該至少一個通孔中的每一者被形成於沒有該第三絕緣層的該至少一條第一導線上,且該通孔中具有導電材料,其中該通孔沿著該第二方向與該等第一導線中的一者自對準。
在一個實施例中,該通孔沿著該第一方向與該等第二導線中的一者自對準。
在一個實施例中,完全自對準的通孔是沿著至少兩個方向與下(或第一)金屬化層及上(或第二)金屬化層中的導線自對準的通孔。在一個實施例中,完全自對準的通孔是在一個方向上由硬質遮罩且在另一個方向上由下層的絕緣層所界定的,如下文進一步詳細描述的。
與常規技術相比,一些實施例有利地提供了在金屬凹陷期間具有最小化的側壁彎曲的完全自對準的通孔。在一些實施例中,完全自對準的通孔相對於常規的通孔提供了較低的通孔電阻及電容的益處。自對準的通孔的一些實施例提供了通孔與金屬化層的導線之間的完全對準,該完全對準是實質沒有誤差的,這有利地增加了設備產量及減少了設備成本。此外,自對準的通孔的一些實施例對於完全自對準的通孔提供了高的深寬比。
在以下說明中,闡述了許多具體細節(例如具體的材料、化學物質、構件尺度等等)以提供本揭示內容的實施例中的一或更多者的徹底了解。然而,本領域中的技術人員將理解到,可以在沒有該等具體細節的情況下實行本揭示內容的該一或更多個實施例。在其他的情況下,沒有很詳細地描述半導體製造過程、技術、材料、配備等等以避免不必要地模糊了此說明書。在利用所包括的說明書的情況下,本領域中的技術人員將能夠在無需過多實驗的情況下實施適當的功能性。
雖然在附圖中描述及示出了本揭示內容的某些示例性實施例,但要了解到,此類實施例僅是說明本揭示內容而不是限制本揭示內容,且此揭示內容並不限於所示出及描述的具體構造及佈置,因為本領域中的技術人員可以想得到變體。
在此說明書各處對於「一個實施例」、「另一個實施例」、或「一實施例」的指稱指的是,與該實施例結合描述的特定特徵、結構、或特性被包括在本揭示內容的至少一個實施例中。因此,在本說明書各處的各種地方中的語句「在一個實施例中」或「在一實施例中」的出現不一定全指本揭示內容的相同實施例。並且,可以在一或更多個實施例中用任何合適的方式結合特定的特徵、結構、或特性。
圖1A繪示依據一個實施例的用來提供完全自對準的通孔的電子設備114結構的俯視圖100及橫截面圖112。橫截面圖112沿著軸A-A',如圖1A中所描繪。圖1B是圖1A中所描繪的電子設備結構的透視圖120。下金屬化層(Mx)包括一組導線106,該等導線在基板102上的絕緣層104上沿著X軸(方向)122延伸,如圖1A及1B中所示。如圖1B中所示,X軸(方向)122用角度126與Y軸(方向)124交叉。在一個實施例中,角度126為約90度。在另一個實施例中,角度126是90度角以外的角度。絕緣層104包括溝槽108。導線106被沉積在溝槽108中。覆蓋層110被形成於絕緣層104上。
在一個實施例中,基板102包括半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷化銦(InP)、砷化銦鎵(InGaAs)、砷化鋁銦(InAlAs)、其他的半導體材料、或上述項目的任何組合。在一個實施例中,基板102是絕緣體上半導體結構(SOI)基板,該基板包括主體下基板、中間絕緣層、及頂部單晶層。頂部單晶層可以包括上文所列舉的任何材料,例如矽。在各種實施例中,基板102可以是例如有機、陶瓷、玻璃、或半導體基板。雖然本文中描述了幾種可以用來形成基板102的材料實例,但可以充當上面可以建造無源及有源電子設備(例如電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子設備、或任何其他的電子設備)的地基的任何材料都落在本揭示內容的精神及範圍之內。
在一個實施例中,基板102包括積體電路的一或更多個金屬化互連層。在至少一些實施例中,基板102包括被配置為連接金屬化層的互連結構(例如通孔)。在至少一些實施例中,基板102包括電子設備,例如電晶體、記憶體、電容器、電阻器、光電子設備、開關、及被電絕緣層(例如層間介電體、溝槽絕緣層、或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。在一個實施例中,基板102包括基板102上方的一或更多個層以約束晶格錯位及缺陷。
絕緣層104可以是適於使相鄰設備絕緣及防止洩漏的任何材料。在一個實施例中,電絕緣層104是氧化物層(例如二氧化矽)或由電子設備設計所決定的任何其他電絕緣層。在一個實施例中,絕緣層104包括層間介電體(ILD)。在一個實施例中,絕緣層104是低k介電體,該低k介電體包括(但不限於)例如二氧化矽、氧化矽、摻碳氧化物(「CDO」)(例如摻碳二氧化矽)、多孔二氧化矽(SiO2 )、氮化矽(SiN)、或上述項目的任何組合的材料。
在一個實施例中,絕緣層104包括具有小於5的k值的介電材料。在一個實施例中,絕緣層104包括具有小於2的k值的介電材料。在至少一些實施例中,絕緣層104包括氧化物、摻碳氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃,有機矽酸鹽玻璃(SiOCH)、或上述項目的任何組合、由電子設備設計所決定的其他電絕緣層、或上述項目的任何組合。在至少一些實施例中,絕緣層104可以包括聚醯亞胺、環氧樹脂、光可界定(photodefinable)材料(例如苯并環丁烯)、及WPR系列材料、或旋塗玻璃。
在一個實施例中,絕緣層104是低k層間介電體,以在基板102上將一條金屬線與其他金屬線隔離。在一個實施例中,絕緣層104的厚度是在從約10奈米(nm)到約2微米(µm)的近似範圍中。
在一個實施例中,絕緣層104是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他絕緣沉積技術。
在一個實施例中,包括導線106(即金屬線)的下金屬化層Mx是電子設備的後端金屬化的一部分。在一個實施例中,使用硬質遮罩來圖案化及蝕刻絕緣層104,以使用微電子設備製造領域中的技術人員所習知的一或更多種圖案化及蝕刻技術來形成溝槽108。在一個實施例中,絕緣層104中的溝槽108的尺寸是由稍後在一個過程中所形成的導線的尺寸所決定的。
在一個實施例中,形成導線106的步驟涉及用導電材料層填充溝槽108。在一個實施例中,首先將基底層(未示出)沉積於溝槽108的內側壁及底部上,且接著將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電屏障層(未示出)上的導電種子層(未示出)。種子層可以包括銅(Cu),而導電屏障層可以包括鋁(Al)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)等金屬。可以使用導電屏障層來防止來自種子層的導電材料(例如銅或鈷)擴散到絕緣層104中。此外,可以使用導電屏障層來提供種子層(例如銅)的黏著。
在一個實施例中,為了形成基底層,將導電屏障層沉積到溝槽108的側壁及底部上,且接著將種子層沉積於導電屏障層上。在另一個實施例中,導電基底層包括直接沉積到溝槽108的側壁及底部上的種子層。可以使用半導體製造領域中的技術人員所習知的任何薄膜沉積技術(例如濺射、敷層沉積等等)來沉積導電屏障層及種子層中的每一者。在一個實施例中,導電屏障層及種子層中的每一者具有從約1 nm到約100 nm的近似範圍中的厚度。在一個實施例中,屏障層可以是已經被蝕刻為對以下的金屬層建立導電性的薄介電體。在一個實施例中,可以完全省略屏障層,且可以使用銅線的適當摻雜來製作「自形成的屏障」。
在一個實施例中,導電層(例如銅或鈷)藉由電鍍過程沉積到銅的基底層的種子層上。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的鑲嵌過程將導電層沉積到溝槽108中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他沉積技術)將導電層沉積到溝槽108中的種子層上。
在一個實施例中,導線106的導電層的材料的選擇決定了種子層的材料的選擇。例如,若導線106的材料包括銅,則種子層的材料也包括銅。在一個實施例中,導線106包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pd)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、或上述項目的任何組合。
在替代性的實施例中,可以用於金屬化層Mx的導線106的導電材料實例例如是金屬(例如銅(Cu)、鉭(Ta)、鎢(W)、釕(Ru)、鈦(Ti)、鉿(Hf)、鋯(Zr)、鋁(Al)、銀(Ag)、錫(Sn)、鉛(Pb))、金屬合金、金屬碳化物(例如碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鉭(TaC)、碳化鋁(AlC))、其他導電材料、或上述項目的任何組合。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(「CMP」)技術將導電層及基底層的一部分移除以使導線106的頂部與絕緣層104的頂部平坦化。
在一個非限制性的實例中,導線106的厚度(沿著圖1A的z軸量測)是在從約15 nm到約1000 nm的近似範圍中。在一個非限制性實例中,導線106的厚度為從約20 nm到約200 nm。在一個非限制性的實例中,導線106的寬度(沿著圖1A的y軸量測)是在從約5 nm到約500 nm的近似範圍中。在一個非限制性的實例中,導線106之間的間隔(間距)為從約2 nm到約500 nm。在更具體的非限制性實例中,導線106之間的間隔(間距)為約5 nm到約50 nm。
在一個實施例中,下金屬化層Mx被配置為連接到其他的金屬化層(未示出)。在一個實施例中,金屬化層Mx被配置為向電子設備提供電接觸,該電子設備例如為電晶體、記憶體、電容器、電阻器、光電子設備、開關、及被電絕緣層(例如層間介電體、溝槽絕緣層、或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。
在一或更多個實施例中,覆蓋層包括氮化矽(SiN)。在一或更多個實施例中,覆蓋層選自氮化矽(SiN)、氧化矽(SiO)、氮氧化矽(SiON)、及氮碳化矽(SiCN)中的一或更多者。覆蓋層110保護絕緣層104。在一或更多個實施例中,覆蓋層110最小化溝槽108的側壁的彎曲。
圖2是在導線106依據一個實施例凹陷之後的與圖1A的橫截面圖112類似的視圖200。導線106凹陷到預定深度以形成凹陷的導線202。如圖2中所示,溝槽204被形成於絕緣層104中。每個溝槽204具有側壁206及底部,該等側壁是絕緣層104的一部分,該底部是凹陷導線202的頂面208。
在一個實施例中,溝槽204的深度為從約10 nm到約500 nm。在一個實施例中,溝槽204的深度為從導線厚度的約10%到約100%。在一個實施例中,使用電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來使導線106凹陷。
圖3是在襯墊302依據一個實施例沉積於凹陷的導線202上之後的與圖2類似的視圖300。襯墊302被沉積於溝槽204的底部及側壁上,如圖3中所示。
在一個實施例中,襯墊302被沉積為保護導線202免於稍後在一個過程中(例如在鎢沉積或其他過程期間)改變性質。在一個實施例中,襯墊302是導電襯墊。在另一個實施例中,襯墊302是非導電襯墊。在一個實施例中,在襯墊302是非導電襯墊時,襯墊302稍後在一個過程中被移除,如下文進一步詳細描述的。在一個實施例中,襯墊302包括氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)、或上述項目的任何組合。在另一個實施例中,襯墊302是氧化物,例如氧化鋁(Al2 O3 )、氧化鈦(TiO2 )。在又另一個實施例中,襯墊302是氮化物,例如氮化矽(SiN)。在一個實施例中,襯墊302被沉積到從約0.5 nm到約10 nm的厚度。
在一個實施例中,使用原子層沉積(ALD)技術來沉積襯墊302。在一個實施例中,使用沉積技術中的一者來沉積襯墊302,該沉積技術例如是但不限於CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他襯墊沉積技術。
圖4是在種子間隙填充層402依據一個實施例沉積於襯墊302上之後的與圖3類似的視圖400。在一個實施例中,種子間隙填充層402是自對準的選擇性生長種子膜。如圖4中所示,種子間隙填充層402被沉積於凹陷導線202的頂面208、溝槽204的側壁206、及絕緣層104的頂部上的襯墊302上。在一個實施例中,種子間隙填充層402是鎢(W)層或其他的種子間隙填充層以提供選擇性生長支柱。在一些實施例中,種子間隙填充層402是金屬膜或含金屬膜。合適的金屬膜包括但不限於包括以下項目中的一或更多者的膜:鈷(Co)、鉬(Mo)、鎢(W)、鉭(Ta)、鈦(Ti)、釕(Ru)、銠(Rh)、銅(Cu)、鐵(Fe)、錳(Mn)、釩(V)、鈮(Nb)、鉿(Hf)、鋯(Zr)、釔(Y)、鋁(Al)、錫(Sn) 、鉻(Cr)、鑭(La)、或上述項目的任何組合。在一些實施例中,種子間隙填充層402包括鎢(W)種子間隙填充層。
在一個實施例中,使用沉積技術中的一者來沉積種子間隙填充層402,該沉積技術例如是但不限於ALD、CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他襯墊沉積技術。
圖5是在種子間隙填充層402的一部分依據一個實施例移除以暴露覆蓋層110的頂部之後的與圖4類似的視圖500。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(CMP)技術中的一者來移除種子間隙填充層402的一部分。
圖6是在依據一個實施例使用凹陷導線202上的襯墊302上的種子間隙填充層402來形成自對準的選擇性生長支柱602之後的與圖5類似的視圖600。如圖6中所示,自對準選擇性生長支柱602的陣列具有與該組導線202相同的圖案。如圖6中所示,支柱602從導線202的頂面實質正交地延伸。如圖6中所示,支柱602沿著與導線202相同的方向延伸。如圖6中所示,支柱被間隙606分開。
在一個實施例中,支柱602從導線202上的襯墊302的一部分上的種子間隙填充層402選擇性地生長。支柱602不生長在絕緣層104上的襯墊302的一部分上,如圖6中所示。在一個實施例中,例如藉由氧化、氮化、或其他過程來擴張種子間隙填充層402在導線202上方的一部分以生長支柱602。在一個實施例中,藉由暴露於氧化劑或氧化條件來氧化種子間隙填充層402以將金屬或含金屬的種子間隙填充層402轉變成金屬氧化物支柱602。在一個實施例中,支柱602包括上文列舉的一或更多種金屬的氧化物。在更具體的實施例中,支柱602包括氧化鎢(例如WO、WO3 、及其他的氧化鎢)。
氧化劑可以是任何合適的氧化劑,包括但不限於O2 、O3 、N2 O、H2 O、H2 O2 、CO、CO2 、N2 /Ar、N2 /He、N2 /Ar/He、過硫酸銨、有機過氧化物劑(例如間氯過苯甲酸及過酸(例如三氟過乙酸、2,4-二硝基過苯甲酸、過乙酸、過硫酸、過碳酸、過硼酸等等))、或上述項目的任何組合。在一些實施例中,氧化條件包括熱氧化、電漿增強氧化、遠端電漿氧化、微波及射頻氧化(例如感應耦合電漿(ICP)、電容耦合電漿(CCP))。
在一個實施例中,支柱602是藉由取決於例如種子間隙填充層及氧化劑的組成在任何合適的溫度下氧化種子間隙填充層來形成的。在一些實施例中,氧化發生在約25℃到約800℃的近似範圍中的溫度下。在一些實施例中,氧化發生在大於或等於約150℃的溫度下。
支柱602用豎直的方式形成以生長與覆蓋層110的表面正交的支柱。如用此種方式所使用的,用語「正交」指的是,由支柱602的側壁所形成的主要平面用在約75º到約105º的範圍中、或在約80º到約100º的範圍中、或在約85º到約95º的範圍中、或約90º的相對角度與覆蓋層110的表面相交。
在一個實施例中,支柱602的高度604是在從約5埃(Å)到約10微米(µm)的近似範圍中。
圖7是在覆蓋層110的至少一部分被選擇性地移除以暴露絕緣層104的頂面702之後的與圖6類似的視圖700。可以藉由將基板102暴露於熱磷酸的溶液(即「熱磷(hot phos)」)來移除覆蓋層110。在一或更多個實施例中,藉由將基板102暴露於熱磷酸的溶液(熱磷)來移除整個覆蓋層110。雖然不希望被理論束縛,但認為,覆蓋層110充當犠牲層,該犠牲層在過程流程開始時引入且在中途移除以使支柱看起來更高。
在一或更多個實施例中,熱磷酸的溶液(熱磷)在水中具有在1重量百分比到99重量百分比的範圍中的濃度。在一些實施例中,磷酸濃度為1重量百分比到99重量百分比。可以用熱磷酸溶液(熱磷)處理基板102達在0.1分鐘到60分鐘的範圍中的時間。在一些實施例中,用熱磷酸溶液(熱磷)處理基板102達在約2秒到約2小時、或約2秒到約1小時的範圍中的時間。在一或更多個實施例中,熱磷酸溶液(熱磷)的溫度是在15℃到400℃的範圍中。在一些實施例中,熱磷酸溶液(熱磷)的溫度是在25℃到500℃的範圍中。在一些實施例中,熱磷酸溶液(熱磷)的溫度是大於500℃。
在一或更多個實施例中,覆蓋層110的移除增加了深寬比。在一或更多個實施例中,深寬比是在1:1到10:1的範圍中。
藉由透射電子顯微鏡(TEM)及電子能量損失能譜(EELS)出乎意料地且有利地發現,熱磷移除過程對於覆蓋層110是有選擇性的且並不影響氧化鎢層。
圖8是與圖7類似且在絕緣層802依據一個實施例沉積以過度填充支柱602之間的間隙606之後的視圖800。如圖8中所示,絕緣層802被沉積於支柱602的相反側壁804及頂部806上且通過支柱602之間的絕緣層104及襯墊302的一部分上的間隙606。
在一個實施例中,絕緣層802是低k間隙填充層。在一個實施例中,絕緣層802是可流動的氧化矽(FSiOx)層。在至少一些實施例中,絕緣層802是氧化物層(例如二氧化矽(SiO2 ))或由電子設備設計所決定的任何其他的電絕緣層。在一個實施例中,絕緣層802是層間介電體(ILD)。在一個實施例中,絕緣層802是低k介電體,該低k介電體包括但不限於例如為以下項目的材料:二氧化矽、氧化矽、碳基材料(例如多孔碳膜、摻碳氧化物(「CDO」)(例如摻碳二氧化矽))、多孔二氧化矽、多孔氧化矽碳氫化物(SiOCH)、氮化矽、或上述項目的任何組合。在一個實施例中,絕緣層802是具有小於3的k值的介電材料。在更具體的實施例中,絕緣層802是具有在從約2.2到約2.7的近似範圍中的k值的介電材料。在一個實施例中,絕緣層802包括具有小於2的k值的介電材料。在一個實施例中,絕緣層802表示上文針對絕緣層104所描述的絕緣層中的一者。
在一個實施例中,絕緣層802是低k層間介電體,以將一條金屬線與其他金屬線隔離。在一個實施例中,絕緣層802是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD、或微電子設備製造領域中的技術人員所習知的其他低k絕緣層沉積技術。
圖9是在絕緣層802的一部分依據一個實施例移除以暴露支柱602的頂部806之後的與圖8類似的視圖900。在一個實施例中,絕緣層802的該部分是使用微電子設備製造領域中的技術人員所習知的CMP技術來移除的。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的乾式或濕式蝕刻技術中的一或更多者將絕緣層802的該部分回蝕刻以暴露支柱602的頂部806。
在一個實施例中,絕緣層802是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD、或微電子設備製造領域中的技術人員所習知的其他低k絕緣層沉積技術。在另一個實施例中,絕緣層802被沉積為過度填充支柱602之間的間隙606,如針對圖8所描述的,且接著使用微電子設備製造領域中的技術人員所習知的乾式或濕式蝕刻技術中的一或更多者將絕緣層802的一部分回蝕刻以暴露側壁804的上部808及支柱602的頂部806。
圖10是在自對準的選擇性生長的支柱602依據一個實施例選擇性地移除以形成溝槽902之後的與圖9類似的視圖1000。如圖10中所示,相對於絕緣層802及襯墊302選擇性地移除支柱602。在另一個實施例中,在襯墊302是非導電襯墊時,移除襯墊302。在一個實施例中,相對於絕緣層802及104以及導線202選擇性地移除支柱602及襯墊302。如圖10中所示,溝槽902被形成於絕緣層802及104中。溝槽902沿著凹陷導線202延伸。如圖10中所示,每個溝槽902具有底部及相反的側壁,該底部是襯墊302的底部904,該等側壁包括襯墊302的側壁部分906、及絕緣層802的一部分。在另一個實施例中,在襯墊302被移除時,每個溝槽902具有底部及相反的側壁,該底部是凹陷導線202,該等側壁包括絕緣層802及104的一部分。一般而言,溝槽的深寬比指的是溝槽的深度與溝槽的寬度的比率。在一個實施例中,每個溝槽902的深寬比是在從約1:1到約200:1的近似範圍中。
在一個實施例中,使用電子設備製造領域中的技術人員所習知的乾式及濕式蝕刻技術中的一或更多者來選擇性地移除支柱602。在一個實施例中,藉由例如約80℃的溫度下的5重量百分比的氫氧化銨(NH4 OH)水溶液來選擇性地濕蝕刻支柱602。在一個實施例中,將過氧化氫(H2 O2 )添加到5重量百分比的NH4 OH水溶液以增加支柱602的蝕刻速率。在一個實施例中,支柱602是使用比率為1:1的氫氟酸(HF)及硝酸(HNO3 )來濕蝕刻的。在一個實施例中,支柱602是使用比率分別為3:7的HF及HNO3 來選擇性地濕蝕刻的。在一個實施例中,支柱602是使用比率分別為4:1的HF及HNO3 來選擇性地濕蝕刻的。在一個實施例中,支柱602是使用比率分別為30%:70%的HF及HNO3 來選擇性地濕蝕刻的。在一個實施例中,包括鎢(W)、鈦(Ti)、或鈦及鎢兩者的支柱602是使用比率分別為1:2的NH4 OH及H2 O2 來選擇性地濕蝕刻的。在一個實施例中,支柱602是使用305克的鐵氰化鉀(K3 Fe(CN)6 )、44.5克的氫氧化鈉(NaOH)、及1000 ml的水(H2 O)來選擇性地濕蝕刻的。在一個實施例中,支柱602是使用包括鹽酸(HCl)、HNO3 、硫酸(H2 SO4 )、HF、及H2 O2 中的稀釋或濃縮的一或更多種化學物質來選擇性地濕蝕刻的。在一個實施例中,支柱602是使用比率分別為4:4:3的HF、HNO3 、及乙酸(CH3 COOH)來選擇性地濕蝕刻的。在一個實施例中,支柱602是使用三氟溴甲烷(CBrF3 )反應性離子蝕刻(RIE)技術來選擇性地乾蝕刻的。在一個實施例中,支柱602是使用氯基、氟基、溴基化學物質、或上述項目的任何組合來選擇性地乾蝕刻的。在一個實施例中,支柱602是使用熱或溫的王水混合物來選擇性地濕蝕刻的,該混合物包括比率分別為3:1的HCl及HNO3 。在一個實施例中,支柱602是使用具有氧化劑的鹼(硝酸鉀(KNO3 )及二氧化鉛(PbO2 ))來選擇性地蝕刻的。在一個實施例中,使用電子設備製造領域中的技術人員所習知的乾式及濕式蝕刻技術中的一或更多者來選擇性地移除襯墊302。
圖11是視圖1100,且該視圖與在絕緣層1102依據一個實施例沉積到溝槽902中之後的圖10類似。如圖11中所示,絕緣層1102過度填充溝槽902,使得絕緣層1102的一部分被沉積於絕緣層802的頂部上。在一個實施例中,絕緣層1102的厚度大於或類似於絕緣層802的厚度。在一個實施例中,厚度1104比絕緣層802的厚度大至少二或三倍。在另一個實施例中,使用CMP或回蝕刻技術中的一或更多者來移除絕緣層1102的一部分以與絕緣層802的頂部平坦化,且接著將另一個絕緣層(未示出)沉積到絕緣層802及絕緣層1102的頂部上。如圖11中所示,絕緣層1102被沉積於溝槽904的側壁及底部上。如圖11中所示,絕緣層1102被沉積於襯墊302上及絕緣層802的一部分上。在另一個實施例中,在襯墊302被移除時,絕緣層1102被直接沉積於凹陷導線202以及絕緣層104及絕緣層802的一部分上。在一個實施例中,絕緣層1102相對於絕緣層802是有蝕刻選擇性的。一般而言,兩種材料之間的蝕刻選擇性被界定為它們在類似蝕刻條件下的蝕刻速率之間的比率。在一個實施例中,絕緣層1102的蝕刻速率與絕緣層802的蝕刻速率的比率為至少5:1、10:1、15:1、20:1、或25:1。在一個實施例中,絕緣層1102的蝕刻速率與絕緣層802的蝕刻速率的比率是在從約2:1到約50:1的近似範圍中、或在約3:1到約30:1的範圍中、或在約4:1到約20:1的範圍中。
在一個實施例中,絕緣層1102是低k間隙填充層。在一個實施例中,絕緣層1102是可流動的氧化矽碳化物(FSiOC)層。在一些其他的實施例中,絕緣層1102是氧化物層(例如二氧化矽)或由電子設備設計所決定的任何其他電絕緣層。在一個實施例中,絕緣層1102是層間介電體(ILD)。在一個實施例中,絕緣層1102是低k介電體,該低k介電體包括但不限於例如為以下項目的材料:二氧化矽、氧化矽、碳基材料(例如多孔碳膜、摻碳氧化物(「CDO」)(例如摻碳二氧化矽))、多孔二氧化矽、多孔氧化矽碳氫化物(SiOCH)、氮化矽、或上述項目的任何組合。在一個實施例中,絕緣層1102是具有小於3的k值的介電材料。在更具體的實施例中,絕緣層1102是具有在從約2.2到約2.7的近似範圍中的k值的介電材料。在一個實施例中,絕緣層1102包括具有小於2的k值的介電材料。在一個實施例中,絕緣層1102表示上文針對絕緣層104及絕緣層802所描述的絕緣層中的一者。
在一個實施例中,絕緣層1102是低k層間介電體,以將一條金屬線與其他金屬線隔離。在一個實施例中,絕緣層1102是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD、或微電子設備製造領域中的技術人員所習知的其他低k絕緣層沉積技術。
圖12是在硬質遮罩層1202依據一個實施例沉積於絕緣層1204上之後的視圖1200。圖12與圖11不同之處在於,襯墊302被移除,使得絕緣層1204被直接沉積於凹陷導線202以及絕緣層104及絕緣層802的一部分上,如上所述。在一個實施例中,硬質遮罩層1202是金屬化層硬質遮罩。如圖11中所示,硬質遮罩層1202被圖案化以界定複數個溝槽1206。如圖11中所示,溝槽1206沿著用一定角度與X軸(方向)122交叉的Y軸(方向)124延伸。在一個實施例中,方向124與方向124實質垂直。在一個實施例中,圖案化的硬質遮罩層1202是碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩層。在一個實施例中,圖案化的硬質遮罩層1202是使用微電子設備製造領域中的技術人員所習知的一或更多種硬質遮罩圖案化技術來形成的。在一個實施例中,通過圖案化的硬質遮罩層來蝕刻絕緣層1102,以使用微電子設備製造領域中的技術人員所習知的蝕刻技術中的一或更多者來形成溝槽1206。在一個實施例中,絕緣層1102中的溝槽的尺寸是由稍後在一個過程中所形成的導線的尺寸所決定的。
圖13A是在遮罩層1302依據一個實施例沉積於圖案化的硬質遮罩層1202上的絕緣層1304上之後的與圖12類似的視圖1300。圖13B是圖13A沿著軸C-C'的橫截面圖1310。
如圖13A及13B中所示,開口1306被形成於遮罩層1202中。開口1306被形成於導線202中的一者上方,如圖13A及13B中所示。在一個實施例中,開口1306界定稍後在一個過程中形成的完全自對準的通孔的溝槽部分。
在一個實施例中,遮罩層1302包括光致抗蝕層。在一個實施例中,遮罩層1302包括一或更多個硬質遮罩層。在一個實施例中,絕緣層1304是硬質遮罩層。在一個實施例中,絕緣層1304包括底部防反射塗料(BARC)層。在一個實施例中,絕緣層1304包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或上述項目的任何組合。在一個實施例中,絕緣層1304表示上述絕緣層中的一者。在一個實施例中,遮罩層1302是使用微電子設備製造領域中的技術人員所習知的一或更多種遮罩層沉積技術來沉積的。在一個實施例中,絕緣層1304是使用沉積技術中的一者來沉積的,該沉積技術例如是(但不限於)CVD、PVD、MBE、NOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他絕緣層沉積技術。在一個實施例中,開口1306是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖14A是在依據一個實施例通過開口1306選擇性地蝕刻絕緣層1304及硬質遮罩層1202以形成開口1402之後的與圖13B類似的視圖1400。圖14B是在依據一個實施例通過開口1306選擇性地蝕刻絕緣層1304及絕緣層1102以形成開口1402之後的與圖13A類似的視圖1410。
圖14B與圖13A的不同之處在於,圖14B示出沿著X軸122及Y軸124的切穿開口1402。如圖14A及14B中所示,開口1402包括通孔部分1404及溝槽部分1406。如圖14A及14B中所示,開口1402的通孔部分1404被絕緣層802沿著Y軸124限制。開口1402的通孔部分1404沿著Y軸124與導線202中的一者自對準。如圖14A及14B中所示,溝槽部分1406被硬質遮罩層1202的沿著Y軸124延伸的特徵沿著X軸122限制。在一個實施例中,相對於絕緣層802選擇性地蝕刻絕緣層1102以形成開口1402。
在一個實施例中,相對於絕緣層802選擇性地蝕刻硬質遮罩層1202以形成開口1402。如圖14A及14B中所示,遮罩層1302及絕緣層1304被移除。在一個實施例中,遮罩層1302是使用微電子設備製造領域中的技術人員所習知的遮罩層移除技術中的一或更多者來移除的。在一個實施例中,絕緣層1304是使用微電子設備製造領域中的技術人員所習知的蝕刻技術中的一或更多者來移除的。
圖15A是在遮罩層1502依據一個實施例沉積於受暴的絕緣層802及絕緣層1102上之後的與圖11類似的視圖1500。圖15B是圖15A中所描繪的電子設備結構的俯視圖1510。如圖15A中所示,絕緣層1102的一部分被移除以將絕緣層802的頂部與絕緣層1102的頂部平坦化。如圖15A及15B中所示,遮罩層1502具有開口1506以暴露硬質遮罩層1502。
在一個實施例中,絕緣層1102的該部分是使用微電子設備製造領域中的技術人員所習知的CMP技術來移除的。在一個實施例中,絕緣層1102的一部分被回蝕刻以暴露絕緣層802的頂部。在另一個實施例中,絕緣層802的一部分被回蝕刻到預定的深度,以暴露溝槽902中的側壁的上部及絕緣層1102的頂部。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的乾式及濕式蝕刻技術中的一或更多者來回蝕刻絕緣層802的該部分。
在一個實施例中,遮罩層1502包括光致抗蝕層。在一個實施例中,遮罩層1502包括一或更多個硬質遮罩層。在一個實施例中,遮罩層1502是三層式遮罩堆疊,例如氧化矽硬質遮罩上的底部防反射塗料(BARC)層上的中間層(ML)(例如含矽有機層或含金屬介電層)上的193 nm浸入(193i)或EUV抗蝕遮罩。在一個實施例中,硬質遮罩層1504是金屬化層硬質遮罩以圖案化下個金屬化層的導線。在一個實施例中,硬質遮罩層1504包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或上述項目的任何組合。在一個實施例中,硬質遮罩層1504表示上述硬質遮罩層中的一者。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的一或更多種圖案化及蝕刻技術使用硬質遮罩1504來圖案化及蝕刻絕緣層802及絕緣層1102以形成溝槽。在一個實施例中,絕緣層802及絕緣層1102中的溝槽的尺寸是由稍後在一個過程中所形成的導線的尺寸所決定的。
在一個實施例中,遮罩層1502是使用微電子設備製造領域中的技術人員所習知的遮罩沉積技術中的一或更多者來沉積的。在一個實施例中,硬質遮罩層1504是使用一或更多種硬質遮罩層沉積技術來沉積的,該等沉積技術例如是(但不限於)CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩沉積。在一個實施例中,開口1506是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖16A是依據一個實施例在通過開口1506移除硬質遮罩層1504、絕緣層802、及絕緣層1102的一部分以在絕緣層802中形成開口1602之後的與圖15A類似的視圖1600。圖16B是圖16A中所描繪的電子設備結構的俯視圖1620。在一個實施例中,開口1602是通孔的溝槽開口。如圖16A及16B中所示,開口1602包括底部1612,該底部包括絕緣層1102在絕緣層802的部分1606與1608之間的部分1604。如圖16A及16B中所示,開口1602包括包括絕緣層802的一部分的相反側壁1610。在一個實施例中,每個側壁1610與底部1612實質正交。在另一個實施例中,每個側壁1610相對於底部1612用90度以外的角度傾斜,使得開口1602的上部大於開口1602的下部。
在一個實施例中,具有傾斜側壁的開口1602是使用成角度的非選擇性蝕刻來形成的。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來移除硬質遮罩層1504。在一個實施例中,絕緣層802及絕緣層1102是使用溝槽優先雙鑲嵌過程中的非選擇性蝕刻來移除的。在一個實施例中,將絕緣層802及絕緣層1102向下蝕刻到由時間所決定的深度。在另一個實施例中,將絕緣層802及絕緣層1102非選擇性地向下蝕刻到蝕刻停止層(未示出)。在一個實施例中,絕緣層802及絕緣層1102是使用電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來非選擇性地蝕刻的。
圖17A是在完全自對準的開口1702依據一個實施例形成於絕緣層802中之後的與圖16A類似的視圖1700。圖17B是圖17A中所描繪的電子設備結構的俯視圖1720。如圖17A及17B中所示,遮罩層1502被移除。可以使用微電子設備製造領域中的技術人員所習知的遮罩層移除技術中的一者來移除遮罩層1502。圖案化的遮罩層1714被形成於硬質遮罩層1504上。如圖17B中所示,圖案化的遮罩層1714被沉積於硬質遮罩層1504上且到開口1602中。圖案化的遮罩層1714具有開口1708。可以使用微電子設備製造領域中的技術人員所習知的遮罩層沉積、圖案化、及蝕刻技術中的一或更多者來形成圖案化的遮罩層1714。
通過遮罩開口1708形成完全自對準的開口1702。完全自對準的開口1702包括溝槽開口1706及通孔開口1704,如圖17A及17B中所示。通孔開口1704在溝槽開口1706下方。在一個實施例中,溝槽開口1706是通過開口1708暴露的部分。
在一個實施例中,通孔開口1704是藉由通過遮罩開口1708及溝槽開口1706相對於絕緣層802選擇性地蝕刻絕緣層1102來形成的。在一個實施例中,溝槽開口1706沿著Y軸124延伸。如圖17B中所示,溝槽開口1706沿著Y軸124是比沿著X軸122大的。
在一個實施例中,開口1702的溝槽開口1706在硬質遮罩層1504的特徵之間沿著X橫截軸122自對準,該等特徵用來圖案化沿著Y軸124延伸的上金屬化層導線(未示出)。開口1702的通孔開口1704藉由絕緣層802沿著Y軸124自對準,絕緣層802藉由相對於絕緣層802選擇性地蝕刻絕緣層1102的部分1604而保持完整。因為溝槽開口1706的尺寸並不需要受限於導線1716與上金屬化層的導線中的一者之間的橫截面的尺寸,這提供了提供光刻配備更多彈性的優點。隨著相對於絕緣層802選擇性地移除部分1604,溝槽開口的尺寸增加。
如圖16A及16B中所示,部分1604與導線1716自對準,該導線是下金屬化層導線202中的一者。即,開口1702沿著X及Y軸兩者自對準。
圖17A與圖16A的不同之處在於,圖17A繪示具有傾斜側壁1710的溝槽開口1706。每個側壁1710相對於基板102的頂面呈現90度以外的角度,使得溝槽開口1706的上部大於溝槽開口1706的下部。在另一個實施例中,側壁1710與基板102的頂面實質正交。
在一個實施例中,遮罩層1714包括光致抗蝕層。在一個實施例中,遮罩層1714包括一或更多個硬質遮罩層。在一個實施例中,遮罩層1714是三層式遮罩堆疊,例如氧化矽硬質遮罩上的BARC層上的ML(例如含矽有機層或含金屬介電層)上的193i或EUV抗蝕遮罩。如圖17A及17B中所示,通孔開口1704暴露導線1716上的襯墊302的部分1712。在另一個實施例中,在襯墊302被移除時,通孔開口1704暴露導線1716。
圖18A是在包括沿著Y軸124延伸的導線的上金屬化層My依據一個實施例形成之後的與圖17A類似的視圖1800。圖18B是圖18A中所描繪的電子設備結構的俯視圖1830。圖18A是圖18B沿著軸D-D'的橫截面圖。如圖18A中所示,遮罩層1502及硬質遮罩層1504被移除。在一個實施例中,遮罩層1502及硬質遮罩層1504中的每一者是使用微電子設備製造領域中的技術人員中所習知的硬質遮罩層移除技術中的一或更多者來移除的。
上金屬化層My包括在絕緣層1102的一部分及絕緣層802的一部分上延伸的一組導線1802。如圖18B中所示,絕緣層1102的一部分是在絕緣層802的一部分之間。導線1802沿著Y軸124延伸。完全自對準的通孔1824包括溝槽部分1804及通孔部分1806。通孔部分1806在溝槽部分1804下方。完全自對準的通孔1824是在包括沿著X軸122延伸的導線202的下金屬化層與包括導線1802的上金屬化層之間。如圖18A及18B中所示,通孔部分1806在導線1716上的襯墊302上。如圖18A及18B中所示,通孔1824的通孔部分1806沿著Y軸124與導線1716自對準,該導線是導線202中的一者。通孔1824的通孔部分1806沿著X軸(方向)122與導線1822自對準,該導線是導線1802中的一者。在一個實施例中,在襯墊302被移除時,通孔部分1806直接在導線1716上。如圖18A及18B中所示,通孔部分1806是導線1822的一部分。如圖18A及18B中所示,通孔部分1806的尺寸是由導線1716與導線1822之間的橫截面的尺寸所決定的。
在一個實施例中,形成導線1802及通孔1824的步驟涉及用導電材料層填充絕緣層中的溝槽及開口1702。在一個實施例中,首先將基底層(未示出)沉積於溝槽的內側壁及底部以及開口1702上,且接著將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電屏障層(未示出)上的導電種子層(未示出)。種子層可以包括銅,而導電屏障層可以包括鋁、鈦、鉭、氮化鉭等金屬。可以使用導電屏障層來防止來自種子層的導電材料(例如銅)擴散到絕緣層中。此外,可以使用導電屏障層來提供種子層(例如銅)的黏著。
在一個實施例中,為了形成基底層,將導電屏障層沉積到溝槽的側壁及底部上,且接著將種子層沉積於導電屏障層上。在另一個實施例中,導電基底層包括直接沉積到溝槽的側壁及底部上的種子層。可以使用半導體製造領域中的技術人員所習知的任何薄膜沉積技術(例如濺射、敷層沉積等等)來沉積導電屏障層及種子層中的每一者。在一個實施例中,導電屏障層及種子層中的每一者具有從約1 nm到約100 nm的近似範圍中的厚度。在一個實施例中,屏障層可以是已經被蝕刻為對以下的金屬層建立導電性的薄介電體。在一個實施例中,可以完全省略屏障層,且可以使用銅線的適當摻雜來製作「自形成的屏障」。
在一個實施例中,導電層(例如銅或鈷)藉由電鍍過程沉積到銅的基底層的種子層上。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的鑲嵌過程將導電層沉積到溝槽中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他沉積技術)將導電層沉積到溝槽中的種子層上及開口1702中。
在一個實施例中,導線1802及通孔1824的導電層的材料的選擇決定了種子層的材料的選擇。例如,若導線1802及通孔1824的材料包括銅,則種子層的材料也包括銅。在一個實施例中,導線1802及通孔1824包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、或上述項目的任何組合。
在替代性的實施例中,可以用於導線1802及通孔1824的導電材料實例包括金屬(例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料、或上述項目的任何組合。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(「CMP」)技術將導電層及基底層的一部分移除以使導線1802的頂部與絕緣層802及絕緣層1102的頂部平坦化。
在一個非限制性實例中,導線1802的厚度是在從約15 nm到約1000 nm的近似範圍中。在一個非限制性實例中,導線1802的厚度為從約20 nm到約200 nm。在一個非限制性實例中,導線1802的寬度是在從約5 nm到約500 nm的近似範圍中。在一個非限制性的實例中,導線1802之間的間隔(間距)為從約2 nm到約500 nm。在更具體的非限制性實例中,導線1802之間的間隔(間距)為從約5 nm到約50 nm。
圖19到23(包括A及B標記)繪示了本揭示內容的另一個實施例。圖19A是在遮罩層1904依據一個實施例沉積於絕緣層1102上的硬質遮罩層1902上之後的與圖11類似的視圖1900。圖19B是圖19A中所描繪的電子設備結構的俯視圖1910。如圖19A及19B中所示,遮罩層1904具有開口1906以暴露硬質遮罩層1902。
在一個實施例中,遮罩層1904包括光致抗蝕層。在一個實施例中,遮罩層1904包括一或更多個硬質遮罩層。在一個實施例中,遮罩層1904是三層式遮罩堆疊,例如氧化矽硬質遮罩上的底部防反射塗料(BARC)層上的中間層(ML)(例如含矽有機層或含金屬介電層)上的193 nm浸入(193i)或EUV抗蝕遮罩。在一個實施例中,硬質遮罩層1902是金屬化層硬質遮罩以圖案化下個金屬化層的導線。在一個實施例中,硬質遮罩層1902包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或上述項目的任何組合。在一個實施例中,硬質遮罩層1804表示上述硬質遮罩層中的一者。
在一個實施例中,遮罩層1904是使用微電子設備製造領域中的技術人員所習知的遮罩沉積技術中的一或更多者來沉積的。在一個實施例中,硬質遮罩層1902是使用一或更多種硬質遮罩層沉積技術來沉積的,該等沉積技術例如是(但不限於)CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩沉積。在一個實施例中,開口1906是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖20A是依據一個實施例在通過開口1906移除硬質遮罩層1902及絕緣層1102的一部分以在絕緣層1102中形成開口2002之後的與圖19A類似的視圖2000。圖20B是圖20A中所描繪的電子設備結構的俯視圖2050。在一個實施例中,開口2002是通孔的溝槽開口。如圖20A及20B中所示,開口2002包括底部2010,該底部包括絕緣層1102在絕緣層802的部分2006與2008之間的部分2004。如圖20A及20B中所示,開口2002包括包括絕緣層1102的一部分的相反側壁2012。在一個實施例中,每個側壁2012與底部2010實質正交。在另一個實施例中,每個側壁2012相對於底部2010用90度以外的角度傾斜,使得開口2002的上部大於開口2002的下部。
在一個實施例中,具有傾斜側壁的開口2002是使用成角度的非選擇性蝕刻來形成的。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來移除硬質遮罩層1902。在一個實施例中,絕緣層1102是使用溝槽優先雙鑲嵌過程中的非選擇性蝕刻來移除的。在一個實施例中,將絕緣層1102向下蝕刻到由時間所決定的深度。在另一個實施例中,將絕緣層1102非選擇性地向下蝕刻到蝕刻停止層(未示出)。在一個實施例中,絕緣層1102是使用電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來非選擇性地蝕刻的。
圖21A是在依據一個實施例移除遮罩層1904、形成平坦化填充層2102、且形成具有完全自對準的開口2106的遮罩層2104之後的與圖20A類似的視圖2100。圖21B是圖21A中所描繪的電子設備結構的俯視圖2110。如圖21A及21B中所示,遮罩層1904被移除。可以使用微電子設備製造領域中的技術人員所習知的遮罩層移除技術中的一者來移除遮罩層1904。平坦化填充層2102被形成於開口2002中到受暴的絕緣層802及絕緣層1102的頂部上。所繪示的平坦化填充層2102被形成為使得覆蓋層2108被形成於硬質遮罩1902上。在一些實施例中,平坦化填充層2102被形成為與硬質遮罩1902實質共面。在一些實施例中,平坦化填充層2102是藉由例如CMP過程來平坦化的。平坦化填充層2102可以是任何合適的材料,包括但不限於BARC(底部防反射塗料)層(例如含C及H或Si的旋轉塗佈聚合物)、DARC(介電防反射塗料)層、或OPL(有機平坦化層)。一些實施例的平坦化填充層2102是藉由CVD或ALD來沉積的。在一些實施例中,平坦化填充層2102包括Si、O、N、C、或H中的一或更多種原子。
圖案化的遮罩層2104被形成於硬質遮罩層1902上。如圖21B中所示,圖案化的遮罩層2104被沉積於平坦化填充層2102上。圖案化的遮罩層2104具有開口2106。可以使用微電子設備製造領域中的技術人員所習知的遮罩層沉積、圖案化、及蝕刻技術中的一或更多者來形成圖案化的遮罩層2104。
在一個實施例中,遮罩層2104包括光致抗蝕層。在一個實施例中,遮罩層2104包括一或更多個硬質遮罩層。在一個實施例中,遮罩層2104是三層式遮罩堆疊,例如氧化矽硬質遮罩上的BARC層上的ML(例如含矽有機層或含金屬介電層)上的193i或EUV抗蝕遮罩。
圖22A是在通過開口2106移除平坦化填充層2102及絕緣層1102之後的與圖21A類似的視圖2200。所繪示的實施例使得圖案化的硬質遮罩層2104及平坦化填充層2102從硬質遮罩1902移除。通過遮罩開口2106形成完全自對準的開口2202。完全自對準的開口2202包括溝槽開口2206及通孔開口2204,如圖22A及22B中所示。通孔開口2204在溝槽開口2206下方。
在一或更多個實施例中,通孔開口2204是藉由通過遮罩開口2106及溝槽開口2206相對於絕緣層802選擇性地蝕刻絕緣層1102來形成的。在一個實施例中,溝槽開口2206沿著Y軸124延伸。如圖22B中所示,溝槽開口2206沿著Y軸124是比沿著X軸122大的。
在一個實施例中,開口2202的溝槽開口2206在硬質遮罩層1902的特徵之間沿著X軸自對準,該等特徵用來圖案化沿著Y軸124延伸的上金屬化層導線(未示出)。開口2202的通孔開口2204藉由絕緣層802沿著Y軸124自對準,絕緣層802藉由相對於絕緣層802選擇性地蝕刻絕緣層1102的部分2004而保持完整。因為溝槽開口2206的尺寸並不需要受限於導線2216與上金屬化層的導線中的一者之間的橫截面的尺寸,這提供了提供光刻配備更多彈性的優點。隨著相對於絕緣層802選擇性地移除部分2004,溝槽開口的尺寸增加。
如圖20A及20B中所示,部分2004與導線2216自對準,該導線是下金屬化層導線202中的一者。即,開口2202沿著X及Y軸兩者自對準。
圖22A繪示具有側壁2210的溝槽開口2206,該等側壁與基板102的頂面實質正交。在一些實施例中,每個側壁2210相對於基板102的頂面呈現90度以外的角度,使得溝槽開口2206的上部大於溝槽開口2206的下部。
如圖22A及22B中所示,通孔開口2204暴露導線2216上的襯墊302的部分2212。在另一個實施例中,在襯墊302被移除時,通孔開口2204暴露導線2216。
圖23A是在包括沿著Y軸124延伸的導線的上金屬化層My依據一個實施例形成之後的與圖22A類似的視圖2300。圖23B是圖23A中所描繪的電子設備結構的俯視圖2330。圖23A是圖23B沿著軸D-D'截取的橫截面圖。如圖23A中所示,硬質遮罩層1902被移除。在一個實施例中,硬質遮罩層1902是使用微電子設備製造領域中的技術人員中所習知的硬質遮罩層移除技術中的一或更多者來移除的。
上金屬化層My包括在絕緣層802的一部分上延伸的一組導線2302。在圖23A中所繪示的實施例中,導線2302被填充為與絕緣層1102的頂部共面。在一些實施例中,導線2302延伸於絕緣層1102的頂面上方,與圖18A中所示的類似。
如圖23B中所示,絕緣層1102的一部分是在絕緣層802的一部分之間。導線2302沿著Y軸124延伸。完全自對準的通孔2324包括溝槽部分2304及通孔部分2306。通孔部分2306在溝槽部分2304下方。完全自對準的通孔2324是在包括沿著X軸122延伸的導線202的下金屬化層與包括導線2302的上金屬化層之間。如圖23A及23B中所示,通孔部分2306在導線2216上的襯墊302上。如圖23A及23B中所示,通孔2324的通孔部分2306沿著Y軸124與導線2216自對準,該導線是導線202中的一者。通孔2324的溝槽部分2306沿著X軸122自對準。在一個實施例中,在襯墊302被移除時,通孔部分2306直接在導線2216上。
在一個實施例中,形成導線2302及通孔2324的步驟涉及用導電材料層填充絕緣層中的溝槽及開口2202。在一個實施例中,首先將基底層(未示出)沉積於溝槽的內側壁及底部以及開口2202上,且接著將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電屏障層(未示出)上的導電種子層(未示出)。種子層可以包括銅,而導電屏障層可以包括鋁、鈦、鉭、氮化鉭等金屬。可以使用導電屏障層來防止來自種子層的導電材料(例如銅)擴散到絕緣層中。此外,可以使用導電屏障層來提供種子層(例如銅或鈷)的黏著。
在一個實施例中,為了形成基底層,將導電屏障層沉積到溝槽的側壁及底部上,且接著將種子層沉積於導電屏障層上。在另一個實施例中,導電基底層包括直接沉積到溝槽的側壁及底部上的種子層。可以使用半導體製造領域中的技術人員所習知的任何薄膜沉積技術(例如濺射、敷層沉積等等)來沉積導電屏障層及種子層中的每一者。在一個實施例中,導電屏障層及種子層中的每一者具有從約1 nm到約100 nm的近似範圍中的厚度。在一個實施例中,屏障層可以是已經被蝕刻為對以下的金屬層建立導電性的薄介電體。在一個實施例中,可以完全省略屏障層,且可以使用銅線的適當摻雜來製作「自形成的屏障」。
在一個實施例中,導電層(例如銅)藉由電鍍過程沉積到銅的基底層的種子層上。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的鑲嵌過程將導電層沉積到溝槽中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他沉積技術)將導電層沉積到溝槽中的種子層上及開口2202中。
在一個實施例中,導線2302及通孔2324的導電層的材料的選擇決定了種子層的材料的選擇。例如,若導線2302及通孔2324的材料包括銅,則種子層的材料也包括銅。在一個實施例中,導線2302及通孔2324包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、或上述項目的任何組合。
在替代性的實施例中,可以用於導線2302及通孔2324的導電材料實例是但不限於金屬(例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料、或上述項目的任何組合。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(「CMP」)技術將導電層及基底層的一部分移除以使導線2302的頂部與絕緣層1102的頂部平坦化。
在一個非限制性實例中,導線2302的厚度是在從約15 nm到約1000 nm的近似範圍中。在一個非限制性實例中,導線2302的厚度為從約20 nm到約200 nm。在一個非限制性實例中,導線2302的寬度是在從約5 nm到約500 nm的近似範圍中。在一個非限制性的實例中,導線2302之間的間隔(間距)為從約2 nm到約500 nm。在更具體的非限制性實例中,導線2302之間的間隔(間距)為從約5 nm到約50 nm。
在一個實施例中,上金屬化層My被配置為連接到其他的金屬化層(未示出)。在一個實施例中,金屬化層My被配置為向電子設備提供電接觸,該電子設備例如為電晶體、記憶體、電容器、電阻器、光電子設備、開關、及被電絕緣層(例如層間介電體、溝槽絕緣層、或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。
圖24示出依據一個實施例的用來執行操作中的至少一些以提供完全自對準的通孔的電漿系統的方塊圖。如圖24中所示,系統2400具有處理腔室2402。用來固持電子設備結構2406的可移動托座2404被安置在處理腔室2402中。托座2404包括靜電吸盤(「ESC」)、嵌入到ESC中的DC電極、及冷卻/加熱基部。在一個實施例中,托座2404充當移動陰極。在一個實施例中,ESC包括Al2 O3 材料、Y2 O3 、或電子設備製造的技術人員所習知的其他陶瓷材料。DC電源2408被連接到托座2404的DC電極。
如圖24中所示,通過開口2416裝載電子設備結構2406且將該電子設備結構安置在托座2404上。電子設備結構2406表示上述電子設備結構中的一者。系統2400包括入口以向電漿源2426通過質量流量控制器2422輸入一或更多種過程氣體2424。包括淋噴頭2428的電漿源2426被耦接到處理腔室2402以接收一或更多種氣體2424以產生電漿。電漿源2416被耦接到RF源電源2420。電漿源2426通過淋噴頭2428使用高頻電場在處理腔室2402中由一或更多種過程氣體2424產生電漿2430。電漿2430包括電漿粒子,例如離子、電子、自由基、或上述項目的任何組合。在一個實施例中,電源2410用從約400kHz到約162MHz的頻率供應從約50W到約3000W的電力以產生電漿2430。
電漿偏壓電源2410經由RF匹配件2414耦接到托座2404(例如陰極)以對電漿供能。在一個實施例中,電漿偏壓電源2410用約2MHz到60MHz之間(且在一個詳細的實施例中用約13MHz)的頻率提供不大於1000W的偏壓電力。也可以提供電漿偏壓電源2412以例如用從約400kHz到約60MHz(且在一個詳細的實施例中用約60MHz)的頻率提供不大於1000W的另一種偏壓電力。電漿偏壓電源2412及偏壓電源2410被連接到RF匹配件2414以提供雙頻偏壓電力。在一個實施例中,施加到托座2404的總偏壓功率為從約10 W到約3000 W。
如圖24中所示,壓力控制系統2418向處理腔室2402提供壓力。如圖24中所示,腔室2402具有一或更多個排氣出口2432,以抽空在腔室中在處理期間所產生的揮發性產物。在一個實施例中,電漿系統2400是感應耦合式電漿(ICP)系統。在一個實施例中,電漿系統2400是電容耦合式電漿(CCP)系統。
控制系統2434被耦接到腔室2402。控制系統2434包括處理器3436、耦接到處理器2436的溫度控制器2438、耦接到處理器2436的記憶體2440、及耦接到處理器2436的輸入/輸出設備2442以如本文中所述地形成完全自對準的通孔。
在一個實施例中,處理器2436具有用來控制使基板上的第一絕緣層上的第一導線凹陷的配置,第一導線在第一絕緣層上沿著第一方向延伸。處理器2436具有用來控制將襯墊沉積在凹陷的第一導線上的配置。處理器具有用來控制在凹陷的第一導線上選擇性地生長種子層的配置。處理器2436具有用來控制使用選擇性地生長的種子層來形成支柱的配置。處理器2436具有用來控制在支柱之間沉積第二絕緣層的配置。處理器2436具有用來控制移除支柱以在第二絕緣層中形成溝槽的配置。處理器2436具有用來控制將第三絕緣層沉積到第二絕緣層中的溝槽中的配置。處理器2436具有用來控制相對於第二絕緣層選擇性地蝕刻第三絕緣層以將完全自對準的通孔開口向下形成到第一導線中的一者的配置。處理器2436具有用來控制將導電層沉積到自對準的通孔開口中的配置,如上所述。
控制系統2434被配置為執行如本文中所述的方法中的至少一些,且可以擇一是軟體或硬體或兩者的組合。電漿系統2400可以是本領域中習知的任何類型的高性能處理電漿系統,例如但不限於蝕刻器、清潔器、爐、或用來製造電子設備的任何類型的電漿系統。
圖25A繪示依據另一個實施例的用來提供完全自對準的通孔的電子設備結構的俯視圖3000及橫截面圖3012。圖25A與圖1A類似,但是是在形成覆蓋層3010之前將蝕刻停止層3014形成於絕緣層3004上之後。橫截面圖3012沿著軸E-E',如圖25A中所描繪。圖25B是圖25A中所描繪的電子設備結構的透視圖3020。下金屬化層(Mx)包括一組導線3006,該等導線在基板3002上的絕緣層3004上沿著X軸(方向)122延伸,如圖25A及25B中所示。如圖25B中所示,X軸(方向)122用角度126與Y軸(方向)124交叉。在一個實施例中,角度126為約90度。在另一個實施例中,角度126是90度角以外的角度。絕緣層3004包括溝槽3008。凹陷導線3006被沉積在溝槽3008中。覆蓋層3010被形成於絕緣層3004上。
在一個實施例中,蝕刻停止層3014為氧化鋁(Al2 O3 )。在一或更多個實施例中,蝕刻停止層3014選自氧化鋁(Al2 O3 )、二氧化鉿(HfO2 )、及上述項目的組合。
在一個實施例中,基板3002包括半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷化銦(InP)、砷化銦鎵(InGaAs)、砷化鋁銦(InAlAs)、其他的半導體材料、或上述項目的任何組合。在一個實施例中,基板3002是絕緣體上半導體結構(SOI)基板,該基板包括主體下基板、中間絕緣層、及頂部單晶層。頂部單晶層可以包括上文所列舉的任何材料,例如矽。在各種實施例中,基板3002可以是例如有機、陶瓷、玻璃、或半導體基板3002。雖然本文中描述了幾種可以用來形成基板3002的材料實例,但可以充當上面可以建造無源及有源電子設備(例如電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子設備、或任何其他的電子設備)的地基的任何材料都落在本揭示內容的精神及範圍之內。
在一個實施例中,基板3002包括積體電路的一或更多個金屬化互連層。在至少一些實施例中,基板3002包括被配置為連接金屬化層的互連結構(例如通孔)。在至少一些實施例中,基板3002包括電子設備,例如電晶體、記憶體、電容器、電阻器、光電子設備、開關、及被電絕緣層(例如層間介電體、溝槽絕緣層、或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。在一個實施例中,基板包括用來適應基板3002與基板3002上方的一或更多個層之間的晶格失配及用來約束晶格錯位及缺陷的一或更多個緩衝層。
絕緣層3004可以是適於使相鄰設備絕緣及防止洩漏的任何材料。在一個實施例中,電絕緣層3004是氧化物層(例如二氧化矽)或由電子設備設計所決定的任何其他電絕緣層。在一個實施例中,絕緣層3004包括層間介電體(ILD)。在一個實施例中,絕緣層3004是低k介電體,該低k介電體包括(但不限於)例如二氧化矽、氧化矽、摻碳氧化物(「CDO」)(例如摻碳二氧化矽)、多孔二氧化矽(SiO2 )、氮化矽(SiN)、或上述項目的任何組合的材料。
在一個實施例中,絕緣層3004包括具有小於5的k值的介電材料。在一個實施例中,絕緣層3004包括具有小於2的k值的介電材料。在至少一些實施例中,絕緣層3004包括氮化物、氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、由電子設備設計所決定的其他電絕緣層、或上述項目的任何組合。在至少一些實施例中,絕緣層3004可以包括聚醯亞胺、環氧樹脂、光可界定(photodefinable)材料(例如苯并環丁烯)、及WPR系列材料、或旋塗玻璃。
在一個實施例中,絕緣層3004是低k層間介電體,以在基板3002上將一條金屬線與其他金屬線隔離。在一個實施例中,層3004的厚度是在從約10奈米(nm)到約2微米(µm)的近似範圍中。
在一個實施例中,絕緣層3004是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他絕緣沉積技術。
在一個實施例中,包括導線3006(即金屬線)的下金屬化層Mx是電子設備的後端金屬化的一部分。在一個實施例中,使用硬質遮罩來圖案化及蝕刻絕緣層3004,以使用微電子設備製造領域中的技術人員所習知的一或更多種圖案化及蝕刻技術來形成溝槽3008。在一個實施例中,絕緣層3004中的溝槽3008的尺寸是由稍後在一個過程中所形成的導線的尺寸所決定的。
在一個實施例中,形成導線3006的步驟涉及用導電材料層填充溝槽3008。在一個實施例中,首先將基底層(未示出)沉積於溝槽3008的內側壁及底部上,且接著將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電屏障層(未示出)上的導電種子層(未示出)。種子層可以包括銅(Cu),而導電屏障層可以包括鋁(Al)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)等金屬。可以使用導電屏障層來防止來自種子層的導電材料(例如銅或鈷)擴散到絕緣層3004中。此外,可以使用導電屏障層來提供種子層(例如銅)的黏著。
在一個實施例中,為了形成基底層,將導電屏障層沉積到溝槽3008的側壁及底部上,且接著將種子層沉積於導電屏障層上。在另一個實施例中,導電基底層包括直接沉積到溝槽3008的側壁及底部上的種子層。可以使用半導體製造領域中的技術人員所習知的任何薄膜沉積技術(例如濺射、敷層沉積等等)來沉積導電屏障層及種子層中的每一者。在一個實施例中,導電屏障層及種子層中的每一者具有從約1 nm到約100 nm的近似範圍中的厚度。在一個實施例中,屏障層可以是已經被蝕刻為對以下的金屬層建立導電性的薄介電體。在一個實施例中,可以完全省略屏障層,且可以使用銅線的適當摻雜來製作「自形成的屏障」。
在一個實施例中,導電層(例如銅或鈷)藉由電鍍過程沉積到銅的基底層的種子層上。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的鑲嵌過程將導電層沉積到溝槽3008中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他沉積技術)將導電層沉積到溝槽3008中的種子層上。
在一個實施例中,導線3006的導電層的材料的選擇決定了種子層的材料的選擇。例如,若導線3006的材料包括銅,則種子層的材料也包括銅。在一個實施例中,導線3006包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pd)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、或上述項目的任何組合。
在替代性的實施例中,可以用於金屬化層Mx的導線3006的導電材料實例例如是但不限於金屬(例如銅(Cu)、鉭(Ta)、鎢(W)、釕(Ru)、鈦(Ti)、鉿(Hf)、鋯(Zr)、鋁(Al)、銀(Ag)、錫(Sn)、鉛(Pb))、金屬合金、金屬碳化物(例如碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鉭(TaC)、碳化鋁(AlC))、其他導電材料、或上述項目的任何組合。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(「CMP」)技術將導電層及基底層的一部分移除以使導線3006的頂部與絕緣層3004的頂部平坦化。
在一個非限制性實例中,導線3006的厚度是在從約15 nm到約1000 nm的近似範圍中。在一個非限制性實例中,導線106的厚度為從約20 nm到約200 nm。在一個非限制性實例中,導線3006的寬度是在從約5 nm到約500 nm的近似範圍中。在一個非限制性的實例中,導線3006之間的間隔(間距)為從約2 nm到約500 nm。在更具體的非限制性實例中,導線3006之間的間隔(間距)為約5 nm到約50 nm。
在一個實施例中,下金屬化層Mx被配置為連接到其他的金屬化層(未示出)。在一個實施例中,金屬化層Mx被配置為向電子設備提供電接觸,該電子設備例如為電晶體、記憶體、電容器、電阻器、光電子設備、開關、及被電絕緣層(例如層間介電體、溝槽絕緣層、或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。
在一或更多個實施例中,覆蓋層3010包括氮化矽(SiN)。覆蓋層3010保護絕緣層3004。在一或更多個實施例中,覆蓋層3010最小化溝槽3008的側壁的彎曲。
圖26是在導線3006依據一個實施例凹陷之後的與圖25A的橫截面圖3012類似的視圖3200。導線3006凹陷到預定深度以形成凹陷的導線3202。如圖26中所示,溝槽3204被形成於絕緣層3004及蝕刻停止層3014中。每個溝槽3204具有側壁3206及底部,該等側壁是絕緣層3004的一部分,該底部是凹陷導線3202的頂面3208。
在一個實施例中,溝槽3204的深度為從約10 nm到約500 nm。在一個實施例中,溝槽3204的深度為從導線厚度的約10%到約100%。在一個實施例中,使用電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來使導線3006凹陷。
圖27是在襯墊3302依據一個實施例沉積於凹陷的導線3202上之後的與圖6類似的視圖3300。襯墊3302被沉積於溝槽3204的底部及側壁上,如圖27中所示。在一或更多個實施例中,襯墊3302被沉積於覆蓋層3010的頂部上且圍繞蝕刻停止層3014沉積到溝槽3204中。
在一個實施例中,襯墊3302被沉積為保護導線3202免於稍後在一個過程中(例如在鎢沉積或其他過程期間)改變性質。在一個實施例中,襯墊3302是導電襯墊。在另一個實施例中,襯墊3302是非導電襯墊。在一個實施例中,在襯墊3302是非導電襯墊時,襯墊3302稍後在一個過程中被移除,如下文進一步詳細描述的。在一個實施例中,襯墊3302包括氮化鈦(TiN)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)、或上述項目的任何組合。在另一個實施例中,襯墊3302是氧化物,例如氧化鋁(Al2 O3 )、氧化鈦(TiO2 )。在又另一個實施例中,襯墊3302是氮化物,例如氮化矽(SiN)。在一個實施例中,襯墊3302被沉積到從約0.5 nm到約10 nm的厚度。
在一個實施例中,使用原子層沉積(ALD)技術來沉積襯墊3302。在一個實施例中,使用沉積技術中的一者來沉積襯墊3302,該沉積技術例如是但不限於CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他襯墊沉積技術。
圖28是在種子間隙填充層3402依據一個實施例沉積於襯墊3302上之後的與圖27類似的視圖3400。在一個實施例中,種子間隙填充層3402是自對準的選擇性生長種子膜。如圖28中所示,種子間隙填充層3402被沉積於凹陷導線3202的頂面3208、溝槽3204的側壁3206、及絕緣層3004的頂部上的襯墊3302上。在一個實施例中,種子間隙填充層3402是鎢(W)層或其他的種子間隙填充層以提供選擇性生長支柱。在一些實施例中,種子間隙填充層3402是金屬膜或含金屬膜。合適的金屬膜包括但不限於包括以下項目中的一或更多者的膜:鈷(Co)、鉬(Mo)、鎢(W)、鉭(Ta)、鈦(Ti)、釕(Ru)、銠(Rh)、銅(Cu)、鐵(Fe)、錳(Mn)、釩(V)、鈮(Nb)、鉿(Hf)、鋯(Zr)、釔(Y)、鋁(Al)、錫(Sn) 、鉻(Cr)、鑭(La)、或上述項目的任何組合。在一些實施例中,種子間隙填充層3402包括鎢(W)種子間隙填充層。
在一個實施例中,使用沉積技術中的一者來沉積種子間隙填充層3402,該沉積技術例如是但不限於ALD、CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他襯墊沉積技術。
圖29是在種子間隙填充層3302及襯墊3302的一部分依據一個實施例移除以暴露覆蓋層3010的頂部之後的與圖28類似的視圖3500。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(CMP)技術中的一者來移除種子間隙填充層3402的一部分。
圖30是在依據一個實施例使用凹陷導線3202上的襯墊3302上的種子間隙填充層3402來形成自對準的選擇性生長支柱3602之後的與圖29類似的視圖3600。如圖30中所示,自對準選擇性生長支柱3602的陣列具有與該組導線3202相同的圖案。如圖30中所示,支柱3602從導線3202的頂面實質正交地延伸。如圖30中所示,支柱3602沿著與導線3202相同的方向延伸。如圖30中所示,支柱被間隙3606分開。
在一個實施例中,支柱3602從導線3202上的襯墊3302的一部分上的種子間隙填充層3402選擇性地生長。支柱3602不生長在絕緣層3004上的襯墊3302的一部分上,如圖30中所示。在一個實施例中,例如藉由氧化、氮化、或其他過程來擴張種子間隙填充層3402在導線3202上方的一部分以生長支柱3602。在一個實施例中,藉由暴露於氧化劑或氧化條件來氧化種子間隙填充層3402以將金屬或含金屬的種子間隙填充層3402轉變成金屬氧化物支柱3602。在一個實施例中,支柱3602包括上文列舉的一或更多種金屬的氧化物。在更具體的實施例中,支柱3602包括氧化鎢(例如WO、WO3 、及其他的氧化鎢)。
氧化劑可以是任何合適的氧化劑,包括但不限於O2 、O3 、N2 O、H2 O、H2 O2 、CO、CO2 、NH3 、N2 /Ar、N2 /He、N2 /Ar/He、或上述項目的任何組合。在一些實施例中,氧化條件包括熱氧化、電漿增強氧化、遠端電漿氧化、微波及射頻氧化(例如感應耦合電漿(ICP)、電容耦合電漿(CCP))。
在一個實施例中,支柱3602是藉由取決於例如種子間隙填充層及氧化劑的組成在任何合適的溫度下氧化種子間隙填充層來形成的。在一些實施例中,氧化發生在約25℃到約800℃的近似範圍中的溫度下。在一些實施例中,氧化發生在大於或等於約150℃的溫度下。
在一個實施例中,支柱3602的高度3604是在從約5埃(Å)到約10微米(µm)的近似範圍中。
圖31是在覆蓋層3010的至少一部分被選擇性地移除以暴露蝕刻停止層3014的頂面3702之後的與圖30類似的視圖3700。可以藉由將基板3002暴露於熱磷酸的溶液(即「熱磷(hot phos)」)來移除覆蓋層3010。在一或更多個實施例中,藉由將基板3002暴露於熱磷酸的溶液(熱磷)來移除整個覆蓋層3010。雖然不希望被理論束縛,但認為,覆蓋層3010充當犠牲層,該犠牲層在過程流程開始時引入且在中途移除以使支柱看起來更高。
在一或更多個實施例中,熱磷酸的溶液(熱磷)在水中具有在1重量百分比到99重量百分比的範圍中的濃度。在一些實施例中,磷酸的濃度是在約1重量百分比到約99重量百分比的範圍中。可以用熱磷酸溶液(熱磷)處理基板3002達在0.1分鐘到60分鐘的範圍中的時間。在一些實施例中,用熱磷酸溶液(熱磷)處理基板3002達在約2秒到約2小時、或約2秒到約1小時的範圍中的時間。在一或更多個實施例中,熱磷酸溶液(熱磷)的溫度是在15℃到400℃的範圍中。在一些實施例中,熱磷酸溶液(熱磷)的溫度是在25℃到約500℃的範圍中。在一些實施例中,熱磷酸溶液(熱磷)的溫度是大於500℃。
在一或更多個實施例中,覆蓋層3010的移除增加了深寬比。在一或更多個實施例中,深寬比是在1:1到10:1的範圍中。
藉由透射電子顯微鏡(TEM)及電子能量損失能譜(EELS)出乎意料地且有利地發現,熱磷移除過程對於覆蓋層3010是有選擇性的且並不影響氧化鎢支柱3602或蝕刻停止層3014。
圖32是與圖31類似且在絕緣層3802依據一個實施例沉積以過度填充支柱3602之間的間隙3606之後的視圖3800。如圖32中所示,絕緣層3802被沉積於支柱3602的相反側壁3804及頂部3806上且通過支柱3602之間的絕緣層3004及襯墊3302的一部分上的間隙3606。
在一個實施例中,絕緣層3802是低k間隙填充層。在一個實施例中,絕緣層3802是可流動的氧化矽(FSiOx)層。在至少一些實施例中,絕緣層3802是氧化物層(例如二氧化矽(SiO2 ))或由電子設備設計所決定的任何其他的電絕緣層。在一個實施例中,絕緣層3802是層間介電體(ILD)。在一個實施例中,絕緣層3802是低k介電體,該低k介電體包括但不限於例如為以下項目的材料:二氧化矽、氧化矽、碳基材料(例如多孔碳膜、摻碳氧化物(「CDO」)(例如摻碳二氧化矽))、多孔二氧化矽、多孔氧化矽碳氫化物(SiOCH)、氮化矽、或上述項目的任何組合。在一個實施例中,絕緣層3802是具有小於3的k值的介電材料。在更具體的實施例中,絕緣層3802是具有在從約2.2到約2.7的近似範圍中的k值的介電材料。在一個實施例中,絕緣層3802包括具有小於2的k值的介電材料。在一個實施例中,絕緣層3802表示上文針對絕緣層3004所描述的絕緣層中的一者。
在一個實施例中,絕緣層3802是低k層間介電體,以將一條金屬線與其他金屬線隔離。在一個實施例中,絕緣層3802是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD、或微電子設備製造領域中的技術人員所習知的其他低k絕緣層沉積技術。
圖33A是在絕緣層3802的一部分依據一個實施例移除以暴露支柱3602的頂部3806之後的與圖32類似的視圖3900。在一個實施例中,絕緣層3802的該部分是使用微電子設備製造領域中的技術人員所習知的CMP技術來移除的。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的乾式或濕式蝕刻技術中的一或更多者將絕緣層3802的該部分回蝕刻以暴露支柱3602的頂部3806。
圖33B是在絕緣層3802依據另一實施例沉積以不充分填充(部分填充)支柱3602之間的間隙3606之後的與圖30類似的視圖3910。如圖33B中所示,絕緣層3802被沉積通過支柱3602的相反側壁3804的下部上以及支柱3602之間的絕緣層3004及襯墊3302的一部分上的間隙3606。在一個實施例中,絕緣層3802被沉積到預定厚度以暴露支柱3602的頂部3806及相反側壁3804的上部。
在一個實施例中,絕緣層3802是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD、或微電子設備製造領域中的技術人員所習知的其他低k絕緣層沉積技術。在另一個實施例中,絕緣層3802被沉積為過度填充支柱3602之間的間隙3606,如針對圖32所描述的,且接著使用微電子設備製造領域中的技術人員所習知的乾式或濕式蝕刻技術中的一或更多者將絕緣層3802的一部分回蝕刻以暴露側壁3804的上部3808及支柱3602的頂部3806。
圖34是在自對準的選擇性生長的支柱3602依據一個實施例選擇性地移除以形成溝槽4002之後的與圖32類似的視圖4000。如圖34中所示,相對於絕緣層3802及襯墊3302選擇性地移除支柱3602。在另一個實施例中,在襯墊3302是非導電襯墊時,移除襯墊3302。在一個實施例中,相對於絕緣層3802及3004以及導線3020及蝕刻停止層3014選擇性地移除支柱3602及襯墊3302。如圖34中所示,溝槽4002被形成於絕緣層3802及3004中。溝槽4002沿著凹陷導線3202延伸。如圖34中所示,每個溝槽4002具有底部及相反的側壁,該底部是襯墊3302的底部4004,該等側壁包括襯墊3302的側壁部分4006、及絕緣層3802的一部分。在另一個實施例中,在襯墊3302被移除時,每個溝槽4002具有底部及相反的側壁,該底部是凹陷導線3202,該等側壁包括絕緣層3802及3004的一部分。一般而言,溝槽的深寬比指的是溝槽的深度與溝槽的寬度的比率。在一個實施例中,每個溝槽4002的深寬比是在從約1:1到約200:1的近似範圍中。
在一個實施例中,使用電子設備製造領域中的技術人員所習知的乾式及濕式蝕刻技術中的一或更多者來選擇性地移除支柱3602。在一個實施例中,藉由例如約80℃的溫度下的5重量百分比的氫氧化銨(NH4 OH)水溶液來選擇性地濕蝕刻支柱3602。在一個實施例中,將過氧化氫(H2 O2 )添加到5重量百分比的NH4 OH水溶液以增加支柱3602的蝕刻速率。在一個實施例中,支柱3602是使用比率為1:1的氫氟酸(HF)及硝酸(HNO3 )來濕蝕刻的。在一個實施例中,支柱3602是使用比率分別為3:7的HF及HNO3 來選擇性地濕蝕刻的。在一個實施例中,支柱3602是使用比率分別為4:1的HF及HNO3 來選擇性地濕蝕刻的。在一個實施例中,支柱3602是使用比率分別為30%:70%的HF及HNO3 來選擇性地濕蝕刻的。在一個實施例中,包括鎢(W)、鈦(Ti)、或鈦及鎢兩者的支柱3602是使用比率分別為1:2的NH4 OH及H2 O2 來選擇性地濕蝕刻的。在一個實施例中,支柱3602是使用305克的鐵氰化鉀(K3 Fe(CN)6 )、44.5克的氫氧化鈉(NaOH)、及1000 ml的水(H2 O)來選擇性地濕蝕刻的。在一個實施例中,支柱3602是使用包括鹽酸(HCl)、HNO3 、硫酸(H2 SO4 )、HF、及H2 O2 中的稀釋或濃縮的一或更多種化學物質來選擇性地濕蝕刻的。在一個實施例中,支柱3602是使用比率分別為4:4:3的HF、HNO3 、及乙酸(CH3 COOH)來選擇性地濕蝕刻的。在一個實施例中,支柱3602是使用三氟溴甲烷(CBrF3 )反應性離子蝕刻(RIE)技術來選擇性地乾蝕刻的。在一個實施例中,支柱602是使用氯基、氟基、溴基化學物質、或上述項目的任何組合來選擇性地乾蝕刻的。在一個實施例中,支柱3602是使用熱或溫的王水混合物來選擇性地濕蝕刻的,該混合物包括比率分別為3:1的HCl及HNO3 。在一個實施例中,支柱3602是使用具有氧化劑的鹼(硝酸鉀(KNO3 )及二氧化鉛(PbO2 ))來選擇性地蝕刻的。在一個實施例中,使用電子設備製造領域中的技術人員所習知的乾式及濕式蝕刻技術中的一或更多者來選擇性地移除襯墊3302。
圖35是視圖4100,且該視圖與在絕緣層4102依據一個實施例沉積到溝槽4002中之後的圖34類似。如圖35中所示,絕緣層4102過度填充溝槽4002,使得絕緣層4102的一部分被沉積於絕緣層3802的頂部上。在一個實施例中,絕緣層4102的厚度大於或類似於絕緣層3802的厚度。在一個實施例中,厚度4104比絕緣層3802的厚度大至少二或三倍。在另一個實施例中,使用CMP或回蝕刻技術中的一或更多者來移除絕緣層4102的一部分以與絕緣層3802的頂部平坦化,且接著將另一個絕緣層(未示出)沉積到絕緣層3802及絕緣層4102的頂部上。如圖35中所示,絕緣層4102被沉積於溝槽4004的側壁及底部上。如圖35中所示,絕緣層4102被沉積於襯墊3302上及絕緣層3802的一部分上。在另一個實施例中,在襯墊3302被移除時,絕緣層4102被直接沉積於凹陷導線3202以及絕緣層3004及絕緣層3802的一部分上。在一個實施例中,絕緣層4102相對於絕緣層3802是有蝕刻選擇性的。一般而言,兩種材料之間的蝕刻選擇性被界定為它們在類似蝕刻條件下的蝕刻速率之間的比率。在一個實施例中,絕緣層4102的蝕刻速率與絕緣層3802的蝕刻速率的比率為至少5:1。在一個實施例中,絕緣層4102的蝕刻速率與絕緣層3802的蝕刻速率的比率是在從約2:1到約20:1的近似範圍中。
在一個實施例中,絕緣層4102是低k間隙填充層。在一個實施例中,絕緣層4102是可流動的氧化矽碳化物(FSiOC)層。在一些其他的實施例中,絕緣層4102是氧化物層(例如二氧化矽)或由電子設備設計所決定的任何其他電絕緣層。在一個實施例中,絕緣層4102是層間介電體(ILD)。在一個實施例中,絕緣層4102是低k介電體,該低k介電體包括但不限於例如為以下項目的材料:二氧化矽、氧化矽、碳基材料(例如多孔碳膜、摻碳氧化物(「CDO」)(例如摻碳二氧化矽))、多孔二氧化矽、多孔氧化矽碳氫化物(SiOCH)、氮化矽、或上述項目的任何組合。在一個實施例中,絕緣層4102是具有小於3的k值的介電材料。在更具體的實施例中,絕緣層4102是具有在從約2.2到約2.7的近似範圍中的k值的介電材料。在一個實施例中,絕緣層4102包括具有小於2的k值的介電材料。在一個實施例中,絕緣層4102表示上文針對絕緣層3004及絕緣層3802所描述的絕緣層中的一者。
在一個實施例中,絕緣層4102是低k層間介電體,以將一條金屬線與其他金屬線隔離。在一個實施例中,絕緣層4102是使用沉積技術中的一者來沉積的,該沉積技術例如是但不限於CVD、旋轉塗佈、ALD、PVD、MBE、MOCVD、或微電子設備製造領域中的技術人員所習知的其他低k絕緣層沉積技術。
圖36是在硬質遮罩層4202依據一個實施例沉積於絕緣層4204上之後的視圖4200。圖36與圖35不同之處在於,襯墊3302被移除,使得絕緣層4204被直接沉積於凹陷導線3202以及絕緣層3004及絕緣層3802的一部分上,如上所述。在一個實施例中,硬質遮罩層1202是金屬化層硬質遮罩。如圖35中所示,硬質遮罩層4202被圖案化以界定複數個溝槽4206。如圖35中所示,溝槽4206沿著用一定角度與X軸(方向)122交叉的Y軸(方向)124延伸。在一個實施例中,方向124與方向124實質垂直。在一個實施例中,圖案化的硬質遮罩層4202是碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩層。在一個實施例中,圖案化的硬質遮罩層4202是使用微電子設備製造領域中的技術人員所習知的一或更多種硬質遮罩圖案化技術來形成的。在一個實施例中,通過圖案化的硬質遮罩層來蝕刻絕緣層4102,以使用微電子設備製造領域中的技術人員所習知的蝕刻技術中的一或更多者來形成溝槽4206。在一個實施例中,絕緣層4102中的溝槽的尺寸是由稍後在一個過程中所形成的導線的尺寸所決定的。
圖37A是在遮罩層4302依據一個實施例沉積於圖案化的硬質遮罩層4202上的絕緣層4304上之後的與圖36類似的視圖4300。圖37B是圖37A沿著軸F-F'的橫截面圖4400。
如圖37A及37B中所示,開口4306被形成於遮罩層4202中。開口4306被形成於導線3202中的一者上方,如圖37A及37B中所示。在一個實施例中,開口4306界定稍後在一個過程中形成的完全自對準的通孔的溝槽部分。
在一個實施例中,遮罩層4302包括光致抗蝕層。在一個實施例中,遮罩層4302包括一或更多個硬質遮罩層。在一個實施例中,絕緣層4304是硬質遮罩層。在一個實施例中,絕緣層4304包括底部防反射塗料(BARC)層。在一個實施例中,絕緣層1304包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或上述項目的任何組合。在一個實施例中,絕緣層4304表示上述絕緣層中的一者。在一個實施例中,遮罩層4302是使用微電子設備製造領域中的技術人員所習知的一或更多種遮罩層沉積技術來沉積的。在一個實施例中,絕緣層4304是使用沉積技術中的一者來沉積的,該沉積技術例如是(但不限於)CVD、PVD、MBE、NOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他絕緣層沉積技術。在一個實施例中,開口4306是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖38A是在依據一個實施例通過開口4306選擇性地蝕刻絕緣層4304及圖案化的硬質遮罩層4202以形成開口4402之後的與圖37B類似的視圖4500。圖38B是在依據一個實施例通過開口4306選擇性地蝕刻絕緣層4304及絕緣層4102以形成開口4402之後的與圖37A類似的視圖4600。
圖38B與圖38A的不同之處在於,圖38B示出沿著X軸122及Y軸124的切穿開口4402。如圖38A及38B中所示,開口4402包括通孔部分4404及溝槽部分4406。如圖38A及38B中所示,開口4402的通孔部分4404被絕緣層3802沿著Y軸124限制。開口4402的通孔部分4404沿著Y軸124與導線3202中的一者自對準。如圖38A及38B中所示,溝槽部分4406被硬質遮罩層4202的沿著Y軸124延伸的特徵沿著X軸122限制。在一個實施例中,相對於絕緣層3802選擇性地蝕刻絕緣層4102以形成開口4402。
在一個實施例中,相對於絕緣層3802選擇性地蝕刻圖案化的硬質遮罩層4202以形成開口4402。如圖38A及38B中所示,遮罩層4302及絕緣層4304被移除。在一個實施例中,遮罩層4302是使用微電子設備製造領域中的技術人員所習知的遮罩層移除技術中的一或更多者來移除的。在一個實施例中,絕緣層4304是使用微電子設備製造領域中的技術人員所習知的蝕刻技術中的一或更多者來移除的。
圖39A是在遮罩層4502依據一個實施例沉積於受暴的絕緣層3802及絕緣層4102上之後的與圖35類似的視圖4700。圖39B是圖39A中所描繪的電子設備結構的俯視圖4710。如圖39A中所示,絕緣層4102的一部分被移除以將絕緣層3802的頂部與絕緣層4102的頂部平坦化。如圖39A及39B中所示,遮罩層4502具有開口4506以暴露硬質遮罩層4502。
在一個實施例中,絕緣層4102的該部分是使用微電子設備製造領域中的技術人員所習知的CMP技術來移除的。在一個實施例中,絕緣層4102的一部分被回蝕刻以暴露絕緣層3802的頂部。在另一個實施例中,絕緣層3802的一部分被回蝕刻到預定的深度,以暴露溝槽4002中的側壁的上部及絕緣層4102的頂部。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的乾式及濕式蝕刻技術中的一或更多者來回蝕刻絕緣層3802的該部分。
在一個實施例中,遮罩層4502包括光致抗蝕層。在一個實施例中,遮罩層4502包括一或更多個硬質遮罩層。在一個實施例中,遮罩層4502是三層式遮罩堆疊,例如氧化矽硬質遮罩上的底部防反射塗料(BARC)層上的中間層(ML)(例如含矽有機層或含金屬介電層)上的193 nm浸入(193i)或EUV抗蝕遮罩。在一個實施例中,硬質遮罩層4504是金屬化層硬質遮罩以圖案化下個金屬化層的導線。在一個實施例中,硬質遮罩層4504包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或上述項目的任何組合。在一個實施例中,硬質遮罩層1504表示上述硬質遮罩層中的一者。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的一或更多種圖案化及蝕刻技術使用硬質遮罩4504來圖案化及蝕刻絕緣層3802及絕緣層4102以形成溝槽。在一個實施例中,絕緣層3802及絕緣層4102中的溝槽的尺寸是由稍後在一個過程中所形成的導線的尺寸所決定的。
在一個實施例中,遮罩層4502是使用微電子設備製造領域中的技術人員所習知的遮罩沉積技術中的一或更多者來沉積的。在一個實施例中,硬質遮罩層4504是使用一或更多種硬質遮罩層沉積技術來沉積的,該等沉積技術例如是(但不限於)CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩沉積。在一個實施例中,開口4506是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖40A是依據一個實施例在通過開口4506移除硬質遮罩層4504、絕緣層3802、及絕緣層4102的一部分以在絕緣層3802中形成開口4602之後的與圖39A類似的視圖4800。圖40B是圖40A中所描繪的電子設備結構的俯視圖4820。在一個實施例中,開口4602是通孔的溝槽開口。如圖40A及40B中所示,開口4602包括底部4612,該底部包括絕緣層4102在絕緣層3802的部分4606與4608之間的部分4604。如圖40A及40B中所示,開口4602包括包括絕緣層3802的一部分的相反側壁4610。在一個實施例中,每個側壁4610與底部4612實質正交。在另一個實施例中,每個側壁4610相對於底部4612用90度以外的角度傾斜,使得開口4602的上部大於開口4602的下部。
在一個實施例中,具有傾斜側壁的開口4602是使用成角度的非選擇性蝕刻來形成的。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來移除硬質遮罩層4504。在一個實施例中,絕緣層3802及絕緣層4102是使用溝槽優先雙鑲嵌過程中的非選擇性蝕刻來移除的。在一個實施例中,將絕緣層3802及絕緣層4102向下蝕刻到由時間所決定的深度。在另一個實施例中,將絕緣層3802及絕緣層4102非選擇性地向下蝕刻到蝕刻停止層3014。在一個實施例中,絕緣層3802及絕緣層4102是使用電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來非選擇性地蝕刻的。
圖41A是在完全自對準的開口4702依據一個實施例形成於絕緣層3802中之後的與圖40A類似的視圖4900。圖41B是圖41A中所描繪的電子設備結構的俯視圖4720。如圖41A及41B中所示,遮罩層4502被移除。可以使用微電子設備製造領域中的技術人員所習知的遮罩層移除技術中的一者來移除遮罩層4502。圖案化的遮罩層4714被形成於硬質遮罩層4504上。如圖41B中所示,圖案化的遮罩層4714被沉積於硬質遮罩層4504上且到開口4602中。圖案化的遮罩層4714具有開口4708。可以使用微電子設備製造領域中的技術人員所習知的遮罩層沉積、圖案化、及蝕刻技術中的一或更多者來形成圖案化的遮罩層4714。
通過遮罩開口4708形成完全自對準的開口4702。完全自對準的開口4702包括溝槽開口4706及通孔開口4704,如圖41A及41B中所示。通孔開口4704在溝槽開口4706下方。在一個實施例中,溝槽開口4706是通過開口4708暴露的部分。
在一個實施例中,通孔開口4704是藉由通過遮罩開口4708及溝槽開口4706相對於絕緣層3802選擇性地蝕刻絕緣層4102來形成的。在一個實施例中,溝槽開口4706沿著Y軸124延伸。如圖41B中所示,溝槽開口4706沿著Y軸124是比沿著X軸122大的。
在一個實施例中,開口4702的溝槽開口4706在硬質遮罩層4504的特徵之間沿著X軸122自對準,該等特徵用來圖案化沿著Y軸124延伸的上金屬化層導線(未示出)。開口4702的通孔開口4704藉由絕緣層3802沿著Y軸124自對準,絕緣層3802藉由相對於絕緣層802選擇性地蝕刻絕緣層4102的部分4604而保持完整。因為溝槽開口4706的尺寸並不需要受限於導線4716與上金屬化層的導線中的一者之間的橫截面的尺寸,這提供了提供光刻配備更多彈性的優點。隨著相對於絕緣層3802選擇性地移除部分4604,溝槽開口的尺寸增加。
如圖40A及40B中所示,部分4604與導線4716自對準,該導線是下金屬化層導線3202中的一者。即,開口4702沿著X及Y軸兩者自對準。
圖41A與圖40A的不同之處在於,圖41A繪示具有傾斜側壁4710的溝槽開口4706。每個側壁4710相對於基板3002的頂面呈現90度以外的角度,使得溝槽開口4706的上部大於溝槽開口4706的下部。在另一個實施例中,側壁4710與基板3002的頂面實質正交。
在一個實施例中,遮罩層4714包括光致抗蝕層。在一個實施例中,遮罩層4714包括一或更多個硬質遮罩層。在一個實施例中,遮罩層4714是三層式遮罩堆疊,例如氧化矽硬質遮罩上的BARC層上的ML(例如含矽有機層或含金屬介電層)上的193i或EUV抗蝕遮罩。如圖41A及41B中所示,通孔開口4704暴露導線4716上的襯墊3302的部分4712。在另一個實施例中,在襯墊3302被移除時,通孔開口4704暴露導線4716。
圖42A是在包括沿著Y軸124延伸的導線的上金屬化層My依據一個實施例形成之後的與圖41A類似的視圖4930。圖42B是圖42A中所描繪的電子設備結構的俯視圖4950。圖42A是圖42B沿著軸G-G'的橫截面圖。如圖42A中所示,遮罩層4502及硬質遮罩層4504被移除。在一個實施例中,遮罩層4502及硬質遮罩層4504中的每一者是使用微電子設備製造領域中的技術人員中所習知的硬質遮罩層移除技術中的一或更多者來移除的。
上金屬化層My包括在絕緣層4102的一部分及絕緣層3802的一部分上延伸的一組導線4802。如圖42B中所示,絕緣層4102的一部分是在絕緣層3802的一部分之間。導線4802沿著Y軸124延伸。完全自對準的通孔4824包括溝槽部分4804及通孔部分4806。通孔部分4806在溝槽部分4804下方。完全自對準的通孔4824是在包括沿著X軸122延伸的導線4802的下金屬化層與包括導線4802的上金屬化層之間。如圖42A及42B中所示,通孔部分4806在導線4716上的襯墊3302上。如圖42A及42B中所示,通孔4824的通孔部分4806沿著Y軸124與導線4716自對準,該導線是導線3202中的一者。通孔4824的通孔部分4806沿著X軸(方向)122與導線4822自對準,該導線是導線4802中的一者。在一個實施例中,在襯墊3302被移除時,通孔部分4806直接在導線4716上。如圖42A及42B中所示,通孔部分4806是導線4822的一部分。如圖42A及42B中所示,通孔部分4806的尺寸是由導線4716與導線4822之間的橫截面的尺寸所決定的。
在一個實施例中,形成導線4802及通孔4824的步驟涉及用導電材料層填充絕緣層中的溝槽及開口4702。在一個實施例中,首先將基底層(未示出)沉積於溝槽的內側壁及底部以及開口4702上,且接著將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電屏障層(未示出)上的導電種子層(未示出)。種子層可以包括銅,而導電屏障層可以包括鋁、鈦、鉭、氮化鉭等金屬。可以使用導電屏障層來防止來自種子層的導電材料(例如銅)擴散到絕緣層中。此外,可以使用導電屏障層來提供種子層(例如銅)的黏著。
在一個實施例中,為了形成基底層,將導電屏障層沉積到溝槽的側壁及底部上,且接著將種子層沉積於導電屏障層上。在另一個實施例中,導電基底層包括直接沉積到溝槽的側壁及底部上的種子層。可以使用半導體製造領域中的技術人員所習知的任何薄膜沉積技術(例如濺射、敷層沉積等等)來沉積導電屏障層及種子層中的每一者。在一個實施例中,導電屏障層及種子層中的每一者具有從約1 nm到約100 nm的近似範圍中的厚度。在一個實施例中,屏障層可以是已經被蝕刻為對以下的金屬層建立導電性的薄介電體。在一個實施例中,可以完全省略屏障層,且可以使用銅線的適當摻雜來製作「自形成的屏障」。
在一個實施例中,導電層(例如銅或鈷)藉由電鍍過程沉積到銅的基底層的種子層上。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的鑲嵌過程將導電層沉積到溝槽中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他沉積技術)將導電層沉積到溝槽中的種子層上及開口4702中。
在一個實施例中,導線4802及通孔4824的導電層的材料的選擇決定了種子層的材料的選擇。例如,若導線4802及通孔4824的材料包括銅,則種子層的材料也包括銅。在一個實施例中,導線4802及通孔4824包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、或上述項目的任何組合。
在替代性的實施例中,可以用於導線4802及通孔4824的導電材料實例包括金屬(例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料、或上述項目的任何組合。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(「CMP」)技術將導電層及基底層的一部分移除以使導線4802的頂部與絕緣層3802及絕緣層4102的頂部平坦化。
在一個非限制性實例中,導線4802的厚度是在從約15 nm到約1000 nm的近似範圍中。在一個非限制性實例中,導線4802的厚度為從約20 nm到約200 nm。在一個非限制性實例中,導線4802的寬度是在從約5 nm到約500 nm的近似範圍中。在一個非限制性的實例中,導線4802之間的間隔(間距)為從約2 nm到約500 nm。在更具體的非限制性實例中,導線1802之間的間隔(間距)為從約5 nm到約50 nm。
圖43到47(包括A及B標記)繪示了本揭示內容的另一個實施例。圖43A是在遮罩層4904依據一個實施例沉積於絕緣層4102上的硬質遮罩層4902上之後的與圖34類似的視圖4960。圖43B是圖43A中所描繪的電子設備結構的俯視圖4970。如圖43A及43B中所示,遮罩層4904具有開口4906以暴露硬質遮罩層4902。
在一個實施例中,遮罩層4904包括光致抗蝕層。在一個實施例中,遮罩層4904包括一或更多個硬質遮罩層。在一個實施例中,遮罩層4904是三層式遮罩堆疊,例如氧化矽硬質遮罩上的底部防反射塗料(BARC)層上的中間層(ML)(例如含矽有機層或含金屬介電層)上的193 nm浸入(193i)或EUV抗蝕遮罩。在一個實施例中,硬質遮罩層4902是金屬化層硬質遮罩以圖案化下個金屬化層的導線。在一個實施例中,硬質遮罩層4902包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或上述項目的任何組合。在一個實施例中,硬質遮罩層4902表示上述硬質遮罩層中的一者。
在一個實施例中,遮罩層4904是使用微電子設備製造領域中的技術人員所習知的遮罩沉積技術中的一或更多者來沉積的。在一個實施例中,硬質遮罩層4902是使用一或更多種硬質遮罩層沉積技術來沉積的,該等沉積技術例如是(但不限於)CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩沉積。在一個實施例中,開口4906是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖44A是依據一個實施例在通過開口4906移除硬質遮罩層4902及絕緣層4102的一部分以在絕緣層4102中形成開口5002之後的與圖43A類似的視圖5000。圖44B是圖44A中所描繪的電子設備結構的俯視圖5050。在一個實施例中,開口5002是通孔的溝槽開口。如圖44A及44B中所示,開口5002包括底部5010,該底部包括絕緣層4102在絕緣層3802的部分5006與5008之間的部分5004。如圖44A及44B中所示,開口5002包括包括絕緣層4102的一部分的相反側壁5012。在一個實施例中,每個側壁5012與底部5010實質正交。在另一個實施例中,每個側壁5012相對於底部5010用90度以外的角度傾斜,使得開口5002的上部大於開口5002的下部。
在一個實施例中,具有傾斜側壁的開口5002是使用成角度的非選擇性蝕刻來形成的。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來移除硬質遮罩層4902。在一個實施例中,絕緣層4102是使用溝槽優先雙鑲嵌過程中的非選擇性蝕刻來移除的。在一個實施例中,將絕緣層4102向下蝕刻到由時間所決定的深度。在另一個實施例中,將絕緣層4102非選擇性地向下蝕刻到蝕刻停止層3014。在一個實施例中,絕緣層4102是使用電子設備製造領域中的技術人員所習知的濕式蝕刻、乾式蝕刻、或上述項目的組合技術中的一或更多者來非選擇性地蝕刻的。
圖45A是在依據一個實施例移除遮罩層4904、形成平坦化填充層5102、且形成具有完全的開口5106的遮罩層5104之後的與圖44A類似的視圖5100。圖45B是圖45A中所描繪的電子設備結構的俯視圖5110。如圖45A及45B中所示,遮罩層4904被移除。可以使用微電子設備製造領域中的技術人員所習知的遮罩層移除技術中的一者來移除遮罩層4904。平坦化填充層5102被形成於開口5002中到受暴的絕緣層3802及絕緣層4102的頂部上。所繪示的平坦化填充層5102被形成為使得覆蓋層5108被形成於硬質遮罩層4902上。在一些實施例中,平坦化填充層5102被形成為與硬質遮罩層4902實質共面。在一些實施例中,平坦化填充層5102是藉由例如CMP過程來平坦化的。平坦化填充層5102可以是任何合適的材料,包括但不限於BARC(底部防反射塗料)層(例如含C及H或Si的旋轉塗佈聚合物)、DARC(介電防反射塗料)層、或OPL(有機平坦化層)。一些實施例的平坦化填充層5102是藉由CVD或ALD來沉積的。在一些實施例中,平坦化填充層5102包括Si、O、N、C、或H中的一或更多種原子。
圖案化的遮罩層5104被形成於硬質遮罩層4902上。如圖45B中所示,圖案化的遮罩層5104被沉積於平坦化填充層5102上。圖案化的遮罩層5104具有開口5106。可以使用微電子設備製造領域中的技術人員所習知的遮罩層沉積、圖案化、及蝕刻技術中的一或更多者來形成圖案化的遮罩層5104。
在一個實施例中,遮罩層5104包括光致抗蝕層。在一個實施例中,遮罩層5104包括一或更多個硬質遮罩層。在一個實施例中,遮罩層5104是三層式遮罩堆疊,例如氧化矽硬質遮罩上的BARC層上的ML(例如含矽有機層或含金屬介電層)上的193i或EUV抗蝕遮罩。
圖46A是在通過開口5106移除平坦化填充層5102及絕緣層4102之後的與圖45A類似的視圖2200。所繪示的實施例使得圖案化的遮罩層5104及平坦化填充層5102從硬質遮罩層4902移除。通過開口5106形成完全自對準的開口5202。完全自對準的開口5202包括溝槽開口5206及通孔開口5204,如圖46A及46B中所示。通孔開口5204在溝槽開口5206下方。
在一或更多個實施例中,通孔開口5204是藉由通過開口5106及溝槽開口5206相對於絕緣層3802選擇性地蝕刻絕緣層4102來形成的。在一個實施例中,溝槽開口2206沿著Y軸124延伸。如圖46B中所示,溝槽開口5206沿著Y軸124是比沿著X軸122大的。
在一個實施例中,開口5202的溝槽開口5206在硬質遮罩層4902的特徵之間沿著X軸自對準,該等特徵用來圖案化沿著Y軸124延伸的上金屬化層導線(未示出)。開口5202的通孔開口5204藉由絕緣層3802沿著Y軸124自對準,絕緣層3802藉由相對於絕緣層802選擇性地蝕刻絕緣層4102的部分5004而保持完整。因為溝槽開口5206的尺寸並不需要受限於導線5216與上金屬化層的導線中的一者之間的橫截面的尺寸,這提供了提供光刻配備更多彈性的優點。隨著相對於絕緣層3802選擇性地移除部分2004,溝槽開口的尺寸增加。
如圖44A及44B中所示,部分5004與導線5216自對準,該導線是下金屬化層導線3202中的一者。即,開口5202沿著X及Y軸兩者自對準。
圖46A繪示具有側壁5210的溝槽開口5206,該等側壁與基板3002的頂面實質正交。在一些實施例中,每個側壁5210相對於基板3002的頂面呈現90度以外的角度,使得溝槽開口5206的上部大於溝槽開口5206的下部。
如圖46A及46B中所示,通孔開口5204暴露導線5216上的襯墊3302的部分5212。在另一個實施例中,在襯墊302被移除時,通孔開口5204暴露導線5216。
圖47A是在包括沿著Y軸124延伸的導線的上金屬化層My依據一個實施例形成之後的與圖46A類似的視圖5300。圖47B是圖47A中所描繪的電子設備結構的俯視圖5330。圖47A是圖47B沿著軸H-H'截取的橫截面圖。如圖47A中所示,硬質遮罩層4902被移除。在一個實施例中,硬質遮罩層4902是使用微電子設備製造領域中的技術人員中所習知的硬質遮罩層移除技術中的一或更多者來移除的。
上金屬化層My包括在絕緣層3802的一部分上延伸的一組導線5302。在圖47A中所繪示的實施例中,導線5302被填充為與絕緣層4102的頂部共面。在一些實施例中,導線5302延伸於絕緣層4102的頂面上方,與圖42A中所示的類似。
如圖47B中所示,絕緣層4102的一部分是在絕緣層3802的一部分之間。導線5302沿著Y軸124延伸。完全自對準的通孔5324包括溝槽部分5304及通孔部分5306。通孔部分5306在溝槽部分5304下方。完全自對準的通孔5324是在包括沿著X軸122延伸的導線3202的下金屬化層與包括導線5302的上金屬化層之間。如圖47A及47B中所示,通孔部分5306在導線5216上的襯墊3302上。如圖47A及47B中所示,通孔5324的通孔部分5306沿著Y軸124與導線5216自對準,該導線是導線3202中的一者。通孔5324的溝槽部分5306沿著X軸122自對準。在一個實施例中,在襯墊3302被移除時,通孔部分5306直接在導線5216上。
在一個實施例中,形成導線5302及通孔5324的步驟涉及用導電材料層填充絕緣層中的溝槽及開口5202(如圖46A中所示)。在一個實施例中,首先將基底層(未示出)沉積於溝槽的內側壁及底部以及開口5202上,且接著將導電層沉積於基底層上。在一個實施例中,基底層包括沉積於導電屏障層(未示出)上的導電種子層(未示出)。種子層可以包括銅,而導電屏障層可以包括鋁、鈦、鉭、氮化鉭等金屬。可以使用導電屏障層來防止來自種子層的導電材料(例如銅)擴散到絕緣層中。此外,可以使用導電屏障層來提供種子層(例如銅或鈷)的黏著。
在一個實施例中,為了形成基底層,將導電屏障層沉積到溝槽的側壁及底部上,且接著將種子層沉積於導電屏障層上。在另一個實施例中,導電基底層包括直接沉積到溝槽的側壁及底部上的種子層。可以使用半導體製造領域中的技術人員所習知的任何薄膜沉積技術(例如濺射、敷層沉積等等)來沉積導電屏障層及種子層中的每一者。在一個實施例中,導電屏障層及種子層中的每一者具有從約1 nm到約100 nm的近似範圍中的厚度。在一個實施例中,屏障層可以是已經被蝕刻為對以下的金屬層建立導電性的薄介電體。在一個實施例中,可以完全省略屏障層,且可以使用銅線的適當摻雜來製作「自形成的屏障」。
在一個實施例中,導電層(例如銅)藉由電鍍過程沉積到銅的基底層的種子層上。在一個實施例中,使用微電子設備製造領域中的技術人員所習知的鑲嵌過程將導電層沉積到溝槽中。在一個實施例中,使用選擇性沉積技術(例如但不限於電鍍、電解、CVD、PVD、MBE、MOCVD、ALD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他沉積技術)將導電層沉積到溝槽中的種子層上及開口5202中。
在一個實施例中,導線5302及通孔5324的導電層的材料的選擇決定了種子層的材料的選擇。例如,若導線5302及通孔5324的材料包括銅,則種子層的材料也包括銅。在一個實施例中,導線5302及通孔5324包括金屬,例如銅(Cu)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鋁(Al)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、銀(Ag)、鉑(Pt)、銦(In)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、或上述項目的任何組合。
在替代性的實施例中,可以用於導線5302及通孔5324的導電材料實例是但不限於金屬(例如銅、鉭、鎢、釕、鈦、鉿、鋯、鋁、銀、錫、鉛)、金屬合金、金屬碳化物(例如碳化鉿、碳化鋯、碳化鈦、碳化鉭、碳化鋁)、其他導電材料、或上述項目的任何組合。
在一個實施例中,使用微電子設備製造領域中的技術人員所習知的化學機械拋光(「CMP」)技術將導電層及基底層的一部分移除以使導線5302的頂部與絕緣層4102的頂部平坦化。
在一個非限制性實例中,導線5302的厚度是在從約15 nm到約1000 nm的近似範圍中。在一個非限制性實例中,導線5302的厚度為從約20 nm到約200 nm。在一個非限制性實例中,導線5302的寬度是在從約5 nm到約500 nm的近似範圍中。在一個非限制性的實例中,導線5302之間的間隔(間距)為從約2 nm到約500 nm。在更具體的非限制性實例中,導線5302之間的間隔(間距)為從約5 nm到約50 nm。
在一個實施例中,上金屬化層My被配置為連接到其他的金屬化層(未示出)。在一個實施例中,金屬化層My被配置為向電子設備提供電接觸,該電子設備例如為電晶體、記憶體、電容器、電阻器、光電子設備、開關、及被電絕緣層(例如層間介電體、溝槽絕緣層、或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。
在上述的說明書中,已參照本揭示內容的具體示例性實施例來描述本揭示內容的實施例。顯然,可以在不脫離如以下請求項中所闡述的本揭示內容的實施例的較廣精神及範圍的情況下對該等實施例做出各種更改。因此,應就說明的角度而非限制的角度看待說明書及繪圖。
100‧‧‧俯視圖 102‧‧‧基板 104‧‧‧絕緣層 106‧‧‧導線 108‧‧‧溝槽 110‧‧‧覆蓋層 112‧‧‧橫截面圖 114‧‧‧電子設備 120‧‧‧透視圖 122‧‧‧X軸 124‧‧‧Y軸 126‧‧‧角度 128‧‧‧Z軸 200‧‧‧視圖 202‧‧‧導線 204‧‧‧溝槽 206‧‧‧側壁 208‧‧‧頂面 300‧‧‧視圖 302‧‧‧襯墊 400‧‧‧視圖 402‧‧‧種子間隙填充層 500‧‧‧視圖 600‧‧‧視圖 602‧‧‧支柱 604‧‧‧高度 606‧‧‧間隙 700‧‧‧視圖 702‧‧‧頂面 800‧‧‧視圖 802‧‧‧絕緣層 804‧‧‧側壁 806‧‧‧頂部 900‧‧‧視圖 902‧‧‧溝槽 904‧‧‧底部 1000‧‧‧視圖 1100‧‧‧視圖 1102‧‧‧絕緣層 1104‧‧‧厚度 1200‧‧‧視圖 1202‧‧‧硬質遮罩層 1204‧‧‧絕緣層 1206‧‧‧溝槽 1300‧‧‧視圖 1302‧‧‧遮罩層 1304‧‧‧絕緣層 1306‧‧‧開口 1310‧‧‧橫截面圖 1400‧‧‧視圖 1402‧‧‧開口 1404‧‧‧通孔部分 1406‧‧‧溝槽部分 1410‧‧‧視圖 1500‧‧‧視圖 1502‧‧‧硬質遮罩層 1504‧‧‧硬質遮罩層 1506‧‧‧開口 1510‧‧‧俯視圖 1600‧‧‧視圖 1602‧‧‧開口 1604‧‧‧部分 1606‧‧‧部分 1608‧‧‧部分 1610‧‧‧側壁 1612‧‧‧底部 1620‧‧‧俯視圖 1700‧‧‧視圖 1702‧‧‧開口 1704‧‧‧通孔開口 1706‧‧‧溝槽開口 1708‧‧‧開口 1710‧‧‧側壁 1712‧‧‧部分 1714‧‧‧遮罩層 1716‧‧‧導線 1720‧‧‧俯視圖 1800‧‧‧視圖 1802‧‧‧導線 1804‧‧‧溝槽部分 1806‧‧‧通孔部分 1822‧‧‧導線 1824‧‧‧通孔 1830‧‧‧俯視圖 1900‧‧‧視圖 1902‧‧‧硬質遮罩層 1904‧‧‧遮罩層 1906‧‧‧開口 1910‧‧‧俯視圖 2000‧‧‧視圖 2002‧‧‧開口 2004‧‧‧部分 2006‧‧‧部分 2008‧‧‧部分 2010‧‧‧底部 2012‧‧‧側壁 2050‧‧‧俯視圖 2100‧‧‧視圖 2102‧‧‧平坦化填充層 2104‧‧‧遮罩層 2106‧‧‧開口 2108‧‧‧覆蓋層 2110‧‧‧俯視圖 2200‧‧‧視圖 2202‧‧‧開口 2204‧‧‧通孔開口 2206‧‧‧溝槽開口 2210‧‧‧側壁 2212‧‧‧部分 2216‧‧‧導線 2300‧‧‧視圖 2302‧‧‧導線 2304‧‧‧溝槽部分 2306‧‧‧通孔部分 2324‧‧‧通孔 2330‧‧‧俯視圖 2400‧‧‧系統 2402‧‧‧處理腔室 2404‧‧‧可移動托座 2406‧‧‧電子設備結構 2408‧‧‧DC電源 2410‧‧‧電源 2412‧‧‧電漿偏壓電源 2414‧‧‧RF匹配件 2416‧‧‧開口 2418‧‧‧壓力控制系統 2420‧‧‧RF源電源 2422‧‧‧質量流量控制器 2424‧‧‧過程氣體 2426‧‧‧電漿源 2428‧‧‧淋噴頭 2430‧‧‧電漿 2432‧‧‧排氣出口 2434‧‧‧控制系統 2436‧‧‧處理器 2438‧‧‧溫度控制器 2440‧‧‧記憶體 2442‧‧‧輸入/輸出設備 3000‧‧‧俯視圖 3002‧‧‧基板 3004‧‧‧絕緣層 3006‧‧‧導線 3008‧‧‧溝槽 3010‧‧‧覆蓋層 3012‧‧‧橫截面圖 3014‧‧‧蝕刻停止層 3020‧‧‧透視圖 3200‧‧‧視圖 3202‧‧‧導線 3204‧‧‧溝槽 3206‧‧‧側壁 3208‧‧‧頂面 3300‧‧‧視圖 3302‧‧‧襯墊 3400‧‧‧視圖 3402‧‧‧種子間隙填充層 3500‧‧‧種子間隙填充層 3600‧‧‧視圖 3602‧‧‧支柱 3604‧‧‧高度 3606‧‧‧間隙 3700‧‧‧視圖 3702‧‧‧頂面 3800‧‧‧視圖 3802‧‧‧絕緣層 3804‧‧‧側壁 3806‧‧‧頂部 3808‧‧‧上部 3900‧‧‧視圖 3910‧‧‧視圖 4000‧‧‧視圖 4002‧‧‧溝槽 4004‧‧‧底部 4100‧‧‧視圖 4102‧‧‧絕緣層 4104‧‧‧厚度 4200‧‧‧視圖 4202‧‧‧硬質遮罩層 4204‧‧‧絕緣層 4206‧‧‧溝槽 4300‧‧‧視圖 4302‧‧‧遮罩層 4304‧‧‧絕緣層 4306‧‧‧開口 4400‧‧‧橫截面圖 4402‧‧‧開口 4406‧‧‧溝槽部分 4500‧‧‧視圖 4502‧‧‧遮罩層 4504‧‧‧硬質遮罩層 4506‧‧‧開口 4600‧‧‧視圖 4602‧‧‧開口 4604‧‧‧部分 4606‧‧‧部分 4608‧‧‧部分 4610‧‧‧側壁 4612‧‧‧底部 4700‧‧‧視圖 4702‧‧‧開口 4704‧‧‧通孔開口 4706‧‧‧溝槽開口 4708‧‧‧開口 4710‧‧‧側壁 4712‧‧‧部分 4714‧‧‧遮罩層 4716‧‧‧導線 4800‧‧‧視圖 4802‧‧‧導線 4804‧‧‧溝槽部分 4806‧‧‧通孔部分 4820‧‧‧俯視圖 4822‧‧‧導線 4824‧‧‧通孔 4900‧‧‧視圖 4902‧‧‧硬質遮罩層 4904‧‧‧遮罩層 4906‧‧‧開口 4930‧‧‧視圖 4950‧‧‧俯視圖 4960‧‧‧視圖 4970‧‧‧俯視圖 5000‧‧‧視圖 5002‧‧‧開口 5004‧‧‧部分 5006‧‧‧部分 5008‧‧‧部分 5012‧‧‧側壁 5050‧‧‧俯視圖 5100‧‧‧視圖 5102‧‧‧平坦化填充層 5104‧‧‧遮罩層 5106‧‧‧開口 5108‧‧‧覆蓋層 5110‧‧‧俯視圖 5202‧‧‧開口 5204‧‧‧通孔開口 5206‧‧‧溝槽開口 5210‧‧‧側壁 5212‧‧‧部分 5216‧‧‧導線 5300‧‧‧視圖 5302‧‧‧導線 5304‧‧‧溝槽部分 5306‧‧‧通孔部分 5324‧‧‧通孔 5330‧‧‧俯視圖
可以藉由參照實施例來獲得上文所簡要概述的本揭示內容的更詳細說明以及可以用來詳細了解本揭示內容的上述特徵的方式,附圖中繪示了該等實施例中的一些。然而,要注意,附圖僅繪示此揭示內容的一般實施例且因此並不視為本揭示內容的範圍的限制,因為本揭示內容可以容許其他等效的實施例。如本文中所述的實施例藉由示例而非限制的方式而繪示於附圖的圖示中,在該等附圖中,類似的參考標號指示類似的構件。
圖1A繪示依據一個實施例的用來提供完全自對準的通孔的電子設備結構的俯視圖及橫截面圖。
圖1B是圖1A中所描繪的電子設備結構的透視圖。
圖2是在導線依據一個實施例凹陷之後的與圖1A類似的視圖。
圖3是在襯墊依據一個實施例沉積於凹陷的導線上之後的與圖2類似的視圖。
圖4是在種子間隙填充層依據一個實施例沉積於襯墊上之後的與圖3類似的視圖。
圖5是在種子間隙填充層的一部分依據一個實施例移除以暴露絕緣層的頂部之後的與圖4類似的視圖。
圖6是在自對準的選擇性生長支柱依據一個實施例形成之後的與圖5類似的視圖。
圖7是在覆蓋層依據一個實施例移除之後的與圖6類似的視圖。
圖8是在絕緣層依據一個實施例沉積以過度填充支柱之間的間隙之後的與圖7類似的視圖。
圖9是在絕緣層的一部分依據一個實施例移除以暴露支柱的頂部之後的與圖8類似的視圖。
圖10是在自對準的選擇性生長的支柱依據一個實施例選擇性地移除以形成溝槽之後的與圖9類似的視圖。
圖11是在絕緣層依據一個實施例沉積到溝槽中之後的與圖10類似的視圖。
圖12是在絕緣層依據一個實施例沉積到溝槽中之後的視圖。
圖13A是在遮罩層依據一個實施例沉積於圖案化的硬質遮罩層上的絕緣層上之後的與圖12類似的視圖。
圖13B是圖13A沿著軸C-C'的橫截面圖。
圖14A是在絕緣層依據一個實施例選擇性地蝕刻之後的與圖13B類似的視圖。
圖14B是在絕緣層依據一個實施例選擇性地蝕刻之後的與圖13A類似的視圖。
圖15A是在遮罩層依據一個實施例沉積於硬質遮罩層上之後的與圖11類似的視圖。
圖15B是圖15A中所描繪的電子設備結構的俯視圖。
圖16A是在硬質遮罩層及絕緣層的一部分依據一個實施例移除之後的與圖15A類似的視圖。
圖16B是圖16A中所描繪的電子設備結構的俯視圖。
圖17A是在完全自對準的開口依據一個實施例形成於絕緣層中之後的與圖16A類似的視圖。
圖17B是圖17A中所描繪的電子設備結構的俯視圖。
圖18A是在包括沿著Y軸延伸的導線的上金屬化層依據一個實施例形成之後的與圖17A類似的視圖。
圖18B是圖18A中所描繪的電子設備結構的俯視圖。
圖19A是在遮罩層依據一個實施例沉積於硬質遮罩層上之後的與圖11類似的視圖。
圖19B是圖19A中所描繪的電子設備結構的俯視圖。
圖20A是在硬質遮罩層及絕緣層的一部分依據一個實施例移除之後的與圖19A類似的視圖。
圖20B是圖20A中所描繪的電子設備結構的俯視圖。
圖21A是在依據一個實施例形成平坦化填充層及遮罩層之後的與圖20A類似的視圖。
圖21B是圖21A中所描繪的電子設備結構的俯視圖。
圖22A是在完全自對準的開口依據一個實施例形成於絕緣層中之後的與圖21A類似的視圖。
圖22B是圖22A中所描繪的電子設備結構的俯視圖。
圖23A是在包括沿著Y軸延伸的導線的上金屬化層依據一個實施例形成之後的與圖22A類似的視圖。
圖23B是圖23A中所描繪的電子設備結構的俯視圖。
圖24示出依據一個實施例的用來提供完全自對準的通孔的電漿系統的方塊圖。
圖25A繪示依據一個替代實施例的用來提供完全自對準的通孔的電子設備結構的俯視圖及橫截面圖。
圖25B是圖25A中所描繪的電子設備結構的透視圖。
圖26是在導線依據一個實施例凹陷之後的與圖25A類似的視圖。
圖27是在襯墊依據一個實施例沉積於凹陷的導線上之後的與圖26類似的視圖。
圖28是在種子間隙填充層依據一個實施例沉積於襯墊上之後的與圖27類似的視圖。
圖29是在種子間隙填充層的一部分依據一個實施例移除以暴露絕緣層的頂部之後的與圖28類似的視圖。
圖30是在自對準的選擇性生長支柱依據一個實施例形成之後的與圖29類似的視圖。
圖31是在覆蓋層依據一個實施例移除之後的與圖30類似的視圖。
圖32是在絕緣層依據一個實施例沉積以過度填充支柱之間的間隙之後的與圖31類似的視圖。
圖33A是在絕緣層的一部分依據一個實施例移除以暴露支柱的頂部之後的與圖32類似的視圖。
圖33B是在絕緣層依據另一個實施例沉積以不充分填充支柱之間的間隙之後的與圖32類似的視圖。
圖34是在自對準的選擇性生長的支柱依據一個實施例選擇性地移除以形成溝槽之後的與圖33A類似的視圖。
圖35是在絕緣層依據一個實施例沉積到溝槽中之後的與圖34類似的視圖。
圖36是在絕緣層依據一個實施例沉積到溝槽中之後的視圖。
圖37A是在遮罩層依據一個實施例沉積於圖案化的硬質遮罩層上的絕緣層上之後的與圖36類似的視圖。
圖37B是圖37A沿著軸F-F'的橫截面圖。
圖38A是在絕緣層依據一個實施例選擇性地蝕刻之後的與圖37B類似的視圖。
圖38B是在絕緣層依據一個實施例選擇性地蝕刻之後的與圖37A類似的視圖。
圖39A是在遮罩層依據一個實施例沉積於硬質遮罩層上之後的與圖35類似的視圖。
圖39B是圖39A中所描繪的電子設備結構的俯視圖。
圖40A是在硬質遮罩層及絕緣層的一部分依據一個實施例移除之後的與圖39A類似的視圖。
圖40B是圖40A中所描繪的電子設備結構的俯視圖。
圖41A是在完全自對準的開口依據一個實施例形成於絕緣層中之後的與圖40A類似的視圖。
圖41B是圖41A中所描繪的電子設備結構的俯視圖。
圖42A是在包括沿著Y軸延伸的導線的上金屬化層依據一個實施例形成之後的與圖41A類似的視圖。
圖42B是圖42A中所描繪的電子設備結構的俯視圖。
圖43A是在遮罩層依據一個實施例沉積於硬質遮罩層上之後的與圖35類似的視圖。
圖43B是圖43A中所描繪的電子設備結構的俯視圖。
圖44A是在硬質遮罩層及絕緣層的一部分依據一個實施例移除之後的與圖43A類似的視圖。
圖44B是圖44A中所描繪的電子設備結構的俯視圖。
圖45A是在依據一個實施例形成平坦化填充層及遮罩層之後的與圖45A類似的視圖。
圖45B是圖45A中所描繪的電子設備結構的俯視圖。
圖46A是在完全自對準的開口依據一個實施例形成於絕緣層中之後的與圖45A類似的視圖。
圖46B是圖46A中所描繪的電子設備結構的俯視圖。
圖47A是在包括沿著Y軸延伸的導線的上金屬化層依據一個實施例形成之後的與圖46A類似的視圖。
圖47B是圖47A中所描繪的電子設備結構的俯視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
102‧‧‧基板
104‧‧‧絕緣層
106‧‧‧導線
108‧‧‧溝槽
110‧‧‧覆蓋層
120‧‧‧透視圖
122‧‧‧X軸
124‧‧‧Y軸
126‧‧‧角度
128‧‧‧Z軸

Claims (20)

  1. 一種電子設備,包括: 一第一金屬化層,包括沿著一第一方向延伸的一組第一導線,該等第一導線中的每一者藉由一第一絕緣層與一相鄰的第一導線分開; 一蝕刻停止層,在該第一絕緣層上; 一第二絕緣層,在該第一絕緣層上,該第二絕緣層藉由該蝕刻停止層與該第一絕緣層分開; 一第三絕緣層,在該等第一導線中的一些上,使得至少一條導線沒有該第三絕緣層; 一第二金屬化層,在該第二絕緣層及該第三絕緣層的一部分上,該第二金屬化層包括一組第二導線,該等第二導線沿著用一角度與該第一方向交叉的一第二方向延伸,該等第二導線中的每一者藉由一第四絕緣體與一相鄰的第二導線分開;及 至少一個通孔,在該第一金屬化層與該第二金屬化層之間,該至少一個通孔中的每一者被形成於沒有該第三絕緣層的該至少一條導線上,且該通孔中具有一導電材料,其中該通孔沿著該第二方向與該等第一導線中的一者自對準。
  2. 如請求項1所述之電子設備,其中該至少一個通孔沿著該第一方向與該等第二導線中的一者自對準。
  3. 如請求項1所述之電子設備,其中該第三絕緣層相對於該第二絕緣層具有蝕刻選擇性。
  4. 如請求項1所述之電子設備,進一步包括:一襯墊,在該等第一導線與該第二絕緣層之間及該等第一導線與該至少一個通孔中的該導電材料之間。
  5. 如請求項1所述之電子設備,其中該蝕刻停止層包括氧化鋁。
  6. 一種提供一自對準通孔的方法,該方法包括以下步驟: 提供一基板,該基板在該基板上具有一第一絕緣層,該第一絕緣層具有一頂面,該頂面具有沿著一第一方向形成的複數個溝槽; 在該第一絕緣層的該頂面上形成一覆蓋層; 在該第一絕緣層的該等溝槽中提供複數條凹陷的第一導線,該等第一導線沿著該第一方向延伸且具有該第一絕緣層的該頂面下方的一第一導電面; 在該等凹陷的第一導線上形成一第一金屬膜; 由該等凹陷的第一導線上的該第一金屬膜形成支柱,該等支柱與該第一絕緣層的該頂面正交地延伸; 選擇性地移除該覆蓋層的至少一部分,以暴露該第一絕緣層的該頂面; 圍繞該等支柱且在該第一絕緣層的該頂面上沉積一第二絕緣層; 選擇性地移除該等支柱中的至少一者,以在該第二絕緣層中形成至少一個開口; 在該至少一個開口中將一第三絕緣層沉積到該等凹陷的第一導線上以形成填充後通孔; 相對於該第二絕緣層蝕刻該第三絕緣層的一部分以將一通孔開口形成到該等第一導線中的至少一者;及 在該第二絕緣層及該第三絕緣層的一部分上形成第二導線,該等第二導線沿著用一角度與該第一方向交叉的一第二方向延伸。
  7. 如請求項6所述之方法,其中選擇性地移除該覆蓋層的至少一部分的步驟包括以下步驟:將該基板暴露於一熱磷酸溶液。
  8. 如請求項7所述之方法,其中該覆蓋層被整個移除。
  9. 如請求項6所述之方法,進一步包括以下步驟:在該覆蓋層與該第一絕緣層之間沉積一蝕刻停止層。
  10. 如請求項9所述之方法,其中該蝕刻停止層選自氧化鋁(Al2 O3 )、二氧化鉿(HfO2 )、及上述項目的組合。
  11. 如請求項6所述之方法,其中該等第一導線及該等第二導線獨立地包括以下項目中的一或更多者:銅、釕、鎳、鈷、鉻、鐵、錳、鈦、鋁、鉿、鉭、鎢、釩、鉬、鈀、金、銀、鉑、銦、錫、鉛、銻、鉍、鋅、或鎘。
  12. 如請求項11所述之方法,其中該等第一導線及該等第二導線獨立地包括銅或鈷中的一或更多者。
  13. 如請求項6所述之方法,其中該覆蓋層包括氮化矽、氧化矽、氮氧化矽、及氮碳化矽中的一或更多者。
  14. 如請求項6所述之方法,其中該第一金屬膜包括鎢,且其中該等支柱是藉由氧化該第一金屬膜以形成氧化鎢來形成的。
  15. 如請求項6所述之方法,其中該等第一導線具有在約2 nm到約15 nm的一範圍中的一寬度。
  16. 如請求項6所述之方法,其中該第一絕緣層、該第二絕緣層、及該第三絕緣層獨立地選自:氧化物、摻碳氧化物、多孔二氧化矽、碳化物、碳氧化物、氮化物、氮氧化物、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、或上述項目的任何組合。
  17. 如請求項6所述之方法,其中該第一絕緣層及該第二絕緣層包括相同的材料。
  18. 如請求項6所述之方法,其中該等第一導線用約10 nm到約50 nm的一範圍凹陷。
  19. 如請求項6所述之方法,其中該等支柱是藉由用HF及HNO3 的一溶液、NH4 OH及H2 O2 的一溶液、WCl5 、WF6 來移除的。
  20. 一種提供一自對準通孔的方法,該方法包括以下步驟: 提供一基板,該基板在該基板上具有一第一絕緣層,該第一絕緣層具有一頂面,該頂面具有沿著一第一方向形成的複數個溝槽,該第一絕緣層包括ULK; 在該第一絕緣層的該頂面上形成一覆蓋層,該覆蓋層包括氮化矽、氧化矽、氮氧化矽、及氮碳化矽中的一或更多者; 在該第一絕緣層的該等溝槽中提供複數條凹陷的第一導線,該等第一導線沿著該第一方向延伸且具有該第一絕緣層的該頂面下方的一第一導電面,該等第一導線包括銅或鈷中的一或更多者; 在該等凹陷的第一導線上形成一第一金屬膜,該第一金屬膜包括鎢; 由該等凹陷的第一導線上的該第一金屬膜形成支柱,該等支柱與該第一絕緣層的該頂面正交地延伸; 藉由將該基板暴露於一熱磷酸溶液,來選擇性地移除該覆蓋層的至少一部分以暴露該第一絕緣層的該頂面; 圍繞該等支柱且在該第一絕緣層的該頂面上沉積一第二絕緣層,該第二絕緣層包括一可流動氧化矽; 平坦化該第二絕緣層以暴露該支柱的一頂部; 選擇性地移除該等支柱中的至少一者,以在該第二絕緣層中形成至少一個開口; 在該至少一個開口中將一第三絕緣層沉積到該等凹陷的第一導線上以形成填充後通孔,該第三絕緣層包括一可流動氧化矽; 相對於該第二絕緣層蝕刻該第三絕緣層的一部分以將一通孔開口形成到該等第一導線中的至少一者;及 在該第二絕緣層及該第三絕緣層的一部分上形成第二導線,該等第二導線沿著用一角度與該第一方向交叉的一第二方向延伸,該等第二導線包括銅或鈷中的一或更多者。
TW108115527A 2018-05-08 2019-05-06 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程 TW202002219A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862668406P 2018-05-08 2018-05-08
US62/668,406 2018-05-08

Publications (1)

Publication Number Publication Date
TW202002219A true TW202002219A (zh) 2020-01-01

Family

ID=68465249

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115527A TW202002219A (zh) 2018-05-08 2019-05-06 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程

Country Status (3)

Country Link
US (2) US10790191B2 (zh)
TW (1) TW202002219A (zh)
WO (1) WO2019217363A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821758B (zh) * 2020-10-23 2023-11-11 乾坤科技股份有限公司 一種磁性裝置及其製作方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164938B2 (en) * 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US10950459B1 (en) * 2019-09-13 2021-03-16 International Business Machines Corporation Back end of line structures with metal lines with alternating patterning and metallization schemes
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
FR3108781B1 (fr) * 2020-03-30 2022-03-18 Commissariat Energie Atomique Procédé de réalisation sur une plaque d’une pluralité de puces comprenant chacune une zone d’individualisation
US20210384102A1 (en) * 2020-06-04 2021-12-09 Mobix Labs, Inc. Low noise amplifiers on soi with on-die cooling structures
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11749532B2 (en) * 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN117476549B (zh) * 2023-12-25 2024-04-09 合肥晶合集成电路股份有限公司 半导体叠层结构的制造方法及半导体结构

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671970A (en) 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
KR0165813B1 (ko) 1995-04-12 1999-02-01 문정환 접속홀의 플러그 형성 방법
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
KR100223334B1 (ko) 1996-06-29 1999-10-15 김영환 반도체소자의 금속배선형성방법
US6143653A (en) 1998-10-04 2000-11-07 Promos Technologies, Inc. Method of forming tungsten interconnect with tungsten oxidation to prevent tungsten loss
KR20000026588A (ko) 1998-10-21 2000-05-15 윤종용 콘택홀을 갖는 반도체 장치 및 그 제조방법
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP2001015479A (ja) 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
JP2003507888A (ja) 1999-08-18 2003-02-25 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェーハ上に銅の特徴を生じさせる方法
US6576113B1 (en) 1999-10-29 2003-06-10 California Institute Of Technology Method of electroplating of high aspect ratio metal structures into semiconductors
US6373087B1 (en) 2000-08-31 2002-04-16 Agere Systems Guardian Corp. Methods of fabricating a metal-oxide-metal capacitor and associated apparatuses
US7192803B1 (en) 2000-10-13 2007-03-20 Bridge Semiconductor Corporation Method of making a semiconductor chip assembly with simultaneously formed interconnect and connection joint
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
JP2002252281A (ja) 2001-02-27 2002-09-06 Sony Corp 半導体装置およびその製造方法
US6528884B1 (en) 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
US7279119B2 (en) 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7211844B2 (en) 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
KR100923192B1 (ko) 2004-03-16 2009-10-22 가부시키가이샤 아이에이치아이 반도체 장치의 제조 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2007005381A (ja) 2005-06-21 2007-01-11 Matsushita Electric Ind Co Ltd プラズマエッチング方法、及びプラズマエッチング装置
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7351648B2 (en) 2006-01-19 2008-04-01 International Business Machines Corporation Methods for forming uniform lithographic features
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7956465B2 (en) 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
JP2008108757A (ja) 2006-10-23 2008-05-08 Matsushita Electric Works Ltd 化合物半導体発光素子およびそれを用いる照明装置ならびに化合物半導体素子の製造方法
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20090017631A1 (en) 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090072409A1 (en) 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
US7985977B2 (en) 2007-12-11 2011-07-26 Hvvi Semiconductors, Inc. Sacrificial pillar dielectric platform
KR101477661B1 (ko) 2008-07-17 2014-12-31 삼성전자주식회사 텅스텐 재성장을 통한 심 없는 텅스텐 패턴 및 그 패턴형성 방법
US8169031B2 (en) 2008-08-26 2012-05-01 International Business Machines Corporation Continuous metal semiconductor alloy via for interconnects
US8101456B2 (en) 2008-10-01 2012-01-24 International Business Machines Corporation Method to reduce a via area in a phase change memory cell
KR101026486B1 (ko) 2008-10-22 2011-04-01 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
JP5133852B2 (ja) 2008-11-13 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体装置
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US8575753B2 (en) 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2011060803A (ja) 2009-09-07 2011-03-24 Toshiba Corp 半導体装置
US8274065B2 (en) 2009-10-19 2012-09-25 Macronix International Co., Ltd. Memory and method of fabricating the same
US8778749B2 (en) 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
JP2011233922A (ja) 2011-07-20 2011-11-17 Ihi Corp 素子間分離領域の形成方法
US8946082B2 (en) 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
KR20130046664A (ko) 2011-10-28 2013-05-08 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US8860001B2 (en) 2012-04-09 2014-10-14 Freescale Semiconductor, Inc. ReRAM device structure
US20140029181A1 (en) 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US9245987B2 (en) 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US8901607B2 (en) 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9178011B2 (en) 2013-03-13 2015-11-03 Intermolecular, Inc. Deposition of anisotropic dielectric layers orientationally matched to the physically separated substrate
US9012322B2 (en) 2013-04-05 2015-04-21 Intermolecular, Inc. Selective etching of copper and copper-barrier materials by an aqueous base solution with fluoride addition
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
CN105493249B (zh) 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
EP3796371A3 (en) 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9362413B2 (en) 2013-11-15 2016-06-07 Cbrite Inc. MOTFT with un-patterned etch-stop
US9312168B2 (en) 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
JP6297884B2 (ja) 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102377372B1 (ko) 2014-04-02 2022-03-21 어플라이드 머티어리얼스, 인코포레이티드 인터커넥트들을 형성하기 위한 방법
US9368395B1 (en) 2014-05-06 2016-06-14 Globalfoundries Inc. Self-aligned via and air gap
US9299745B2 (en) 2014-05-08 2016-03-29 GlobalFoundries, Inc. Integrated circuits having magnetic tunnel junctions (MTJ) and methods for fabricating the same
US9281382B2 (en) 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins
US9627318B2 (en) 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US9679852B2 (en) 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9324650B2 (en) 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
US9356047B2 (en) 2014-08-18 2016-05-31 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9508642B2 (en) 2014-08-20 2016-11-29 Globalfoundries Inc. Self-aligned back end of line cut
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9735030B2 (en) 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
KR102310834B1 (ko) 2014-12-22 2021-10-07 도쿄엘렉트론가부시키가이샤 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US20160260779A1 (en) 2015-03-06 2016-09-08 Kabushiki Kaisha Toshiba Non-volatile resistive random access memory device
US9362165B1 (en) 2015-05-08 2016-06-07 Globalfoundries Inc. 2D self-aligned via first process flow
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9716065B2 (en) 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9721888B2 (en) 2015-12-08 2017-08-01 International Business Machines Corporation Trench silicide with self-aligned contact vias
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
WO2017136577A1 (en) 2016-02-02 2017-08-10 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US10354912B2 (en) 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
JP2019530242A (ja) 2016-09-30 2019-10-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己整合ビアの形成方法
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
TW201833991A (zh) 2016-11-08 2018-09-16 美商應用材料股份有限公司 自對準圖案化之方法
US10424507B2 (en) * 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
WO2018227110A1 (en) 2017-06-10 2018-12-13 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821758B (zh) * 2020-10-23 2023-11-11 乾坤科技股份有限公司 一種磁性裝置及其製作方法

Also Published As

Publication number Publication date
US20200388535A1 (en) 2020-12-10
US11037825B2 (en) 2021-06-15
WO2019217363A1 (en) 2019-11-14
US10790191B2 (en) 2020-09-29
US20190348322A1 (en) 2019-11-14

Similar Documents

Publication Publication Date Title
US11037825B2 (en) Selective removal process to create high aspect ratio fully self-aligned via
US10410921B2 (en) Fully self-aligned via
US10553485B2 (en) Methods of producing fully self-aligned vias and contacts
US10892187B2 (en) Method for creating a fully self-aligned via
US10600688B2 (en) Methods of producing self-aligned vias
JP6793711B2 (ja) 選択的にエッチングされた自己整合ビアプロセス
TW202011523A (zh) 用於增加支柱的垂直度的方法
TW201939628A (zh) 移除金屬氧化物的方法
US10573555B2 (en) Methods of producing self-aligned grown via
US10699953B2 (en) Method for creating a fully self-aligned via
WO2019046399A1 (en) METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
TW202036660A (zh) 碳膜的選擇性沉積及其用途
US11437274B2 (en) Fully self-aligned via