JP2020510314A - 酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長 - Google Patents

酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長 Download PDF

Info

Publication number
JP2020510314A
JP2020510314A JP2019548950A JP2019548950A JP2020510314A JP 2020510314 A JP2020510314 A JP 2020510314A JP 2019548950 A JP2019548950 A JP 2019548950A JP 2019548950 A JP2019548950 A JP 2019548950A JP 2020510314 A JP2020510314 A JP 2020510314A
Authority
JP
Japan
Prior art keywords
silicon
substrate
gas
exposed
introduction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019548950A
Other languages
English (en)
Inventor
スミス・デビッド・チャールズ
ハウスマン・デニス・エム.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020510314A publication Critical patent/JP2020510314A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【解決手段】酸化シリコンまたは窒化シリコン材料に選択的なシリコンまたは金属表面の上にシリコン含有誘電体または金属含有誘電体材料を選択的に蒸着させるための方法および装置が本明細書に提供される。方法は、酸化シリコンまたは窒化シリコン材料への蒸着をブロックするケトン構造を形成するために、蒸着が望まれない場所で酸化シリコンまたは窒化シリコン材料と反応する塩化アシルに基板を暴露させることを備える。塩化アシルへの暴露は、所望のシリコン含有誘電体材料または金属含有誘電体材料の蒸着の前に実行される。【選択図】図10

Description

関連出願への相互参照
本願は、参照によって本明細書にその全体が全ての目的で組み込まれる、2017年3月10日出願の米国特許出願第15/456,301号「SELECTIVE GROWTH OF SILICON OXIDE OR SILICON NITRIDE ON SILICON SURFACES IN THE PRESENCE OF SILICON OXIDE」にも続く優先権主張する。
半導体デバイス製造は、窒化シリコンおよび酸化シリコンの膜の蒸着を含みうる。かかる膜は、様々な用途で用いられる。例えば、窒化シリコン膜は、拡散バリア、ゲート絶縁体、側壁スペーサ、封止層、トランジスタのストレインド膜(strained film)などに利用されうる。酸化シリコン膜は、例えば、自己整合ダブルパターニング、および/または、クワッドパターニングまたはその他の製造処理で利用されうる。酸化シリコンおよび窒化シリコンの膜を蒸着するための従来の技術は、基板上のその他のシリコン含有材料と比べて選択的ではない。
本明細書では、基板を処理するための方法および装置が提供される。一態様は、基板の露出第1表面上にシリコン含有誘電体材料を選択的に蒸着させるための方法に関する。方法は、露出第1表面および露出第2表面を有する基板を提供し、露出第1表面は、ポリシリコン、非晶質シリコン、金属、および、単一第2級アミン末端基を有する窒化シリコンの内のいずれかなどの材料を有し、露出第2表面は、ヒドロキシルまたは第1級アミンなどの表面末端基を有するシリコン含有材料を備え、シリコン含有誘電体材料を蒸着させる前に、露出第2表面と選択的に反応する塩化アシルに基板を暴露させて、露出第2表面上にブロック基を形成し、塩化アシルは、以下の化学構造を有し、
Figure 2020510314
は、水素基またはアルキル基であり、1または複数サイクルの熱原子層堆積を実行して、露出第1表面上へ選択的にシリコン含有誘電体材料を蒸着させ、各サイクルは、基板の露出第1表面上に吸着するよう選択されたシリコン含有前駆体に基板を暴露させ、第2反応物質に基板を暴露させ、基板の露出第1表面上へ選択的にシリコン含有誘電体材料を形成することを含むことを備える。
様々な実施形態において、シリコン含有誘電体材料は、窒化シリコンである。例えば、第2反応物質は、アンモニア、または、以下の化学構造を有するヒドラジンのいずれかであってよく、
Figure 2020510314
ここで、R、R、R、および、Rは各々、水素基またはアルキル基であるいくつかの実施形態において、シリコン含有前駆体は、シリコンハライドまたはアミノシランである。シリコンハライドの例は、塩化シリコン、臭化シリコン、および、ヨウ化シリコンを含む。例えば、いくつかの実施形態において、シリコン含有前駆体は、四塩化シリコンである。いくつかの実施形態において、シリコン含有前駆体は、四塩化シリコンである。いくつかの実施形態において、シリコン含有前駆体は、四臭化シリコンである。いくつかの実施形態において、シリコン含有前駆体は、四ヨウ化シリコンである。
いくつかの実施形態において、シリコン含有前駆体は、以下の化学構造を有するアミノシランであり、
Figure 2020510314
ここで、xは、1以上3以下の整数であり、x+y=4であり、RおよびRの各々は、水素またはアルキルリガンドである。
様々な実施形態において、シリコン含有誘電体材料は、酸化シリコンである。例えば、第2反応物質は、弱酸化剤であってよい。いくつかの実施形態において、第2反応物質は、水、過酸化水素、および、オゾンの内のいずれか1つである。
様々な実施形態において、塩化アシルは、塩化アセチルである。
様々な実施形態において、方法は、さらに、基板を提供する前に、窒化シリコンを蒸着させて、未処理の窒化シリコン表面を形成し、未処理の窒化シリコン表面をアンモニアおよび水素ガスの混合物に暴露させて、約1秒〜約10秒の期間にわたってプラズマを点火することで、第1級アミン基を含む露出第2表面を形成することを備える。いくつかの実施形態において、アンモニアおよび水素の混合物中のアンモニアの量は、約1体積%未満である。
様々な実施形態において、方法は、さらに、基板を提供する前に、窒化シリコンを蒸着させて、未処理の窒化シリコン表面を形成し、未処理の窒化シリコン表面を窒素および水素ガスの混合物に暴露させて、約1秒〜約10秒の期間にわたってプラズマを点火することで、第1級アミン基を含む露出第1第2を形成することを備える。いくつかの実施形態において、窒素および水素の混合物中の窒素の量は、約1体積%未満である。
いくつかの実施形態において、窒化シリコンの表面末端第1級アミン基を含む露出第2表面は、約500℃より高い蒸着温度で化学蒸着によって蒸着される。様々な実施形態において、熱原子層堆積の各サイクルは、さらに、基板をシリコン含有前駆体に暴露させ、基板を第2反応物質に暴露させる合間に、基板を収容するチャンバをパージすることを備える。様々な実施形態において、熱原子層堆積の各サイクルは、さらに、基板をシリコン含有前駆体に暴露させた後に基板を収容するチャンバをパージし、基板を第2反応物質に暴露させた後にチャンバをパージすることを備える。様々な実施形態において、パージは、基板を収容するチャンバに不活性ガスを供給することによって実行される。不活性ガスは、ヘリウム、アルゴン、ネオン、および、それらの組み合わせのいずれかであってよい。
別の態様は、基板の露出第1表面上に金属含有誘電体材料を選択的に蒸着させるための方法を含んでおり、方法は、露出第1表面および露出第2表面を有する基板を提供し、露出第1表面は、ポリシリコン、非晶質シリコン、金属、および、単一第2級アミン末端基を有する窒化シリコンからなる群より選択された材料を有し、露出第2表面は、ヒドロキシルおよび第1級アミンからなる群より選択された表面末端基を有するシリコン含有材料を備え、金属含有誘電体材料を蒸着させる前に、露出第2表面と選択的に反応する塩化アシルに基板を暴露させて、露出第2表面上にブロック基を形成し、塩化アシルは、以下の化学構造を有し、
Figure 2020510314
は、水素基またはアルキル基であり、1または複数サイクルの熱原子層堆積を実行して、露出第1表面上へ選択的に金属含有誘電体材料を蒸着させること、を備える。
様々な実施形態において、金属含有誘電体材料は、酸化ジルコニウム(ZrO)、酸化チタン(TiO)、酸化スズ(SnO)、酸化ハフニウム(HfO)、および、窒化チタン(TiN)のいずれか1つである。
様々な実施形態において、塩化アシルは、塩化アセチルである。
方法は、さらに、基板を提供する前に、窒化シリコンを蒸着させて、未処理の窒化シリコン表面を形成し、未処理の窒化シリコン表面をアンモニアおよび水素ガスの混合物に暴露させて、約1秒〜約10秒の期間にわたってプラズマを点火することで、第1級アミン基を含む露出第2表面を形成することを備えてもよい。いくつかの実施形態において、アンモニアおよび水素の混合物中のアンモニアの量は、約1体積%未満である。
方法は、さらに、基板を提供する前に、窒化シリコンを蒸着させて、未処理の窒化シリコン表面を形成し、未処理の窒化シリコン表面を窒素および水素ガスの混合物に暴露させて、約1秒〜約10秒の期間にわたってプラズマを点火することで、第1級アミン基を含む露出第1第2を形成することを備えてもよい。いくつかの実施形態において、窒素および水素の混合物中の窒素の量は、約1体積%未満である。
様々な実施形態において、窒化シリコンの表面末端第1級アミン基を含む露出第2表面は、約500℃より高い蒸着温度で化学蒸着によって蒸着される。様々な実施形態において、熱原子層堆積の各サイクルは、さらに、基板を金属含有前駆体に暴露させ、基板を第2反応物質に暴露させる合間に、基板を収容するチャンバをパージすることを備える。様々な実施形態において、熱原子層堆積の各サイクルは、さらに、基板を金属含有前駆体に暴露させた後に基板を収容するチャンバをパージし、基板を第2反応物質に暴露させた後にチャンバをパージすることを備える。様々な実施形態において、パージは、基板を収容するチャンバに不活性ガスを供給することによって実行される。不活性ガスは、ヘリウム、アルゴン、ネオン、および、それらの組み合わせのいずれかであってよい。
様々な実施形態において、第2反応物質は、酸化剤である。様々な実施形態において、第2反応物質は、窒素含有ガス(アンモニア、窒素、または、ヒドラジンなど)である。
別の態様は、半導体基板を処理するための装置を含み、装置は、基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと真空に接続するための少なくとも1つの流出口と、1または複数の塩化アシルガス源に接続された1または複数の処理ガス流入口と、1または複数のシリコン含有前駆体ガス源に接続された1または複数の処理ガス流入口と、1または複数の第2反応ガス源に接続された1または複数の処理ガス流入口と、装置内での動作を制御するためのコントローラと、を備え、コントローラは、シリコン含有前駆体ガスまたは第2反応ガスを導入する前に、少なくとも1つの処理チャンバ内に収容された基板へ塩化アシルを導入するためのマシン読み取り可能な命令と、時間的に分離されたパルスでシリコン含有前駆体ガスおよび第2反応ガスを導入して、シリコン含有誘電体膜を形成するためのマシン読み取り可能な命令と、を備え、シリコン含有前駆体ガスのパルスおよび第2反応ガスのパルスが、1つの熱原子層堆積サイクルを構成する。
様々な実施形態において、シリコン含有前駆体ガス源は、シリコンハライドガスおよびアミノシランガスのいずれか1つを含む。例えば、いくつかの実施形態において、シリコン含有前駆体ガスは、塩化シリコン、臭化シリコン、ヨウ化シリコン、または、それらの組み合わせである。様々な実施形態において、第2反応ガス源は、弱酸化剤ガスを含む。様々な実施形態において、第2反応ガス源は、水蒸気、過酸化水素ガス、オゾンガス、または、それらの組み合わせを含む。様々な実施形態において、第2反応ガス源は、窒素含有ガス(窒素、アンモニア、ヒドラジン、または、それらの組み合わせなど)である。様々な実施形態において、1または複数の塩化アシルガス源は、塩化アセチルガス源を含み、基板に導入される塩化アシルは、塩化アセチルである。
様々な実施形態において、コントローラは、さらに、シリコン含有前駆体ガスおよび第2反応ガスの時間的に分離されたパルスの合間に、少なくとも1つの処理チャンバをパージするための命令を備える。いくつかの実施形態において、装置は、さらに、1または複数の不活性ガス源に接続された1または複数のガス流入口を備え、パージするための命令は、1または複数の不活性ガス源から基板を収容する少なくとも1つの処理チャンバに不活性ガスを流すための命令を含む。
別の態様は、半導体基板を処理するための装置を含み、装置は、基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと、真空に接続するための少なくとも1つの流出口と、1または複数の塩化アシルガス源に接続された1または複数の処理ガス流入口と、1または複数の金属含有前駆体ガス源に接続された1または複数の処理ガス流入口と、1または複数の第2反応ガス源に接続された1または複数の処理ガス流入口と、装置内での動作を制御するためのコントローラと、を備え、コントローラは、シリコン含有前駆体ガスまたは第2反応ガスを導入する前に、少なくとも1つの処理チャンバ内に収容された基板へ塩化アシルを導入するためのマシン読み取り可能な命令と、時間的に分離されたパルスで金属含有前駆体ガスおよび第2反応ガスを導入して、金属含有誘電体膜を形成するためのマシン読み取り可能な命令と、を備え、金属含有前駆体ガスのパルスおよび第2反応ガスのパルスが、1つの熱原子層堆積サイクルを構成する。
様々な実施形態において、第2反応ガス源は、弱酸化剤ガスを含む。様々な実施形態において、第2反応ガス源は、水蒸気、過酸化水素ガス、オゾンガス、または、それらの組み合わせを含む。様々な実施形態において、第2反応ガス源は、窒素含有ガス(窒素、アンモニア、ヒドラジン、または、それらの組み合わせなど)である。様々な実施形態において、1または複数の塩化アシルガス源は、塩化アセチルガス源を含み、基板に導入される塩化アシルは、塩化アセチルである。
様々な実施形態において、コントローラは、さらに、金属含有前駆体ガスおよび第2反応ガスの時間的に分離されたパルスの合間に、少なくとも1つの処理チャンバをパージするための命令を備える。いくつかの実施形態において、装置は、さらに、1または複数の不活性ガス源に接続された1または複数のガス流入口を備え、パージするための命令は、1または複数の不活性ガス源から基板を収容する少なくとも1つの処理チャンバに不活性ガスを流すための命令を含む。
これらの態様および他の態様について、図面を参照しつつ以下でさらに説明する。
特定の開示されている実施形態に従った方法のための動作を示す処理フローチャート。
特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。 特定の開示されている実施形態に従って記載された動作を受ける基板の概略図。
特定の開示されている実施形態に従って、方法内で実行されるサイクルの例を示すタイミングシーケンス図。
特定の開示された実施形態を実行するための処理チャンバ例を示す概略図。
特定の開示された実施形態を実行するための処理ツール例を示す概略図。
以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。
半導体製造処理は、しばしば、酸化シリコン、窒化シリコン、金属酸化物、および、金属窒化物材料の蒸着を含む。一例では、酸化シリコンが、スペーサおよびダブルパターニング、クアッドパターニング、ならびに、その他のマルチプルパターニング製造技術として利用されうる。別の例では、窒化シリコンが、拡散バリア、ゲート絶縁体、側壁スペーサ、および、封止層として、半導体デバイス製造に利用されうる。共形窒化シリコン層も、メモリ構造の製造中などに、他の用途で利用されうる。酸化シリコンおよび窒化シリコン層を蒸着するための従来の原子層堆積(ALD)、プラズマ強化原子層堆積(PEALD)、化学蒸着(CVD)、および、プラズマ強化化学蒸着(PECVD)技術は、一般に、酸化シリコンまたは窒化シリコン材料が蒸着される材料に対して選択的ではない。金属に対して酸化物材料上に金属酸化物を選択的に蒸着する技術および酸化物に対して金属材料上に金属酸化物を選択的に蒸着する技術がいくつか存在するが、かかる技術は、誘電体材料を他の誘電材料に比べて選択的に効果的に蒸着するには不十分である。
酸化シリコンまたは窒化シリコンの表面に比べて半導体基板の第1露出面上にシリコン含有誘電体材料または金属含有誘電体材料を選択的に蒸着するための方法が本明細書に提供されている。方法は、材料の蒸着中に塩化アシルを用いて、露出した酸化シリコンまたは窒化シリコンの表面を選択的にブロックする工程を備える。特定の開示された実施形態を用いて蒸着されうる材料は、シリコン含有誘電体材料(酸化シリコンおよび窒化シリコンなど)と、金属含有誘電体材料(金属酸化物および金属窒化物など)とを含む。金属含有誘電体材料の例は、酸化ジルコニウム(ZrO)、酸化チタン(例えば、TiO)、酸化スズ(例えば、SnO)、酸化ハフニウム(HfO)、および、窒化チタン(TiN)を含む。酸化シリコン、窒化シリコン、または、金属含有誘電体材料は、シリコン表面(Si−H末端表面を有するポリシリコンなど)、表面上に第2級アミンを有する窒化シリコン表面(例えば、Si−NH末端表面)、または、金属含有誘電体表面の上に選択的に蒸着される。酸化シリコン、窒化シリコン、または、金属含有誘電体材料は、露出した酸化シリコンまたは窒化シリコン表面のブロックされた領域を損傷または除去しないように選択された蒸着前駆体、蒸着技術、および、蒸着処理条件を用いて蒸着される。ブロックされた酸化シリコンまたは窒化シリコン表面は、ヒドロキシル末端酸化シリコンまたは第1級アミン末端(Si−NH)窒化シリコンの表面を塩化アシルと反応させて1または複数のブロック基(アルデヒドおよび/またはケトンなど)を形成することによって形成され、アルデヒドおよび/またはケトンは、酸化シリコン、窒化シリコン、または、金属含有誘電体材料を選択的に蒸着するための蒸着前駆体とほとんどまたは全く反応性を持たない。
本明細書に記載の技術は、熱原子層堆積(ALD)を含む。すなわち、様々な実施形態において、酸化シリコンを形成するためのシリコン含有前駆体と弱酸化剤との間の反応は、プラズマを点火することなしに実行される。さらに、様々な実施形態において、窒化シリコンを形成するためのシリコン含有前駆体とヒドラジンとの間の反応は、プラズマを点火することなしに実行される。ALDは、連続的な自己制限反応を用いて材料の薄層を蒸着させる技術である。通例、ALDサイクルは、少なくとも1つの反応物質を基板表面に送って吸着させた後に、吸着した反応物質を1または複数の反応物質と反応させて、部分的な膜層を形成する動作を含む。別の例として、酸化シリコン蒸着サイクルは、以下の動作を含んでよい。(i)シリコン含有前駆体の供給/吸着、(ii)チャンバからのシリコン前駆体のパージ、(iii)弱酸化剤ガスの供給、および、(iv)チャンバからの弱酸化剤ガスのパージ。一例として、窒化シリコン蒸着サイクルは、以下の動作を含んでよい。(i)シリコン含有前駆体の供給/吸着、(ii)チャンバからのシリコン前駆体のパージ、(iii)ヒドラジンガスの供給、および、(iv)チャンバからヒドラジンガスのパージ。
化学蒸着(CVD)技術とは異なり、ALD処理は、表面介在蒸着反応を用いて、層ごとに膜を蒸着させる。ALD処理の一例では、一群の表面活性部位を含む基板表面が、基板を収容するチャンバに提供される1供給内の第1前駆体(シリコン含有前駆体など)の気相分布に暴露される。この第1前駆体の分子は、第1前駆体の化学吸着種および/または物理吸着分子を含め、基板表面上に吸着される。本明細書に記載のように化合物が基板表面上に吸着された時、吸着された層は、化合物と化合物の誘導体とを含みうることを理解されたい。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体とシリコン含有前駆体の誘導体とを含みうる。第1前駆体の投入後、チャンバは、主に吸着種が残るかまたは吸着種のみが残るように、気相のままの第1前駆体のほとんどまたはすべてを除去するために排気される。いくつかの実施例において、チャンバは、完全には排気されなくてもよい。例えば、チャンバは、気相の第1前駆体の分圧が反応を和らげるのに十分低くなるように排気されてよい。第2反応物質(ヒドラジンまたは弱酸化剤など)が、これらの分子の一部が表面上に吸着された第1前駆体と反応するように、チャンバに導入される。いくつかの処理において、第2反応物質は、吸着された第1前駆体と速やかに反応する。次いで、チャンバは、未結合の第2反応物質分子を除去するために再び排気されてよい。上述のように、いくつかの実施形態において、チャンバは、完全には排気されなくてもよい。さらなるALDサイクルが、膜厚を厚くするために用いられてもよい。
特定の実施形態において、ALD第1前駆体ドーズが、基板表面を部分的に飽和させる。いくつかの実施形態において、ALDサイクルのドーズ段階は、表面を均一に飽和させるために、前駆体が基板と接触する前に終了する。通例、前駆体の流れは、この時点で、オフにされるかまたは迂回され、パージガスだけが流れる。この準飽和レジームで動作することにより、ALD処理は、サイクルタイムを短くし、スループットを高める。しかしながら、前駆体吸着は飽和制限されないので、吸着前駆体濃度は、基板表面にわたってわずかに異なりうる。準飽和レジームで動作するALD処理の例は、2013年10月23日出願の米国特許出願第14/061,587号(現在の米国特許第9,355,839号)「SUB SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」に提供されており、この出願は、その全体が参照によって本明細書に組み込まれる。
いくつかの実施例において、ALD方法は、プラズマ活性化を含んでよい。ただし、本明細書に記載の熱ALD処理においては、プラズマは点火されない。本明細書に記載されるように、本明細書に記載のALD方法および装置は、共形膜蒸着(CFD)方法であってよく、それらの方法は、2011年4月11日出願の米国特許出願第13/084,399号(現在の米国特許第8,728,956号)「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」、および、2011年4月11日出願の米国特許出願第13/084,305号「SILICON NITRIDE FILMS AND METHODS」で一般的に記載されており、これらの出願は、全体が参照によって本明細書に組み込まれる。
図1は、特定の開示された実施形態に従って実行される方法の動作例を示す処理フローチャートである。動作102では、露出第1表面および露出第2表面を有する基板が、処理チャンバに提供される。処理チャンバは、約10mTorr(13.3Pa)〜約10Torr(1.33kPa)の間または約1Torr(0.133kPa)〜約3Torr(0.399kPa)の間のチャンバ圧に設定されてよい。かかるチャンバ圧は、本明細書に記載の動作102〜116を通して用いられてよい。基板は、約25℃〜約400℃の間または約200℃〜約300℃の間の基板温度に加熱されてよい。本明細書で用いられる基板温度は、基板を保持するペデスタルの設定温度であること、そして、いくつかの実施形態においては、基板が処理チャンバのペデスタル上に提供された時に、基板処理に先だって所望の基板温度に加熱されうることが理解される。基板温度は、本明細書に記載の動作102〜116を通して同一であってよい。
基板は、シリコンウエハ、例えば、200mmウエハ、300mmウエハ、または、450mmウエハであってよく、誘電材料、導電材料、または、半導体材料などの1または複数の材料層を上に蒸着されたウエハを含みうる。下層の非限定的な例は、誘電層および導電層を含み、例えば、酸化シリコン、窒化シリコン、炭化シリコン、金属酸化物、金属窒化物、金属炭化物、および、金属層などである。いくつかの実施形態において、基板は、酸化シリコンおよびシリコンを備える。
本明細書で用いられる露出第1表面は、その上に材料が選択的に蒸着される表面である。この表面は、シリコン材料(ポリシリコンまたは非晶質シリコンなど)の表面など、水素末端シリコン表面であってよい。水素末端シリコン表面は、基板を水素ガスに暴露させて基板の表面上のシリコン原子を水素化することによって形成されてよい。別の実施形態において、第1表面は、窒化シリコン材料の表面など、第1級アミン末端シリコン表面であってもよい。表面は、第1級アミン末端表面を有してよいが、窒化シリコン材料の第2級アミン末端表面は、塩化アシルと反応性があるので、材料を蒸着するのに適切な露出第1表面ではないことに注意されたい。別の実施形態において、露出第1表面は、金属表面(チタン表面、ニッケル表面、または、銅表面など)である。露出第1表面は、塩化アシルとの反応性が高い材料または末端基を含まない。
本明細書で用いられる露出第2表面は、その上に材料が蒸着されない表面である。すなわち、材料は、露出第2表面よりも露出第1表面に蒸着される。本明細書に記載の実施形態は、露出第2表面よりも露出第1表面にシリコン含有誘電体材料または金属含有誘電体材料を蒸着するのに適している。露出第2表面は、酸化シリコンまたは窒化シリコンであってよい。
図2および図5は、図1の動作102中に処理チャンバに提供されうる基板の例を示す。
図2は、一部のシリコン原子が水素化されているが他のシリコン原子は水素化されていない露出シリコン表面202を有する基板200を示す。基板200は、いくつかの酸素結合基の中にいくつかのヒドロキシル末端基を有する露出酸化シリコン203も備える。図2のシリコン表面202は、図1の動作102に関して記載した半導体基板の露出第1表面の一例である。ヒドロキシル末端基を有する酸化シリコン203は、図1の動作102に関して記載した半導体基板の露出第2表面の一例である。いくつかの実施形態において、酸化シリコン表面203のみがヒドロキシル末端基を含むか、または、酸化シリコン表面203がほとんどのヒドロキシル末端基を含みうる。
図5は、一部のシリコン原子が水素化されているが他のシリコン原子は水素化されていない露出シリコン表面502を有する基板500を示す。基板500は、さらに、第2級アミン二量体末端基(Si−NH)を備えた露出窒化シリコン305を備える。図5のシリコン表面502は、図1の動作102に関して記載した半導体基板の露出第1表面の例である。第2級アミン二量体末端基を有する窒化シリコン305は、図1の動作102に関して記載した半導体基板の露出第2表面の例である。窒化シリコン305は、表面上にSi−NH二量体を備えており、それにより、窒素原子が、隣接する窒素原子に結合されている。かかる表面は、窒化シリコン材料が低温(500℃未満など)で化学蒸着を用いて蒸着された場合に形成されうる。しかしながら、かかる表面は、塩化アシルとの反応しやすくない可能性があり、選択的蒸着を可能にするために効果的に蒸着を妨げられることがない。
したがって、動作104において、基板は、任意選択的に、窒化シリコン表面の表面上の第2級アミン二量体基を第1級アミン基に変換するために前処理される。この動作は、窒化シリコン表面がSi−NH二量体を含む場合には任意選択的ではない。Si−NH二量体を有する窒化シリコン表面は、窒素含有および水素含有ガスおよび/またはプラズマに基板を暴露させることによって前処理されてよい。例えば、いくつかの実施形態において、基板は、アンモニアガスおよび水素ガスの混合物に暴露され、ここで、混合物中のアンモニアガスの体積は約1%未満である。いくつかの実施形態において、基板は、窒素ガスおよび水素ガスの混合物に暴露され、ここで、混合物中の窒素ガスの体積は約1%未満である。いくつかの実施形態において、前処理は、窒素含有および水素含有ガスを流してプラズマを点火することによって実行される。
図6に示すように、基板が前処理される場合、窒化シリコン表面305上のSi−NH二量体は、塩化アシルと反応しやすい単一NHx基を含む第1級アミン基(窒化シリコン表面315上に示されている)に変換される。本明細書で言及される第1級アミン基は、窒素がシリコンおよび水素に結合しており、その窒素原子が別の窒素原子とは結合していない原子団として定義される。窒化シリコン基板の表面上の第1級アミン基は、構造Si−NHを有しうる。かかる基は、塩化アシルと反応しやすい。これは、窒化シリコン表面315が塩化アシルと反応することを可能にし、それにより、後続の動作において、酸化シリコン、窒化シリコン、または、金属含有誘電体材料の蒸着から窒化シリコン表面をブロックすることで、露出第1表面上の選択的蒸着を可能にする。
図1に戻ると、動作106において、基板は、基板の露出第2表面をブロックするために塩化アシルに暴露される。暴露は、基板の露出第2表面上にケトン末端またはアルデヒド末端表面を形成することにより、後続の膜蒸着から露出第2表面をブロックする。
塩化アシルは、以下の化学構造を有してよい。
Figure 2020510314
ここで、R1は、水素基またはアルキル基である。いくつかの実施形態では、塩化アシルが以下の化学構造を有する塩化アセチルになるように、R1はメチル基である。
Figure 2020510314
塩化アシルは、半導体基板上のヒドロキシル末端シリコン表面と反応性があり、露出第1表面(いくつかの実施形態において、図4に示したような水酸末端シリコン表面であってよい)とはほとんどないし全く反応しない。図4に示すように、一般的なアルキル基Rを有する塩化アシルが、図3のヒドロキシル末端表面213と反応して、ここに示すようにケトン基であるブロック基223を形成し、一方、隣接する水素末端シリコン表面202は未反応のままである。
ブロックされる表面が窒化シリコン表面である図5〜図7に提供された別の実施形態では、シリコン表面502を水素化して、基板をアンモニアおよび/または窒素プラズマへ暴露させた後に、基板500は、図6に示すように、第1級アミン末端(−NH)シリコン表面315および水素末端シリコン表面502を含む。図7において、図6の基板500は、塩化アシルが第1級アミン末端窒化シリコン表面315と反応してブロック基325を形成するように、塩化アシルに暴露され、一方、隣接する水素末端シリコン表面502は未反応のままである。ブロック基325の下にある材料は窒化シリコンであるが、図7における表面上の構造は、下にある材料が酸化シリコンである図4の構造と同様である。
塩化アシルは、塩化アシルが、ブロックされる露出第1表面と反応し、材料が蒸着される表面(露出第2表面)とは全く反応性がないかまたは実質的に小さい反応性を有するように選択される。例えば、水素末端シリコン表面と塩化アシルを反応させるための活性化エネルギは、それぞれ第1級アミン末端またはヒドロキシル末端の窒化シリコンまたは酸化シリコン表面と塩化アシルを反応させるために用いられる活性化エネルギよりも実質的に低くてよい。したがって、図1の動作106は、蒸着が望まれない表面を選択的にブロックしつつ、後続の蒸着に向けてその他の表面を露出したままにする。動作106は、基板上に酸化シリコンまたは窒化シリコンを選択的に蒸着させる前に実行される。
様々な実施形態において、搬送ガスが、動作106中に流されてよい。搬送ガスは、不活性ガス(アルゴン、水素、ヘリウム、ネオン、および、それらの組みあわせなど)であってよい。搬送ガスは、搬送ガスがアンモニアおよび/または窒素ガスを処理チャンバに供給するために用いられるように転用されてよい。いくつかの実施形態において、搬送ガスは、処理チャンバの圧力および/または温度の制御を助けるために提供されてもよい。いくつかの実施形態において、搬送ガスは、処理チャンバへのより迅速なガスの供給を保証するために用いられてもよい。様々な実施形態において、動作106は、基板上にシリコン含有誘電体材料または金属含有誘電体材料を蒸着する前に一度実行される。
動作108で、基板は、露出第1表面上に吸着させるためにシリコン含有前駆体に暴露される。動作108、110、111、および、114は、原子層堆積サイクルを構成しうる。同様に、動作108、110、112、および、114も、原子層堆積サイクルを構成しうる。本明細書で提供される例は、露出第1表面上へのシリコン含有膜(酸化シリコンまたは窒化シリコンなど)の蒸着を含むが、ブロックされる第2表面が蒸着条件または前駆体によって劣化または損傷されないような蒸着条件および前駆体である限りは、その他の材料(金属含有誘電体材料など)が露出第1表面上に蒸着されてもよいことがわかる。
動作108中に用いられるシリコン含有前駆体は、いくつかの実施形態においては、アミノシランであってよい。本明細書で言及するアミノシランは、ビス(tert−ブチル)アミノシランなどのアミノシランおよびトリシリルアミンなどのシリルアミンを含む。いくつかの実施形態において、アミノシラン分子は、シリコン表面と窒化シリコンまたは酸化シリコン表面との両方に吸着してよいが、動作110に関して後述するように、酸化シリコンが露出第1表面(シリコン表面など)上に選択的に形成され、露出第2表面(窒化シリコン表面など)上には形成されない。様々な実施形態において、動作108および112に関して後述する後続のパージ動作が、吸着したアミノシランを露出第2表面から除去しうる。
いくつかの実施形態において、基板の表面への吸着は、基板の表面の大部分ないし全体にアミノシランの薄層を形成しうる。薄層は、単分子層に満たない場合があり、約0.2Å〜約0.4Åの間の厚さを有しうる。
動作108中に、不活性ガスが流されてよい。不活性ガスは、動作106に関して上述したものなど、任意の不活性ガスであってよい。不活性ガスは、処理チャンバの圧力および/または温度の制御、液体反応物質の蒸発、反応物質のより迅速な供給を助けるため提供されてよい。
動作108で用いられるアミノシランは、以下の化学式を有する。
Figure 2020510314
ここで、xは、1以上3以下の整数であり、x+y=4であり、RおよびRの各々は、水素またはアルキルリガンドである。例えば、いくつかの実施形態において、アミノシランは、モノアミノシランであり、それは、以下の化学構造を有する。
Figure 2020510314
ここで、RおよびRの各々は、水素またはアルキルリガンドである。
いくつかの実施形態におけるアミノシランは、モノアミノシラン、ジアミノシラン、トリアミノシラン、テトラアミノシラン、および、それらの組み合わせ、の内のいずれかであってよい。これらの例の化学構造を以下に提供する。
Figure 2020510314
上述のように、RおよびRは、任意のアルキルリガンドであってよい。一例において、アミノシランは、N,N’−ジメチルシランジアミンであってよく、以下の構造を有する。
Figure 2020510314
その他のシリコン含有前駆体は、シリコンアルコキシドおよびシリコンハライドを含み、いくつかの実施形態において用いられてよい。シリコンハライドの例は、塩化シリコン、ヨウ化シリコン、および、臭化シリコンを含むが、これらに限定されない。
動作110において、処理チャンバは、基板表面上に吸着しなかったアミノシランを除去するために、任意選択的にパージされる。チャンバのパージは、他の動作で用いられる搬送ガスであってもよいし異なるガスであってもよいパージガスまたはスイープガスを流すことを含みうる。いくつかの実施形態において、パージは、チャンバを排気することを含みうる。パージガスは、いくつかの実施形態において、不活性ガスであってよい。パージガスは、処理チャンバおよび/または処理チャンバの配管から処理ガスを除去するためのスイープガスとして機能しうる。パージガスの例は、アルゴン、窒素、水素、ヘリウム、ネオン、および、それらの組み合わせを含む。いくつかの実施形態において、動作110は、処理チャンバの排気のための1または複数の排気サブ段階を含んでよい。あるいは、動作110は、いくつかの実施形態において省略されてもよいことがわかる。動作110は、約0秒〜約60秒の間(例えば、約0.01秒)など、任意の適切な持続時間を有してよい。いくつかの実施形態において、1または複数のパージガスの流量を増やして、動作110の持続時間を短くしてもよい。例えば、パージガス流量は、動作110の持続時間を変えるために、様々な反応物質の熱力学的特性ならびに/もしくは処理チャンバおよび/または処理チャンバ配管の形態的特性に従って調整されてよい。非限定的な一例において、パージ段階の持続時間は、パージガス流量を変えることによって調整されてよい。これは、蒸着サイクル時間を短縮し、基板スループットを改善しうる。パージ後、アミノシラン分子は、基板表面上に吸着されたままである。いくつかの実施形態において、アミノシラン前駆体は、約1000sccm〜約5000sccmの間の流量で、基板を収容するチャンバに流される。
図1において、酸化シリコンが基板上に選択的に蒸着される場合、動作111中に、基板は、露出第1表面上に酸化シリコンを選択的に形成するために、プラズマなしで弱酸化剤に暴露される。弱酸化剤は、基板のブロックされた第2表面と反応しないように選択される。プラズマは、ブロックされた第2表面の表面上のブロック基(例えば、ケトン末端基)を除去しないように、この動作中には利用されない。弱酸化剤が基板上に提供されると、吸着した前駆体は、弱酸化剤と反応して、露出第1表面の表面上に酸化シリコンを形成する。逆に、ブロックされた第2表面は、表面上にバルクアルキル基を含むので、動作106におけるシリコン含有前駆体は、ケトン末端表面上に必ずしも吸着しえないため、酸化シリコンは、露出第2表面上には形成されず、選択的蒸着が達成される。弱酸化剤の例は、水、過酸化水素、および、オゾンを含む。様々な実施形態において、高度還元型の酸化剤が、この動作のための弱酸化剤として用いられる。
図8は、図4からの基板の一例を示しており、酸化シリコン223が、ブロックされた第2表面213上に蒸着することなしにシリコン表面202上に選択的に蒸着されている。
図1に戻ると、窒化シリコンが基板上に選択的に蒸着される場合、動作112が、動作111の代わりに実行されてよい。動作112中、基板は、露出第1表面上に窒化シリコンを選択的に形成するために、プラズマなしでアンモニアまたはヒドラジンに暴露される。動作112中に用いられるヒドラジンは、以下の構造を有する。
Figure 2020510314
ここで、R、R、R、および、Rは各々、水素またはアルキル基であってよい。例えば、ヒドラジンが用いられてよく、ヒドラジンは以下の構造を有する。
Figure 2020510314
別の例において、t−ブチルヒドラジンが用いられてもよく、以下の構造を有する。
Figure 2020510314
別の例において、テトラメチルヒドラジンが用いられてもよく、以下の構造を有する。
Figure 2020510314
アンモニアまたはヒドラジンは、アンモニアまたはヒドラジンが、基板のブロックされた第2表面と反応しないように選択される。プラズマは、ブロックされた第2表面上のケトン末端表面を除去しないように、この動作中には利用されない。アンモニアまたはヒドラジンが基板上に提供されると、吸着した前駆体は、アンモニアまたはヒドラジンと反応して、露出第1表面の表面上に窒化シリコンを形成する。逆に、ブロックされた第2表面は、表面上にバルクアルキル基を含むので、動作108におけるシリコン含有前駆体は、ケトン末端表面上に必ずしも吸着しえないため、窒化シリコンは、露出第2表面上には形成されず、選択的蒸着が達成される。様々な実施形態において、高度還元型の窒素含有反応物質が、この動作中に用いられる。
図9は、図4からの基板の一例を示しており、窒化シリコン206が、ブロックされた第2表面213上に蒸着させることなしにシリコン表面202上に選択的に蒸着されている。
動作114で、チャンバは、あらゆる残留副生成物を除去するために任意選択的にパージされる。動作114では、動作110に関して上述した条件のいずれかを用いてパージされてよい。
動作116で、所望の厚さの膜が蒸着されたか否かが判定される。所望の厚さでなければ、動作108、110、111、および、114が、所望の厚さの酸化シリコン膜を第1露出表面上に蒸着するのに十分なサイクルだけ繰り返される。あるいは、動作108、110、112、および、114が、所望の厚さの酸化シリコン膜を第1露出表面上に蒸着するのに十分なサイクルだけ繰り返される。任意の適切な回数の蒸着サイクルが、所望の膜厚の酸化シリコンまたは窒化シリコンを蒸着するために、ALD処理に含まれてよい。例えば、開示した実施形態を用いて基板上に膜を蒸着するために、約50回の蒸着サイクルが実行されてよい。
本明細書で提供した図1および説明は、弱酸化剤またはアンモニア/ヒドラジンへの暴露の前に行われるシリコン含有前駆体への暴露について記載しているが、いくつかの実施形態において、弱酸化剤またはアンモニア/ヒドラジンは、シリコン含有前駆体への暴露の前に実行されてもよいことに注意されたい。すなわち、いくつかの実施形態において、動作106の後に、動作111または112が実行されてよく、その後、パージ動作(動作114など)が続き、次いで、動作108が実行されてよく、その後、パージ動作110が続く。いくつかの実施形態において、動作106に続いて、動作108が実行され、その後、動作110、動作111または112、ならびに、114が続く。
図10は、特定の開示された実施形態に従って実行される方法内のサイクルの一例を示すタイミングシーケンス図である。タイミングシーケンス図は、搬送ガス、塩化シリコンガス、第2反応ガス(弱酸化剤、アンモニア、または、ヒドラジンなど)、および、塩化アシルガスの流れについてのオン段階またはオフ段階を示す。塩化シリコンが、図3の例におけるシリコン前駆体として提供されているが、任意の適切なシリコン含有前駆体が、塩化シリコンの代わりに用いられてもよいことがわかる。
図10は、2つの蒸着サイクル1099Aおよび1099Bを有する処理1000を含んでおり、蒸着サイクル1099Aは、ALDサイクルを構成し、蒸着サイクル1099Bも、ALDサイクルを構成する。2つの蒸着サイクルのみが図示されているが、より多くの蒸着サイクルが利用されてもよい。処理1000は、図1の動作106に対応しうる塩化アシル暴露段階1006Aで始まる。塩化アシル暴露段階1006A中、搬送ガス流がオンにされる。搬送ガスは、図1の動作106〜114に関して上述したものの内のいずれであってもよい。塩化アシル暴露段階1006A中、塩化シリコン流および第2反応物質流がオフにされ、同時に、塩化アシル流がオンにされる。塩化アシル暴露段階1006Aに続いて、塩化シリコン暴露段階1008Aと、パージ段階1010Aと、第2反応物質暴露段階1011Aと、パージ相1014Aとを含む蒸着サイクル1099Aが実行される。窒化シリコン暴露段階1008A中、搬送ガスは流れ続けてよく、同時に、塩化シリコン流はオンにされる。第2反応物質流はオフのままであり、塩化アシル流はオフにされる。これは、図1の動作108に対応しうる。図1の動作110に対応しうるパージ段階1010A中、搬送ガス流は流れ続けて、パージガスとして用いられてよく、同時に、塩化シリコン流、第2反応物質流、および、塩化アシル流はすべてオフである。第2反応物質暴露段階1011A中、搬送ガス流は流れ続けてよく、同時に、第2反応物質流はオンにされ、塩化シリコンおよび塩化アシル流はオフのままである。これは、蒸着される材料に応じて、酸化シリコン蒸着のための図1の動作111または窒化シリコン蒸着のための図1の動作112に対応しうる。パージ段階1014Aにおいて、搬送ガス流はオンのままであり、同時に、塩化シリコン流、第2反応物質流、および、塩化アシル流はオフにされる。これは、図1の動作114に対応しうる。この段階に続いて、蒸着サイクル1099Bが繰り返されるように、膜を蒸着するための動作を繰り返すよう決定される。したがって、蒸着サイクル1099Aに続いて、塩化シリコン暴露段階1008Bと、パージ段階1010Bと、第2反応物質暴露段階1011Bと、パージ段階1014Bとを含む蒸着サイクル1099Bが実行される。蒸着サイクル1099Aと同様に、塩化シリコン暴露段階1008B中、搬送ガスおよび塩化シリコン流はオンにされ、同時に、第2反応ガスおよび塩化アシル流はオフにされる。これは、図1の動作108の反復動作に対応しうる。パージ段階1010Bにおいて、搬送ガスは流され、同時に、塩化シリコン流、第2反応物質流、および、塩化アシル流はオフにされる。第2反応物質暴露段階1011B中、搬送ガス流および第2反応物質ガス流はオンにされ、同時に、塩化シリコンおよび塩化アシル流はオフにされる。パージ段階1014Bは、搬送ガス流がオンにされ、同時に、塩化シリコン、第2反応物質、および、塩化アシルガス流がすべてオフにされることを含んでよい。後続の蒸着サイクルが続いてよい。
装置
図11は、低圧環境を維持するための処理チャンバ本体1102を有する原子層堆積(ALD)処理ステーションの一実施形態1100を示す概略図である。複数のALD処理ステーション1100が、共通の低圧処理ツール環境内に備えられてよい。例えば、図12は、マルチステーション処理ツールの一実施形態1200を示す。いくつかの実施形態において、ALD処理ステーション1100の1または複数のハードウェアパラメータ(以下で詳述するパラメータなど)が、1または複数のコンピュータコントローラ1150によってプログラム的に調整されてよい。
ALD処理ステーション1100は、分配シャワーヘッド1106に処理ガスを供給するための反応物質供給システム1101aと流体連通している。反応物質供給システム1101aは、シャワーヘッド1106への供給に向けて処理ガス(塩化アシル、シリコン含有前駆体ガス、弱酸化剤、アンモニアガス、または、ヒドラジンガスなど)を混合および/または調整するための混合容器1104を備える。1または複数の混合容器入口バルブ1120が、混合容器1104への処理ガスの導入を制御しうる。
例えば、図11の実施形態は、混合容器1104に供給される液体反応物質を気化させるための気化ポイント1103を備える。いくつかの実施形態において、気化ポイント1103は、加熱された気化器であってよい。かかる気化器から生成された飽和反応物質蒸気は、下流の供給配管内で凝結しうる。凝結した反応物質に相性の悪いガスを暴露させると、小粒子が発生しうる。これらの小粒子は、配管を詰まらせる、バルブ動作を妨げる、基板を汚染するなどの可能性がある。これらの課題に対処するためのいくつかのアプローチは、残留した反応物質を除去するために、供給配管をパージおよび/または排気することを含む。しかしながら、供給配管をパージすることは、処理ステーションのサイクル時間を長くして、処理ステーションのスループットを低下させうる。したがって、いくつかの実施形態において、気化ポイント1103の下流の供給配管が、ヒートトレースされてもよい。いくつかの例では、混合容器1104がヒートトレースされてもよい。非限定的な一例において、気化ポイント1103の下流の配管は、約100℃から混合容器1104で約150℃まで増加してゆく温度プロファイルを有する。
いくつかの実施形態において、液体前駆体または液体反応物質が、液体インジェクタで気化されてもよい。例えば、液体インジェクタは、混合容器の上流の搬送ガス流に液体反応物質のパルスを注入しうる。一実施形態において、液体インジェクタは、高圧から低圧へ液体を流す(flash)ことによって反応物質を気化させてよい。別の例において、液体インジェクタは、分散した微液滴に液体を霧化してよく、その後、微液滴は、加熱された供給菅内で気化される。小さい液滴は、大きい液滴よりも速く気化して、液体注入と完全な気化との間の遅延を低減しうる。より迅速に気化すれば、気化ポイント1103から下流の配管の長さを短くすることができる。1つのシナリオにおいて、液体インジェクタは、混合容器1104に直接取り付けられてよい。別のシナリオにおいて、液体インジェクタは、シャワーヘッド1106に直接取り付けられてもよい。
いくつかの実施形態において、気化ポイント1103の上流に、液体流コントローラ(LFC)が、気化および処理ステーション1100への供給に向けて液体の質量流量を制御するために提供されてよい。例えば、LFCは、LFCの下流に配置された熱マスフローメータ(MFM)を含みうる。次いで、LFCのプランジャバルブが、MFMと電気通信して比例積分微分(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。しかしながら、フィードバック制御を用いて液体流を安定化するには、1秒以上かかりうる。これは、液体反応物質を供給する時間を延長しうる。したがって、いくつかの実施形態において、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態において、これは、LFCの検知菅およびPIDコントローラを無効化することによって実行されてよい。
シャワーヘッド1106は、処理ガスを基板1112に分配する。図11に示した実施形態において、基板1112は、シャワーヘッド1106の下方に配置され、ペデスタル1108上に図示されている。シャワーヘッド1106は、任意の適切な形状を有してよく、基板1112へ処理ガスを分配するための任意の適切な数および配列のポートを有してよい。
いくつかの実施形態において、ペデスタル1108は、基板1112を基板1112とシャワーヘッド1106との間の空間に露出させるために、上下されてよい。いくつかの実施形態において、ペデスタルの高さは、適切なコンピュータコントローラ1150によってプログラム的に調節されてよいことがわかる。
別のシナリオにおいて、ペデスタル1108の高さの調節は、プラズマが点火される実施形態において、処理の際のプラズマ活性化サイクル中にプラズマ密度を変化させることを可能にしうる。処理段階の最後に、ペデスタル1108は、ペデスタル1108から基板1112を回収できるように、別の基板搬送段階中に下げられてよい。
いくつかの実施形態において、ペデスタル1108は、ヒータ1110を用いて温度制御されてよい。いくつかの実施形態において、ペデスタル1108は、開示されている実施形態で記載したように、窒化シリコン膜の選択的蒸着中に、約25℃〜約400℃の間または約200℃〜約300℃の間の温度に加熱されてよい。いくつかの実施形態において、ペデスタルは、約25℃〜約400℃の間または約200℃〜約300℃の間の温度に設定される。
さらに、いくつかの実施形態において、処理ステーション1100の圧力制御が、バタフライバルブ1118によって提供されてもよい。図11の実施形態に示すように、バタフライバルブ1118は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理ステーション1100の圧力制御は、処理ステーション1100に導入される1または複数のガスの流量を変化させることによって調節されてもよい。
いくつかの実施形態において、シャワーヘッド1106の位置は、基板1112とシャワーヘッド1106との間の空間を変化させるために、ペデスタル1108に対して調節されてよい。さらに、ペデスタル1108および/またはシャワーヘッド1106の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変更されてよいことがわかる。いくつかの実施形態において、ペデスタル1108は、基板1112の向きを回転させるための回転軸を備えてよい。いくつかの実施形態において、これらの調節の例の内の1または複数は、1または複数の適切なコンピュータコントローラ1150によってプログラム的に実行されてよいことがわかる。
窒化シリコン表面の処理のためなど、上述のようにプラズマが利用されうるいくつかの実施形態において、シャワーヘッド1106およびペデスタル1108は、プラズマに電力供給するために、高周波(RF)電源1114および整合回路網1116と電気的に通信する。いくつかの実施形態において、プラズマエネルギは、処理ステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングの内の1または複数を制御することによって制御されてよい。例えば、RF電源1114および整合回路網1116は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。適切な電力の例は、約150W〜6000Wである。RF電源1114は、任意の適切な周波数のRF電力を供給してよい。いくつかの実施形態において、RF電源1114は、高周波RF電源および低周波RF電源を互いに独立して制御するよう構成されてよい。低周波RF周波数の例は、0kHz〜500kHzの間の周波数を含みうるが、これに限定されない。高周波RF周波数の例は、以下を含むがこれらに限定されない。1.8MHz〜2.45GHzの間の周波数、約13.56MHzより大きい周波数、27MHzより大きい周波数、40MHzより大きい周波数、または、60MHZより大きい周波数。任意の適切なパラメータが、表面反応にプラズマエネルギを提供するために離散的または連続的に調整されてよいことがわかる。
いくつかの実施形態において、プラズマは、1または複数のプラズマモニタによってその場で監視されてよい。1つのシナリオでは、プラズマ電力が、1または複数の電圧、電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/または処理ガス濃度が、1または複数の発光分光法センサ(OES)によって測定されてもよい。いくつかの実施形態において、1または複数のプラズマパラメータが、かかるその場プラズマモニタからの測定値に基づいてプログラム的に調整されてよい。例えば、OESセンサが、プラズマ電力のプログラム制御を提供するためにフィードバックループで用いられてよい。いくつかの実施形態において、他のモニタが、プラズマおよびその他の処理特性を監視するために用いられてもよいことがわかる。かかるモニタは、赤外線(IR)モニタ、音声モニタ、および、圧力変換器を含みうるが、これらに限定されない。
いくつかの実施形態において、コントローラ1150のための命令が、入力/出力制御(IOC)シーケンシング命令を介して提供されてよい。一例において、処理段階の条件を設定するための命令は、処理レシピの対応するレシピ段階に含まれてよい。一部の例では、処理レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。いくつかの実施形態において、1または複数のリアクタパラメータを設定するための命令が、レシピ段階に含まれてよい。例えば、第1レシピ段階は、塩化アシルガスの流量を設定するための命令と、搬送ガス(アルゴンなど)の流量を設定するための命令と、第1レシピ段階のための時間遅延命令とを含んでよい。第2レシピ段階は、不活性ガスおよび/またはシリコン含有前駆体ガスの流量を設定するための命令と、搬送ガス(アルゴンなど)の流量を設定するための命令と、第2レシピ段階のための時間遅延命令とを含んでよい。後続の第3レシピ段階は、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、搬送ガスまたはパージガスの流量を調節するための命令と、第3レシピ段階のための時間遅延命令とを含んでよい。第4レシピ段階は、弱酸化剤、アンモニア、または、ヒドラジンガスの流量を調節するための命令と、搬送ガスまたはパージガスの流量を調節するための命令と、第4レシピ段階のための時間遅延命令とを含んでよい。後続の第5レシピ段階は、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、搬送ガスまたはパージガスの流量を調節するための命令と、第5レシピ段階のための時間遅延命令とを含んでよい。これらのレシピ段階は、開示されている実施形態の範囲内で、任意の適切な方法でさらに分割および/または反復されてもよいことがわかる。いくつかの実施形態において、コントローラ1150は、図12のシステムコントローラ1250に関して後述する特徴の内のいずれかを備えてよい。
上述のように、1または複数の処理ステーションが、マルチステーション処理ツールに含まれてよい。図12は、入口ロードロック1202および出口ロードロック1204を備えたマルチステーション処理ツール1200の一実施形態を示す概略図であり、ロードロックの一方または両方は、遠隔プラズマ源を備えてよい。大気圧下にあるロボット1206が、ポッド1208を通してロードされたカセットから大気ポート1210を介して入口ロードロック1202内にウエハを移動させるよう構成されている。ウエハがロボット1206によって入口ロードロック1202内のペデスタル1212上に載置され、大気ポート1210が閉じられ、ロードロックがポンプ排気される。入口ロードロック1202が遠隔プラズマ源を備える場合、ウエハは、処理チャンバ1214に導入される前に、HO中の任意選択的な弱いエッチャントHFに暴露されてもよいし、ロードロック内の大気に暴露されてもよい。さらに、ウエハは、例えば、湿気および吸着ガスを除去するために、入口ロードロック1202内で加熱されてもよい。次に、処理チャンバ1214へのチャンバ移動ポート1216が開かれ、別のロボット(図示せず)が、処理に向けて、リアクタにウエハを入れて、リアクタ内に示された第1のステーションのペデスタル上に配置する。図12に示した実施形態は、ロードロックを備えているが、いくつかの実施形態において、処理ステーションにウエハを直接入れてもよいことがわかる。
図の処理チャンバ1214は、図12に示した実施形態において、1から4までの番号を付した4つの処理ステーションを備える。各ステーションは、加熱されたペデスタル(ステーション1用は1218と示されている)と、ガスライン流入口と、を有する。いくつかの実施形態において、各処理ステーションは、異なる目的または複数の目的を有してもよいことがわかる。例えば、いくつかの実施形態において、1つの処理ステーションが、ALD処理モードとプラズマALD処理モードとの間で切り替え可能であってもよい。追加的または代替的に、いくつかの実施例において、処理チャンバ1214は、1または複数のマッチドペアのALD処理ステーションおよびプラズマALD処理ステーションを備えてもよい。図の処理チャンバ1214は4つのステーションを備えるが、本開示に従った処理チャンバは、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバは、5以上のステーションを有してもよく、他の実施形態において、処理チャンバは、3以下のステーションを有してもよい。
図12は、処理チャンバ1214内でウエハを移動するためのウエハハンドリングシステム1290の一実施形態を示す。いくつかの実施形態において、ウエハハンドリングシステム1290は、様々な処理ステーションの間で、および/または、処理ステーションとロードロックとの間で、ウエハを移動させうる。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例は、ウエハカルーセルおよびウエハハンドラロボットを含む。図12は、さらに、処理ツール1200の処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ1250の一実施形態を示す。システムコントローラ1250は、1または複数のメモリデバイス1256と、1または複数のマスストレージデバイス1254と、1または複数のプロセッサ1252と、を備えてよい。プロセッサ1252は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ1250は、処理ツール1200の動作すべてを制御する。システムコントローラ1250は、マスストレージデバイス1254に格納され、メモリデバイス1256にロードされて、プロセッサ1252で実行されるシステム制御ソフトウェア1258を実行する。あるいは、制御ロジックがコントローラ1250にハードコードされてもよい。これらの目的で、特定用途向け集積回路、プログラム可能論理デバイス(例えば、フィールドプログラマブルゲートアレイすなわちFPGA)などが用いられてもよい。以下では、「ソフトウェア」または「コード」が利用される場合、機能的に同等のハードコードされたロジックが代わりに利用されうる。システム制御ソフトウェア1258は、タイミング、ガスの混合、ガス流量、チャンバおよび/またはステーションの圧力、チャンバおよび/またはステーションの温度、ウエハ温度、目標電力レベル、RF電力レベル、基板ペデスタル、チャック、および/または、サセプタの位置、ならびに、処理ツール500によって実行される特定の処理の他のパラメータ、を制御するための命令を備えてよい。システム制御ソフトウェア1258は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために用いられる処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェア1258は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。
いくつかの実施形態において、システム制御ソフトウェア1258は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。システムコントローラ1250に関連付けられたマスストレージデバイス1254および/またはメモリデバイス1256に格納された他のコンピュータソフトウェアおよび/またはプログラムが、いくつかの実施形態において用いられてもよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル518上にロードすると共に基板と処理ツール500の他の部品との間の間隔を制御するために用いられる処理ツール構成要素のためのプログラムコードを備えてよい。
処理ガス制御プログラムは、ガス組成(例えば、本明細書に記載のように、塩化アセチルなどの塩化アシルガス、塩化シリコンまたはアミノシランなどのシリコン含有前駆体ガス、ならびに、弱酸化剤、アンモニア、または、ヒドラジンガス、搬送ガスおよび/またはパージガス)および流量を制御するため、ならびに、任意選択的に、処理ステーション内の圧力を安定させるために蒸着の前に1または複数の処理ステーション内にガスを流すためのコードを備えてよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するためのコードを備えてよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、基板への熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーション内の処理電極に印加されるRF電力レベルを設定するためのコードを備えてよい。
圧力制御プログラムは、本明細書の実施形態に従って、反応チャンバ内の圧力を維持するためのコードを備えてよい。
いくつかの実施形態において、システムコントローラ1250に関連したユーザインターフェースがあってよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラ1250によって調整されるパラメータは、処理条件に関してよい。非限定的な例として、処理ガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラ1250のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号は、処理ツール500のアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システムコントローラ1250は、上述の蒸着処理を実施するためのプログラム命令を提供しうる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度など、様々なプロセスパラメータを制御しうる。命令は、本明細書に記載の様々な実施形態に従って膜スタックのその場蒸着を動作させるためにパラメータを制御しうる。
システムコントローラ1250は、通例、1または複数のメモリデバイスと、装置が開示の実施形態に従って方法を実行するように命令を実行するよう構成された1または複数のプロセッサと、を備える。開示された実施形態に従った処理動作を制御するための命令を含むマシン読み取り可能媒体が、システムコントローラ1250に接続されてよい。
いくつかの実施例において、システムコントローラ1250は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。システムコントローラ1250は、処理条件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、システムコントローラ1250は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でシステムコントローラ1250に伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
システムコントローラ1250は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、システムコントローラ1250は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラ1250は、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにシステムコントローラ1250がインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、システムコントローラ1250は、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、システムコントローラ1250は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本明細書に開示された方法を実行するのに適切な装置については、2011年4月11日出願の米国特許出願第13/084,399号(現在の米国特許第8,728,956号)「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」、および、2011年4月11日出願の米国特許出願第13/084,305号「SILICON NITRIDE FILMS AND METHODS」でさらに議論および説明されており、これらの出願は、全体が本明細書に組み込まれる。
本明細書に記載の装置/処理は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。膜のリソグラフィパターニングは、通例、以下の動作の一部または全部を含み、各動作は、複数の可能なツールで実現される。(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(すなわち、基板)上にフォトレジストを塗布する動作、(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる動作、(3)ウエハステッパなどのツールで可視光またはUVまたはX線にフォトレジストを暴露させる動作、(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する動作、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する動作、ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する動作。
結論
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。本発明の処理、システム、および、装置を実施する多くの他の方法が存在することに注意されたい。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。

Claims (29)

  1. 基板の露出第1表面上にシリコン含有誘電体材料を選択的に蒸着させるための方法であって、
    前記露出第1表面および露出第2表面を有する前記基板を提供し、
    前記露出第1表面は、ポリシリコン、非晶質シリコン、金属、および、単一第2級アミン末端基を有する窒化シリコンからなる群より選択された材料を有し、
    前記露出第2表面は、ヒドロキシルおよび第1級アミンからなる群より選択された表面末端基を有するシリコン含有材料を含み、
    前記露出第2表面上にブロック基を形成するために、前記シリコン含有誘電体材料を蒸着させる前に、前記露出第2表面と選択的に反応する塩化アシルに前記基板を暴露させ、前記塩化アシルは、以下の化学構造を有し、
    Figure 2020510314
    は、水素基またはアルキル基であり、
    前記露出第1表面上へ選択的に前記シリコン含有誘電体材料を蒸着させるために、1または複数サイクルの熱原子層堆積を実行し、各サイクルは、
    前記基板の前記露出第1表面上に吸着するよう選択されたシリコン含有前駆体に前記基板を暴露させ、
    前記基板の前記露出第1表面上へ選択的に前記シリコン含有誘電体材料を形成するために、第2反応物質に前記基板を暴露させることを含むこと、
    を備える、方法。
  2. 請求項1に記載の方法であって、前記シリコン含有誘電体材料は、窒化シリコンである、方法。
  3. 請求項2に記載の方法であって、前記第2反応物質は、アンモニアと、以下の化学構造を有するヒドラジンとからなる群より選択され、
    Figure 2020510314
    、R、R、および、Rは各々、水素基またはアルキル基である、方法。
  4. 請求項2に記載の方法であって、前記シリコン含有前駆体は、シリコンハライドおよびアミノシランからなる群より選択される、方法。
  5. 請求項1に記載の方法であって、前記シリコン含有誘電体材料は、酸化シリコンである、方法。
  6. 請求項5に記載の方法であって、前記第2反応物質は、弱酸化剤である、方法。
  7. 請求項5に記載の方法であって、前記第2反応物質は、水、過酸化水素、および、オゾンからなる群より選択される、方法。
  8. 請求項1に記載の方法であって、前記塩化アシルは、塩化アセチルである、方法。
  9. 請求項1から8のいずれかに記載の方法であって、さらに、前記基板を提供する前に、未処理の窒化シリコン表面を形成するために、窒化シリコンを蒸着させ、第1級アミン基を含む前記露出第2表面を形成するために、前記未処理の窒化シリコン表面をアンモニアおよび水素ガスの混合物に暴露させ、約1秒〜約10秒の期間にわたってプラズマを点火すること、を備える、方法。
  10. 請求項9に記載の方法であって、アンモニアおよび水素の前記混合物中のアンモニアの量は、約1体積%未満である、方法。
  11. 請求項1から8のいずれかに記載の方法であって、さらに、未処理の窒化シリコン表面を形成するために、前記基板を提供する前に、窒化シリコンを蒸着させ、第1級アミン基を含む前記露出第1表面を形成するために、前記未処理の窒化シリコン表面を窒素および水素ガスの混合物に暴露させ、約1秒〜約10秒の期間にわたってプラズマを点火すること、を備える、方法。
  12. 請求項11に記載の方法であって、窒素および水素の前記混合物中の窒素の量は、約1体積%未満である、方法。
  13. 請求項1ないし8のいずれかに記載の方法であって、前記露出第2表面は、約500℃より高い蒸着温度で化学蒸着によって蒸着された窒化シリコンの表面末端第1級アミン基を備える、方法。
  14. 半導体基板を処理するための装置であって、
    基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと、
    真空に接続するための少なくとも1つの流出口と、
    1または複数の処理ガス流入口と、
    前記装置内での動作を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    シリコン含有前駆体ガスまたは第2反応ガスの導入を引き起こす前に、前記少なくとも1つの処理チャンバ内に収容された前記基板への塩化アシルの導入を引き起こすためのマシン読み取り可能な命令と、
    シリコン含有誘電体膜を形成するために、熱原子層堆積の時間的に分離されたパルスで前記シリコン含有前駆体ガスおよび前記第2反応ガスの導入を引き起こすためのマシン読み取り可能な命令と、
    を備え、
    前記シリコン含有前駆体ガスのパルスおよび前記第2反応ガスのパルスが、1つの熱原子層堆積サイクルを構成する、装置。
  15. 請求項14に記載の装置であって、前記コントローラは、さらに、前記塩化アシルの導入を引き起こす前に、窒化シリコンの形成を引き起こすための命令と、前記塩化アシルの導入を引き起こす前に、約1秒〜約10秒の期間にわたるプラズマの生成中にアンモニアおよび水素の混合ガスの導入を引き起こすための命令と、を備える、装置。
  16. 請求項15に記載の装置であって、前記コントローラは、さらに、約1体積%未満の量のアンモニアを用いて、前記アンモニアおよび水素の混合ガスを導入させるための命令を備える、装置。
  17. 請求項14に記載の装置であって、前記コントローラは、さらに、前記塩化アシルの導入を引き起こす前に、窒化シリコンの形成を引き起こすための命令と、前記塩化アシルの導入を引き起こす前に、約1秒〜約10秒の期間にわたるプラズマの生成中に窒素および水素の混合ガスの導入を引き起こすための命令と、を備える、装置。
  18. 請求項17に記載の装置であって、前記コントローラは、さらに、約1体積%未満の量の窒素を用いて、前記窒素および水素の混合ガスを導入させるための命令を備える、装置。
  19. 請求項14に記載の装置であって、前記コントローラは、さらに、前記塩化アシルの導入を引き起こす前に、前記ペデスタルの温度を約500℃より高い温度に設定し、化学蒸着によって窒化シリコンの形成を引き起こすための命令を備える、装置。
  20. 請求項14から19のいずれかに記載の装置であって、前記コントローラは、さらに、前記シリコン含有前駆体ガスおよび前記第2反応ガスの前記時間的に分離されたパルスの合間に、前記少なくとも1つの処理チャンバのパージを引き起こすための命令を備える、装置。
  21. 請求項14から19のいずれかに記載の装置であって、前記コントローラは、さらに、前記基板を収容する前記少なくとも1つの処理チャンバへの不活性ガスの導入を引き起こすための命令を備える、装置。
  22. 半導体基板を処理するための装置であって、
    基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと、
    真空に接続するための少なくとも1つの流出口と、
    1または複数の処理ガス流入口と、
    前記装置内での動作を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    金属含有前駆体ガスまたは第2反応ガスの導入を引き起こす前に、前記少なくとも1つの処理チャンバ内に収容された前記基板への塩化アシルの導入を引き起こすためのマシン読み取り可能な命令と、
    金属含有誘電体膜を形成するために、熱原子層堆積の時間的に分離されたパルスで前記金属含有前駆体ガスおよび前記第2反応ガスの導入を引き起こすためのマシン読み取り可能な命令と、
    を備え、
    前記金属含有前駆体ガスのパルスおよび前記第2反応ガスのパルスが、1つの熱原子層堆積サイクルを構成する、装置。
  23. 請求項22に記載の装置であって、前記コントローラは、さらに、前記塩化アシルの導入を引き起こす前に、窒化シリコンの形成を引き起こすための命令と、前記塩化アシルの導入を引き起こす前に、約1秒〜約10秒の期間にわたるプラズマの生成中にアンモニアおよび水素の混合ガスの導入を引き起こすための命令と、を備える、装置。
  24. 請求項23に記載の装置であって、前記コントローラは、さらに、約1体積%未満の量のアンモニアを用いて、前記アンモニアおよび水素の混合ガスを導入させるための命令を備える、装置。
  25. 請求項22に記載の装置であって、前記コントローラは、さらに、前記塩化アシルの導入を引き起こす前に、窒化シリコンの形成を引き起こすための命令と、前記塩化アシルの導入を引き起こす前に、約1秒〜約10秒の期間にわたるプラズマの生成中に窒素および水素の混合ガスの導入を引き起こすための命令と、を備える、装置。
  26. 請求項25に記載の装置であって、前記コントローラは、さらに、約1体積%未満の量の窒素を用いて、前記窒素および水素の混合ガスを導入させるための命令を備える、装置。
  27. 請求項22に記載の装置であって、前記コントローラは、さらに、前記塩化アシルの導入を引き起こす前に、前記ペデスタルの温度を約500℃より高い温度に設定し、化学蒸着によって窒化シリコンの形成を引き起こすための命令を備える、装置。
  28. 請求項22から27のいずれかに記載の装置であって、前記コントローラは、さらに、前記金属含有前駆体ガスおよび前記第2反応ガスの前記時間的に分離されたパルスの合間に、前記少なくとも1つの処理チャンバのパージを引き起こすための命令を備える、装置。
  29. 請求項22から27のいずれかに記載の装置であって、前記コントローラは、さらに、前記基板を収容する前記少なくとも1つの処理チャンバへの不活性ガスの導入を引き起こすための命令を備える、装置。
JP2019548950A 2017-03-10 2018-03-09 酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長 Pending JP2020510314A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/456,301 US10043656B1 (en) 2017-03-10 2017-03-10 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US15/456,301 2017-03-10
PCT/US2018/021823 WO2018165598A1 (en) 2017-03-10 2018-03-09 Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide

Publications (1)

Publication Number Publication Date
JP2020510314A true JP2020510314A (ja) 2020-04-02

Family

ID=63014121

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019548950A Pending JP2020510314A (ja) 2017-03-10 2018-03-09 酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長

Country Status (6)

Country Link
US (2) US10043656B1 (ja)
JP (1) JP2020510314A (ja)
KR (1) KR102491771B1 (ja)
CN (2) CN110402477B (ja)
TW (1) TW201903184A (ja)
WO (1) WO2018165598A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022201853A1 (ja) * 2021-03-23 2022-09-29 東レエンジニアリング株式会社 積層体製造装置及び自己組織化単分子膜の形成方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN112424916B (zh) * 2018-07-17 2024-06-21 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
JP7488815B2 (ja) * 2018-10-19 2024-05-22 ラム リサーチ コーポレーション 窒化ケイ素膜を堆積させる方法
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
CN113423864B (zh) * 2019-02-14 2023-11-07 恩特格里斯公司 氮化硅的选择性沉积
US11133195B2 (en) 2019-04-30 2021-09-28 International Business Machines Corporation Inverse tone pillar printing method using polymer brush grafts
CN110265288A (zh) * 2019-06-05 2019-09-20 深圳市华星光电技术有限公司 一种在基板上制备二氧化硅膜的方法及装置、阵列基板
KR20220057617A (ko) 2019-09-10 2022-05-09 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름의 비등각 증착을 위한 조성물 및 이를 이용하는 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
KR20230173313A (ko) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
CN1332451C (zh) 2001-09-12 2007-08-15 日本电气株式会社 半导体器件及其制造方法
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20110178092A1 (en) * 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
EP2257561B1 (en) 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
EP2675560B1 (en) * 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9530733B2 (en) * 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
EP3134479A1 (en) * 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US20160064275A1 (en) 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US11001599B2 (en) * 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI694167B (zh) * 2015-05-01 2020-05-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
CN114121605A (zh) 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022201853A1 (ja) * 2021-03-23 2022-09-29 東レエンジニアリング株式会社 積層体製造装置及び自己組織化単分子膜の形成方法

Also Published As

Publication number Publication date
US10199212B2 (en) 2019-02-05
TW201903184A (zh) 2019-01-16
CN110402477A (zh) 2019-11-01
US10043656B1 (en) 2018-08-07
US20180261448A1 (en) 2018-09-13
CN116970921A (zh) 2023-10-31
KR20190119158A (ko) 2019-10-21
CN110402477B (zh) 2023-07-04
KR102491771B1 (ko) 2023-01-25
WO2018165598A1 (en) 2018-09-13

Similar Documents

Publication Publication Date Title
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR20200079343A (ko) 구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
TW201843333A (zh) 用於內連線之鎢碳氮化物阻障/黏著層的選擇性沉積
US11404275B2 (en) Selective deposition using hydrolysis

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191114