KR20200079343A - 구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장 - Google Patents

구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장 Download PDF

Info

Publication number
KR20200079343A
KR20200079343A KR1020207017847A KR20207017847A KR20200079343A KR 20200079343 A KR20200079343 A KR 20200079343A KR 1020207017847 A KR1020207017847 A KR 1020207017847A KR 20207017847 A KR20207017847 A KR 20207017847A KR 20200079343 A KR20200079343 A KR 20200079343A
Authority
KR
South Korea
Prior art keywords
copper
substrate
silicon oxide
silicon
plasma
Prior art date
Application number
KR1020207017847A
Other languages
English (en)
Inventor
데니스 엠. 하우스만
알렉산더 알. 폭스
콜린 로울러
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200079343A publication Critical patent/KR20200079343A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

구리와 같은 금속-함유 표면에 대해 유전체 표면들 상에 실리콘 옥사이드를 선택적으로 증착하기 위한 방법들 및 장치들이 제공된다. 방법들은 구리 표면에 선택적으로 흡착하도록 유전체 표면 및 구리 표면을 갖는 기판을 알킬 티올과 같은 구리 차단제에 노출하는 단계, 실리콘 옥사이드를 증착하기 위해 기판을 실리콘-함유 전구체에 노출하는 단계, 실리콘 옥사이드를 형성하도록 흡착 실리콘-함유 전구체를 변환하기 위해 약 산화제 가스에 기판을 노출하고 플라즈마를 점화하는 단계, 및 약 산화제 가스로의 노출로부터 임의의 산화된 구리를 환원시키기 위해 기판을 환원제에 노출하는 단계를 수반한다.

Description

구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장
관련된 출원에 대한 교차 참조
본 출원은 2017년 11월 22일에 출원되고, 명칭이 “SELECTIVE GROWTH OF SIO2 ON DIELECTRIC SURFACES IN THE PRESENCE OF COPPER”인, 미국 특허 출원 번호 제 15/821,590 호의 이익을 주장하고, 이는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다.
반도체 디바이스 제조는 마이크로프로세서들, 로직 (logic), 및 메모리 디바이스들의 제조를 포함한다. 이러한 디바이스들은 더블 패터닝 (double patterning) 또는 쿼드 패터닝 (quad patterning) 과 같은 자기-정렬된 (self-aligned) 패터닝, 갭충진 프로세스들, 및 다른 기법들을 포함하는, 다양한 기법들을 사용하여 제조될 수도 있다. 일부 프로세스들은 실리콘 옥사이드, 및 구리와 같은 금속을 포함하는 구조체들의 형성을 수반한다. 이러한 구조체들을 형성하기 위한 종래의 기법들은 제한될 수도 있다.
본 명세서에 제공된 것들은 반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이다. 일 양태는 기판 상의 구리에 대해 유전체 재료 상에 실리콘 옥사이드를 선택적으로 증착하는 방법을 수반하고, 방법은: (a) 유전체 재료 및 노출된 구리 금속 표면을 포함하는 기판을 제공하는 단계; (b) 실리콘 옥사이드를 증착하기 전, 노출된 구리 금속 표면 상으로 선택적으로 흡착하도록 구리 차단제 (blocking reagent) 에 기판을 노출하는 단계; (c) 유전체 재료 상으로 실리콘-함유 전구체를 흡착시키기 위해 실리콘-함유 전구체에 기판을 노출하는 단계; (d) 흡착된 실리콘-함유 전구체들을 실리콘 옥사이드로 변환하기 위해 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 기판을 노출하는 단계; 및 (e) 노출된 구리 금속 표면을 환원시키기 위해 환원제에 기판을 노출하는 단계를 포함한다.
일부 실시예들에서, 구리 차단제는 황을 포함한다.
일부 실시예들에서, 구리 차단제는 알킬 티올이다. 예를 들어, 일부 실시예들에서, 구리 차단제는 에탄 티올 (ethane thiol) 또는 부탄 티올 (butane thiol) 중 어느 하나이다.
다양한 실시예들에서, 구리 차단제는 화학식 SH(CH2)nCH3을 갖는 알킬 티올이고, 여기에서 n은 2 내지 12 이내의 정수이다. 일부 실시예들에서, 구리 차단제는 화학식 SH(CH2)nCH3을 갖는 알킬 티올이고, 여기에서 n은 2 내지 6 이내의 정수이다. 일부 실시예들에서, 구리 차단제는 화학식 SH(CH2)2CH3을 갖는다. 일부 실시예들에서, 구리 차단제는 화학식 SH(CH2)8CH3을 갖는다. 일부 실시예들에서, 구리 차단제는 화학식 SH(CH2)12CH3을 갖는다.
일부 실시예들에서, 실리콘-함유 전구체는 아미노실란이다. 예를 들어, 실리콘-함유 전구체는 N-(디에틸아미노실릴)-N-에틸에탄아민, 비스(디에틸아미노)실란 (BDEAS), 디이소프로필아미노실란 (DiPAS), 디이소부틸아미노실란 (DiBAS), 비스(tert-부틸아미노)실란 (BTBAS), 및 트리스(디메틸아미노)실란 (TDMAS) 중 어느 하나일 수도 있다.
일부 실시예들에서, 약 산화제는 이산화탄소, 아산화질소, 오존, 이들의 플라즈마들, 및 물 중 어느 하나이다. 일부 실시예들에서, 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 알코올들, 및 알데하이드들 중 어느 하나이다. 일부 실시예들에서, 유전체 재료는 실리콘 다이옥사이드, 알루미늄 옥사이드, 실리콘 옥시카바이드들, 실리콘 카보나이트라이드들, 및 실리콘 옥시카보나이트라이드들 중 어느 하나이다.
다양한 실시예들에서, 실리콘 옥사이드는 약 70 ℃ 내지 약 200 ℃의 온도에서 증착된다.
방법은 또한 구리 차단제에 기판을 노출하기 전, 노출된 구리 금속 표면을 환원시키기 위해 제 2 환원제를 도입하는 단계를 포함할 수도 있다. 제 2 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 알코올들, 및 알데하이드들 중 어느 하나일 수도 있다.
방법은 또한 2 이상의 사이클들로 단계 (b) 내지 단계 (e) 를 반복하는 단계를 포함할 수도 있다. 일부 실시예들에서, 단계 (c) 는 자기-제한이다. 다양한 실시예들에서, 구리 차단제는 노출된 구리 금속 표면 상에 실리콘 옥사이드의 후속 증착을 차단하기 위해 노출된 구리 금속 표면에 우선적으로 흡착된다.
또 다른 양태는 기판 상의 금속-함유 표면에 대해 유전체 재료 상에 실리콘 옥사이드를 선택적으로 증착하는 방법을 수반하고, 방법은: (a) 유전체 재료 및 노출된 금속-함유 표면을 포함하는 기판을 제공하는 단계; (b) 실리콘 옥사이드를 증착하기 전, 노출된 금속-함유 표면 상으로 선택적으로 흡착하도록 금속 차단제에 기판을 노출하는 단계; (c) 유전체 재료 상으로 실리콘-함유 전구체를 흡착시키기 위해 실리콘-함유 전구체에 기판을 노출하는 단계; (d) 흡착된 실리콘-함유 전구체들을 실리콘 옥사이드로 변환하기 위해 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 기판을 노출하는 단계; 및 (e) 노출된 금속-함유 표면을 환원시키기 위해 환원제에 기판을 노출하는 단계를 포함한다.
다양한 실시예들에서, 노출된 금속-함유 표면은 구리를 포함한다. 일부 실시예들에서, 노출된 금속-함유 표면은 루테늄을 포함한다.
일부 실시예들에서, 노출된 금속-함유 표면은 구리 금속, 구리 옥사이드, 루테늄 금속, 및 루테늄 옥사이드 중 어느 하나를 포함한다.
일부 실시예들에서, 금속 차단제는 알킬 티올이다. 예를 들어, 일부 실시예들에서, 금속 차단제는 에탄 티올 또는 부탄 티올 중 어느 하나이다.
다양한 실시예들에서, 금속 차단제는 화학식 SH(CH2)nCH3을 갖는 알킬 티올이고, 여기에서 n은 2 내지 12 이내의 정수이다.
일부 실시예들에서, 실리콘-함유 전구체는 아미노실란이다. 예를 들어, 실리콘-함유 전구체는 N-(디에틸아미노실릴)-N-에틸에탄아민, 비스(디에틸아미노)실란 (BDEAS), 디이소프로필아미노실란 (DiPAS), 디이소부틸아미노실란 (DiBAS), 비스(tert-부틸아미노)실란 (BTBAS), 및 트리스(디메틸아미노)실란 (TDMAS) 중 어느 하나일 수도 있다.
일부 실시예들에서, 약 산화제는 이산화탄소, 아산화질소, 오존, 이들의 플라즈마들, 및 물 중 어느 하나이다. 일부 실시예들에서, 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 알코올들, 및 알데하이드들 중 어느 하나이다. 일부 실시예들에서, 유전체 재료는 실리콘 다이옥사이드, 알루미늄 옥사이드, 실리콘 옥시카바이드들, 실리콘 카보나이트라이드들, 및 실리콘 옥시카보나이트라이드들 중 어느 하나이다.
다양한 실시예들에서, 실리콘 옥사이드는 약 70 ℃ 내지 약 200 ℃의 온도에서 증착된다.
방법은 또한 금속 차단제에 기판을 노출하기 전, 노출된 금속-함유 표면을 환원시키기 위해 제 2 환원제를 도입하는 단계를 포함할 수도 있다. 제 2 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 알코올들, 및 알데하이드들 중 어느 하나일 수도 있다.
방법은 또한 2 이상의 사이클들로 단계 (b) 내지 단계 (e) 를 반복하는 단계를 포함할 수도 있다. 일부 실시예들에서, 단계 (c) 는 자기-제한이다. 다양한 실시예들에서, 금속 차단제는 노출된 금속-함유 표면 상에 실리콘 옥사이드의 후속 증착을 차단하기 위해 노출된 금속-함유 표면에 우선적으로 흡착된다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 반도체 기판을 홀딩하기 위한 페데스탈 (pedestal) 을 포함하는 적어도 하나의 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출구; 플라즈마 생성기; 하나 이상의 가스 소스들 (sources) 에 커플링된 하나 이상의 프로세스 가스 유입구들; 및 장치 내에서 동작들을 제어하기 위한 제어기로서, 실리콘 옥사이드의 증착을 유발하기 전, 노출된 구리 금속 표면 상으로 선택적으로 흡착하도록 구리 차단제에 반도체 기판의 노출을 유발하고, 반도체 기판 상의 유전체 재료 상에 실리콘-함유 전구체를 흡착시키기 위해 실리콘-함유 전구체에 기판의 노출을 유발하고, 실리콘 옥사이드를 증착하기 위해 흡착된 실리콘-함유 전구체들을 변환하도록 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 기판의 노출을 유발하고, 그리고 노출된 구리 금속 표면을 환원시키기 위해 환원제에 기판의 노출을 유발하기 위한 머신-판독 가능한 인스트럭션들을 포함하는, 제어기를 포함한다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 반도체 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출구; 플라즈마 생성기; 하나 이상의 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입구들; 및 장치 내에서 동작들을 제어하기 위한 제어기로서, 실리콘 옥사이드의 증착을 유발하기 전, 노출된 구리 금속 표면 상으로 선택적으로 흡착하도록 구리 차단제에 반도체 기판의 노출을 유발하고, 반도체 기판 상의 유전체 재료 상에 실리콘-함유 전구체를 흡착시키기 위해 실리콘-함유 전구체에 기판의 노출을 유발하고, 실리콘 옥사이드를 증착하기 위해 흡착된 실리콘-함유 전구체들을 변환하도록 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 기판의 노출을 유발하고, 그리고 노출된 구리 금속 표면을 환원시키기 위해 환원제에 기판의 노출을 유발하기 위한 머신-판독 가능한 인스트럭션들을 포함하는, 제어기를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1a 내지 도 1e는 비아에 금속을 증착하기 위한 프로세스를 겪는 기판의 개략적인 예시들이다.
도 2a 내지 도 2f는 유전체 상에 유전체의 선택적인 증착을 사용하여 완전히 정렬된 비아들을 형성하기 위한 프로세스를 겪는 기판의 개략적인 예시들이다.
도 3은 특정한 개시된 실시예들에 따른 방법을 수행하기 위한 동작들을 도시하는 프로세스 흐름도이다.
도 4a 내지 도 4e는 특정한 개시된 실시예들에 따른 유전체 재료를 선택적으로 증착하기 위한 메커니즘의 일 예의 개략적인 도면들이다.
도 5는 특정한 개시된 실시예들에 따른 방법의 사이클들의 일 예를 도시하는 타이밍 순서도이다.
도 6은 개시된 실시예들을 수행하기 위한 일 예시적인 프로세스 챔버의 개략적인 도면이다.
도 7은 개시된 실시예들을 수행하기 위한 일 예시적인 프로세스 툴의 개략적인 도면이다.
도 8a 및 도 8b, 도 9a 내지 도 9d는 실리콘 옥사이드 표면 및 구리 표면 상에 증착된 막의 두께에 대한 실험적인 결과들을 도시한다.
이하의 기술 (description) 에서, 제시된 실시예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시예들은 이들 구체적 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들이 구체적 실시예들과 함께 기술될 것이지만, 구체적 실시예들이 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
반도체 제작 프로세스들은 종종 특정한 유형의 반도체 디바이스들을 형성하기 위해 패터닝 스킴 (patterning scheme) 에서 다양한 재료들의 증착 및 에칭을 수반한다. 예를 들어, 패터닝 스킴들은 SRAM (Static Random Access Memory) 셀을 제조하기 위해 사용될 수도 있다. 그러나, 디바이스들이 축소됨에 따라, 오류에 대한 프로세스 허용오차는 특히 금속 상호연결부 (interconnect) 위아래 금속 트렌치 (trench) 사이에 금속 상호연결부의 제조를 위해 점점 작아진다. 또한, 복수의 패터닝 및/또는 극자외선 리소그래피 기법들이 저 CD (Critical Dimension) 를 갖는 작은 디바이스들을 제조하기 위해 사용될 수도 있지만, 이러한 기법들은 아직 10 nm 기술 노드로부터 5 내지 7 nm 기술 노드까지 조밀한 회로를 제조할 수 없다. 따라서, 결과적으로, 종래의 기법들에서, 일 층이 선행하는 층과 오정렬되면 (misaligned), 몇 나노미터들의 정렬 차이는 문제가 된다.
일 예가 도 1a 내지 도 1e에 제공된다. 이들 도면들은 상호연결부 위에 비아를 형성하기 위한 종래의 프로세스를 도시하고, “랜딩되지 않은 (unlanded) 비아”로 지칭되는 것이 발생되고, 비아 내에 증착된 재료는 비아 내에 금속을 증착하기 전 마스크의 형성의 오정렬로 인해 선행하는 상호연결부 층과 정렬되지 않는다.
도 1a는 금속 라인들 (103a 및 103b) 를 갖는 기판 (101) 및 금속 라인들 (103a 및 103b) 을 갖는 기판 (101) 위에 증착된 에칭 정지 층 (105a) 을 도시한다. 에칭 정지 층 (105a) 은 실리콘 나이트라이드 층일 수도 있다. 도 1b에서, 도 1a의 에칭 정지 층 (105a) 은 패터닝된 에칭 정지 층 (105b) 을 형성하기 위해 패터닝된다. 이 에칭 프로세스는 금속 라인 (103a) 의 표면을 노출하지만, 금속 라인 (103b) 을 계속 마스킹한다. 도 1c에서, 실리콘 옥사이드와 같은 유전체 재료 (107a) 가 금속 라인 (103a) 및 패터닝된 에칭 정지 층 (105b) 을 갖는 기판 (101) 위에 증착된다. 도 1d에서, 도 1c의 유전체 재료 (107a) 는 비아 (109) 를 포함하는 에칭된 유전체 층 (107b) 을 형성하기 위해 종래의 기법들을 사용하여 에칭된다. 비아 (109) 를 형성하기 위해, 종래의 기법들은 기판 위에 마스크를 형성하고, 리소그래피 기법들과 같은 에칭 기법들을 사용하여 마스크를 패터닝하고, 그리고 마스크의 패턴으로 유전체 재료를 에칭하는 것을 수반한다. 그러나, 웨이퍼 이송 및 정렬 프로세스들 및 에칭 프로세스들이 항상 금속 라인 (103a) 위에 정확하게 마스크를 정렬하지 않을 수도 있기 때문에, 비아 (109) 는 도 1d에 도시된 바와 같이 금속 라인 (103a) 에 오정렬될 수도 있다. 보다 큰 CD 피처들에서 그리고 보다 큰 디바이스들의 제조를 위해, 이 오정렬은 문제가 되지 않을 수도 있지만, 두 금속 라인들 (103a 및 103b) 사이의 거리가 나노미터 스케일이면, 비아 (109) 의 오정렬은 비아 (109) 로 하여금 인접한 금속 라인 (103b) 에 너무 근접하게 정렬되게 할 수 있고, 이는 쇼트 (short) 문제 또는 다른 디바이스 문제를 유발할 수 있다. 또한, 종래의 프로세스들을 사용하여 비아 (109) 에서 수직 프로파일을 획득하는 것은 어렵다.
도 1e에서, 비아 (109) 는 금속 라인 (103a) 에 연결하기 위해 금속으로 충진된다. 그러나, 비아 (109) 의 오정렬의 결과로서, 비아 (109) 내로 금속의 증착은 기판 재료 (101) 내로 침투할 수도 있고, “송곳니 (fang)” 또는 “호랑이 이빨 (tiger tooth)” 결함 (111) 으로 지칭되는 것의 형성을 유발한다. 송곳니 (111) 의 이 오버레이 (overlay) 증착은 디바이스 문제들을 유발할 수도 있다. 예를 들어, 이러한 오정렬은 비아로 하여금 금속 쇼트를 유발하게 하고 고 저항 및 불량한 TDDB (Time-Dependent Dielectric Breakdown) 수명을 발생시킨다.
완전히 정렬된 비아들을 형성하는 것이 바람직하다. 일 예가 도 2a 내지 도 2f에 제공된다. 도 2a에서, 유전체 기판 (201) 은 구리 비아들 (203a 및 203b) 을 포함한다. 도 2b에서, 유전체 재료 (213) 는 구리 비아들 (203a 및 203b) 의 노출된 구리 표면들에 대해 유전체 (201) 표면들 상에 선택적으로 증착된다. 이러한 유전체 재료 (213) 는 ULK (Ultra Low-K) 유전체에 대해 에칭 콘트라스트 (etch contrast) 를 가질 수도 있다. 도 2c에서, 블랭킷 (blanket) ULK 유전체 재료 (211) 는 유전체 재료 (213), 및 구리 비아들 (203a 및 203b) 을 포함하는 기판 위에 증착된다. 도 2d에서, 블랭킷 ULK 유전체 재료 (211) 는 비아들 (215) 을 형성하기 위해 에칭된다. 도 2e에서, 블랭킷 ULK 유전체 재료는 에칭된 ULK 유전체 재료 (231) 를 형성하기 위해 더 에칭된다. 선택 가능하게 증착된 유전체 재료 (213) 가 ULK 유전체 재료 (231) 에 에칭 선택도를 갖기 때문에, 비아들 (235) 은 완전히 자기 정렬된다. 도 2f에서, 구리는 듀얼 다마신 (dual damascene) 구조체를 형성하기 위해 비아들 내로 충진된다.
예를 들어, 일 가능한 패터닝 스킴이 비아의 금속을 노출하기 위해 CMP에 의해 평탄화된 듀얼 다마신 구조체, 이어서 유전체가 ULK 유전체에 대해 에칭 콘트라스트를 갖는, 비아 내의 금속에 대해 유전체 재료 상에 유전체 재료의 선택적인 증착, 이어서 기판 위에 블랭킷 ULK 유전체 재료, 이어서 에칭 콘트라스트가 자기 정렬을 제공하는, 비아 에칭, 및 라인 트렌치 에칭, 이어서 듀얼 다마신 금속 충진을 수반할 수 있다.
그러나, 구리 재료에 대해 유전체 재료 상에 선택적으로 실리콘 옥사이드를 증착하기 위한 종래의 기법들은 제한된다. 예를 들어, 실리콘 옥사이드는 열적 반응에 의해 염소-함유 전구체를 사용하여 증착될 수 있지만, 염소는 구리 표면을 또한 에칭할 것이다. 부가적으로, 플라즈마-기반 반응이 산소 (O2) 가스를 사용하여 생성된 플라즈마를 사용하여 수행되면, 산소의 강 산화 플라즈마는 노출된 구리 표면을 산화시킬 것이다. 따라서, 완전히 정렬된 비아의 제조를 달성하기 위해 구리에 대해 유전체 재료 상의 유전체의 선택적인 증착 기법들에 대한 필요성이 있다. 반응물질로서 물을 사용하여, 알루미늄 옥사이드, 하프늄 옥사이드, 및 지르코늄 옥사이드와 같은 금속 옥사이드들을 증착하기 위한 기법들이 존재하지만, 이들 기법들은 고 유전 상수를 갖는 유전체들에 선택적일 수도 있다. 그러나, 이러한 기법들은 실리콘 옥사이드를 선택적으로 증착하기에 적합하지 않다.
본 명세서에 제공된 것들은 구리, 구리 옥사이드들, 루테늄, 및/또는 루테늄 옥사이드들에 대해 유전체 재료 상에 유전체 재료를 선택적으로 증착하는 방법들이다. 예를 들어, 개시된 실시예들은 실리콘 옥사이드 (SiO2), 알루미늄 옥사이드 (Al2O3), 실리콘 옥시카바이드들, 실리콘 카보나이트라이드들, 및 실리콘 옥시카보나이트라이드들 상에 실리콘 옥사이드 (예를 들어, SiO2) 를 증착하는 것을 수반할 수도 있다. 실리콘 옥시카바이드의 비제한적인 예는 화학식 SiOxCy인 실리콘 옥시카바이드이고, 2x+4y=4 (x 및 y가 정수들일 필요는 없음) 이다. 실리콘 카보나이트라이드의 비제한적인 예는 화학식 SiCaNb인 실리콘 카보나이트라이드이고, 4a+3b=4 (x 및 y가 정수들일 필요는 없음) 이다. 실리콘 옥시카보나이트라이드의 비제한적인 예는 화학식 SiOiCjNk인 실리콘 옥시카보나이트라이드이고, 2i+4j+3k=4이다.
특정한 개시된 실시예들은 선택적인 증착으로 하여금 환원된 구리 표면에 우선적으로 흡착되고 구리 표면 상에서 후속 증착을 차단하는, 티올과 같은 구리 차단제로의 노출을 사용하게 하도록 하이드록실-말단 (hydroxyl-terminated) 실리콘 옥사이드 및 환원된 구리 사이의 반응성 차이를 활용하는 것을 수반한다. 특정한 개시된 실시예들은 도 2a 내지 도 2f에 대해 상기 기술된 것과 같이 패터닝 스킴에서 완전히 정렬된 비아들을 형성하기 위해 구리 표면들에 대해 유전체 상에 유전체를 선택적으로 증착하기에 특히 적합할 수도 있다.
본 명세서에 기술된 기법들은 열적 ALD (Atomic Layer Deposition) 및/또는 PEALD (Plasma-Enhanced Atomic Layer Deposition) 를 수반한다. 즉, 다양한 실시예들에서, 실리콘 옥사이드를 형성하기 위해 실리콘-함유 전구체와 산화제 사이의 반응이 수행된다.
ALD는 순차적인 자기-제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응물질을 전달 및 흡착하고, 이후 막의 부분적 층들을 형성하기 위해 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작들을 포함한다. 예로서, 실리콘 옥사이드 증착 사이클은 이하의 동작들: (i) 실리콘-함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘 전구체의 퍼지 (purge), (iii) 산소-함유 반응물질 또는 산소-함유 가스의 전달, 및 (iv) 챔버로부터 산소-함유 반응물질의 퍼지를 포함할 수도 있다.
CVD (Chemical Vapor Deposition) 기법과 달리, ALD 프로세스들은 층별 (layer-by-layer) 기반으로 막들을 증착하기 위해 표면-매개된 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들 (sites) 의 군 (population) 을 포함하는 기판 표면이, 기판을 하우징하는 (housing) 챔버에 제공된 도즈 내에 실리콘-함유 전구체와 같은, 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 제 1 전구체의 화학흡착된 종 및/또는 물리흡착된 분자들을 포함하여 기판 표면 상에 흡착된다. 화합물이 본 명세서에 기술된 바와 같이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 (dose) 후, 챔버는 이어서 대부분 흡착된 종 또는 흡착된 종만 남도록, 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 전부를 제거하기 위해 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스 상의 제 1 전구체의 분압이 반응을 완화하기 충분히 낮도록 배기될 수도 있다. 산소-함유 반응물질과 같은 제 2 반응물질은 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 함께 반응하도록 챔버에 도입된다. 일부 프로세스들에서, 제 2 반응물질은 흡착된 제 1 전구체와 즉시 반응한다. 챔버는 이후 결합되지 않는 제 2 반응물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들이 막 두께를 구축하기 위해 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈가 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 균일하게 포화시키기 위해 전구체가 기판에 콘택트하기 전 종료된다. 통상적으로, 전구체 플로우는 이 지점에서 턴 오프되거나 전환되고, 퍼지 가스만 흐른다. 이 반-포화 레짐 (sub-saturation regime) 에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고, 쓰루풋 (throughput) 을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 2013년 10월 23일에 출원되고, 명칭이 “SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”인, 미국 특허 출원 번호 제 14/061,587 호 (현재 미국 특허 번호 제 9,355,839 호) 에 제공되고, 이는 전체가 참조로서 본 명세서에 인용된다.
기술된 바와 같이, 일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은, 2011년 4월 11일에 출원되고, 명칭이 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”인, 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 번호 제 8,728,956 호), 및 2011년 4월 11일에 출원되고, 명칭이 “SILICON NITRIDE FILMS AND METHODS”인, 미국 특허 출원 번호 제 13/084,305 호에 일반적으로 기술되는 CFD (Conformal Film Deposition) 방법들일 수도 있고, 이들은 전체가 참조로서 본 명세서에 인용된다.
도 3은 특정한 개시된 실시예들에 따라 수행된 방법의 동작들을 도시하는 프로세스 흐름도를 제공한다. 본 명세서에 기술된 실시예들은 약 70 ℃ 내지 약 200 ℃, 예컨대 약 100 ℃ 내지 약 150 ℃의 온도들에서 수행될 수도 있다. 동작 (301) 에서, 노출된 구리 표면 및 노출된 유전체 표면을 갖는 기판이 제공된다. 기판은 기판 상에 증착된 유전체, 도전, 또는 반도전 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하는 실리콘 웨이퍼, 예를 들어 200 mm 웨이퍼, 300 mm 웨이퍼, 또는 450 mm 웨이퍼일 수도 있다. 하층들의 비제한적인 예들은 유전체 층들 및 도전 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다.
다양한 실시예들에서, 기판은 유전체 재료 및 (상호연결부 라인들과 같이) 금속으로 충진된 비아들을 포함한다. 다양한 실시예들에서, 유전체 재료는 실리콘 옥사이드와 같은 실리콘-함유 재료일 수도 있다. 다양한 실시예들에서, 유전체 재료는 ULK 유전체일 수도 있다. 일부 실시예들에서, 유전체 재료는 유전체 재료 대신 반도체 재료일 수도 있다. 다양한 실시예들에서, 유전체 재료는 하이드록실-말단 실리콘 옥사이드를 포함한다.
다양한 실시예들에서, 비아들은 구리로 충진된다. 일부 실시예들에서, 비아들은 구리 옥사이드들, 루테늄, 및/또는 루테늄 옥사이드들로 충진된다. 일부 실시예들에서, 구리는 후속 동작들을 위한 서비스를 준비하기 위해 수소 또는 하이드라진과 같은 환원제로의 노출을 사용하여 환원된다.
도 4a는 노출된 실리콘 옥사이드 표면 (401) 및 노출된 구리 표면 (403) 을 갖는 예시적인 기판의 개략적인 예시이다.
동작 (303) 에서, 기판은 노출된 구리 표면과 선택적으로 반응하기 위해 구리 차단제에 노출된다. 다양한 실시예들에서, 구리 차단제는 티올이다. 일부 실시예들에서, 구리 차단제는 구조:
Figure pct00001
를 갖는 알킬 티올이고, 여기에서 n은 2 내지 12를 포함하거나, 2 내지 6을 포함하는 정수이다. 예를 들어, 일부 실시예들에서, n은 8일 수도 있다. 일부 실시예들에서, n은 12일 수도 있다. 예시적인 티올들은 이하에 도시된 바와 같이:
Figure pct00002
에탄 티올 및 부탄 티올과 같은 알킬 티올들을 포함한다.
구리 차단제는 구리 표면 및 구리 옥사이드 표면과 반응하지만 하이드록실-말단 실리콘 옥사이드 표면들과 같은 유전체 표면들과 반응하지 않도록 선택된다. 예를 들어, 티올들은 구리가 환원제에 의해 이전에 환원되었던 구리 표면 상의 구리와 반응할 수도 있는 황 원자를 포함한다. 일부 구리 표면들이 구리 옥사이드를 형성하는 표면 상에 천연 옥사이드들을 갖지만, 선택된 티올들은 물 및 티올레이트 (thiolate) 를 형성하기 위해 구리 옥사이드와 반응하는 황 원자들을 포함한다.
차단된 구리 표면은 비휘발성이고 입체 구조로 이루어져 집결된다. 일부 실시예들에서, 메탄 티올은 구리 표면의 산화를 방지하기 위해 충분히 벌키하지 (bulky) 않을 수도 있기 때문에 사용되지 않는다. 일부 실시예들에서, 옥탄 티올들은 이들이 구리 표면의 산화를 방지하기에 충분히 벌키하도록 긴 테일 (tail) 을 포함하지만 대부분의 노출된 구리 표면에 흡착되도록 타이트하게 패킹될 수 없기 때문에 사용되지 않을 수도 있다. 다양한 실시예들에서, 알킬 체인 (chain) 은 다른 비수소 치환기들 없이 수소 원자들만을 갖는 탄소 체인이다.
도 4b는 구리 차단제로서 부탄 티올과 반응하지 않는 노출된 실리콘 옥사이드 표면 (401) 을 갖는 예시적인 기판의 개략적인 예시인 반면, 도 4a의 노출된 구리 기판 (403) 은 차단된 표면 (405) 을 형성하기 위해 부탄 티올과 반응한다.
도 3을 다시 참조하면, 동작 (305) 에서, 기판을 하우징하는 챔버는 노출된 구리 표면들과 반응하지 않는 과잉 구리 차단제를 제거하도록 선택 가능하게 퍼지될 수도 있다. 챔버를 퍼지하는 것은 다른 동작들에서 사용된 캐리어 가스일 수도 있고, 또는 상이한 가스일 수도 있는, 퍼지 가스 또는 스윕핑 (sweep) 가스를 흘리는 것을 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소, 및 헬륨을 포함한다. 일부 실시예들에서, 동작 (305) 은 프로세스 챔버를 배기하기 위해 하나 이상의 배기 서브페이즈들을 포함할 수도 있다. 대안적으로, 동작 (305) 이 일부 실시예들에서 생략될 수도 있다는 것이 인식될 것이다. 동작 (305) 은 약 0 초 내지 약 60 초, 예를 들어 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 상승시키는 것은 동작 (305) 의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 (305) 의 지속기간을 수정하기 위해 다양한 반응물질 열역학적 특성들 및/또는 프로세스 챔버의 기하학적 특성들 및/또는 프로세스 챔버 배관에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼지 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 기판 쓰루풋을 개선할 수도 있는 증착 사이클 시간을 감소시킬 수도 있다. 퍼지 후, 구리 차단제는 구리 표면 상에 흡착된 채 남는다.
동작 (307) 에서, 기판은 실리콘-함유 전구체에 노출된다. 다양한 실시예들에서, 실리콘-함유 전구체는 아미노실란이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들, 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란, 및 테트라-아미노실란 (각각 H3Si(NH2), H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 일 뿐만 아니라, 치환된 모노-아미노실란들, 디-아미노실란들, 트리-아미노실란들, 및 테트라-아미노실란들, 예를 들어 t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, bis(3차-부틸아미노)실란, (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가 예는 트리실릴아민 (N(SiH3)) 이다.
일부 실시예들에서, 실리콘-함유 전구체는 일반 구조:
Figure pct00003
를 갖는 알킬아미노실란이고, 여기에서 R1, R2, R3, 및 R4 중 적어도 하나는 제 1 차 아미노 기 또는 제 2 차 아미노 기를 포함한다. 일부 실시예들에서, 치환기들 R1, R2, R3, 및 R4 중 1 내지 3 개는 수소 원자이다. 예를 들어, 일부 실시예들에서, 실리콘-함유 전구체는 구조:
Figure pct00004
를 갖는 SAM24 (N-(디에틸아미노실릴)-N-에틸에탄아민)이다.
실리콘-함유 전구체는 아미노실란이다. 예시적인 실리콘-함유 전구체들은 비스 (디에틸아미노)실란 (BDEAS), 디이소프로필아미노실란 (DiPAS), 디이소부틸아미노실란 (DiBAS), 비스(tert-부틸아미노)실란 (BTBAS), 및 트리스(디메틸아미노)실란 (TDMAS) 을 포함한다. 실리콘-함유 전구체는 도 4c에서 아미드 (407) 로 도시된 바와 같이 기판의 표면 상에 실리콘 아미드를 형성한다. 일부 실시예들에서, 일부 하이드록실-말단 기 (405) 는 동작 (307) 동안 표면 상에 남을 수도 있다. 차단된 구리 표면 (409) 이 주어지면, 실리콘-함유 전구체는 구리 표면 상에 흡착되지 않는 것을 주의한다.
동작 (307) 은 ALD 사이클의 일부일 수도 있다. 상기 논의된 바와 같이, 일반적으로 ALD 사이클은 일 회의 표면 증착 반응을 수행하기 위해 사용된 동작들의 최소 세트이다. 일부 실시예들에서, 일 사이클의 결과는 기판 표면 상의 적어도 부분적인 실리콘 옥사이드 막 층의 생성이다. 사이클은 반응물질들 또는 부산물들 중 하나를 스윕핑하고 그리고/또는 증착된 부분 막을 처리하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 일 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다. 상기 논의된 바와 같이, 일반적으로 일 사이클은 일 회의 표면 증착 반응을 수행하기 위해 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에 적어도 부분적인 막 층, 예를 들어 부분적인 실리콘 나이트라이드 막 층의 생성이다.
동작 (307) 동안, 기판은 흡착된 층을 형성하기 위해 실리콘-함유 전구체가 기판 표면 상에 흡착되도록 실리콘-함유 전구체에 노출된다. 일부 실시예들에서, 활성화 사이트들이 아미노실란 전구체에 의해 점유되면, 부가적인 아미노실란 전구체가 기판 표면 상에 거의 또는 전혀 흡착되지 않을 것이기 때문에, 아미노실란 전구체는 자기 제한 방식으로 기판 표면 상에 흡착된다. 예를 들어, 아미노실란 전구체는 기판 표면의 약 60 % 상에 흡착될 수도 있다. 다양한 실시예들에서, 아미노실란 전구체가 챔버로 흐르면, 아미노실란 전구체는 표면 상에 아미노실란 전구체의 박층을 형성하는, 기판의 표면 상의 활성 사이트들에 흡착한다. 다양한 실시예들에서, 이 층은 단층 (monolayer) 보다 작을 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다. 본 명세서에 제공된 방법들은 약 70 ℃ 내지 약 200 ℃, 예컨대 약 100 ℃ 내지 약 150 ℃의 온도에서 수행될 수도 있다.
도 3을 다시 참조하면, 동작 (309) 에서, 기판을 하우징하는 챔버는 동작 (305) 에 대해 상기 기술된 바와 같이 임의의 하나 이상의 기법들을 사용하여 선택 가능하게 퍼지될 수도 있다.
동작 (311) 에서, 기판은 약한 (mild) 산화 플라즈마에 노출된다. 약한 산화 플라즈마는 표면 산화의 10 Å보다 얕은 깊이로 벌크 구리의 산화를 제한하는 산화제로서 규정되는, 약 산화제를 사용하여 생성된다. 예시적인 약한 산화제들은 이산화탄소, 아산화질소, 오존, 및 물을 포함한다. 이들 산화제들 중 임의의 하나 이상은 물을 제외하고 플라즈마를 생성하도록 사용될 수도 있다. 일부 실시예들에서, 수증기가 산화 플라즈마 대신 사용된다. 약한 산화 플라즈마는 구리 표면 상의 산화를 방지하기 위해 사용된다. 따라서, 산화제는 산화제가 구리와 반응하지 않지만 유전체 표면 상의 흡착된 실리콘-함유 전구체들과 반응하도록 선택될 수도 있다. 도 4d는 하이드록실-말단 실리콘 기 (411) 가 표면 상에 남고, 이에 따라 실리콘 옥사이드의 두께를 구축하도록 이산화탄소 플라즈마로의 노출 후 기판의 일 예를 도시한다. 산화 동안 차단제들은 물, 이산화탄소, 이산화황, 삼산화황과 같은 다양한 가스들 (413) 을 형성하기 위해 옥사이드와 반응할 수도 있다. 이러한 가스들은 약한 산화제들이고, 따라서 구리 표면을 반드시 산화하지 않을 수도 있다. 그러나, 도 4d에 도시된 바와 같이, 일부 구리는 산화될 수도 있고, 또는 하이드록실-말단 구리 표면을 형성할 수도 있다. 일부 실시예들에서, 일부 구리 차단제들은 표면 상에 남을 수도 있다.
도 3을 다시 참조하면, 동작 (313) 에서, 기판을 하우징하는 챔버는 차단제들과 약한 산화 플라즈마를 반응시키는 것으로부터, 그리고 기판의 표면 상에 흡착된 실리콘-함유 전구체와 약한 산화 플라즈마를 반응시키는 것으로부터 형성된 가스들과 같은, 과잉 부산물들을 제거하기 위해 선택 가능하게 퍼지된다. 퍼지하는 것은 동작 (305) 에 대해 상기 기술된 바와 같이 임의의 하나 이상의 기법들을 사용하여 수행될 수도 있다.
동작 (315) 에서, 기판은 구리 표면을 환원시키기 위해 환원제에 노출된다. 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 가스 형태의 알코올, 또는 가스 형태의 알데하이드일 수도 있다. 예시적인 알코올들은 에탄올 및 이소-프로판올을 포함한다. 사용될 수도 있는 일 예시적인 알데하이드는 포름알데하이드이다. 일부 실시예들에서, 플라즈마는 환원 효율성을 개선하기 위해 가스 대신 사용된다. 일부 실시예들에서, 플라즈마는 수소, 하이드라진, 또는 암모니아 중 하나에 기반하여 생성된 플라즈마를 형성하기 위해 동작 (315) 동안 점화된다. 예를 들어, 일부 실시예들에서, 동작 (315) 은 구리 표면을 환원시키기 위해 수소 플라즈마에 기판을 노출하는 것을 수반한다. 유전체 재료의 후속 증착이 구리 표면 상의 증착 없이 유전체 표면 상에 선택적으로 증착될 수 있도록, 환원제는 구리 표면으로 하여금 구리 표면의 산화의 양을 감소하게 한다. 환원제는 증착된 실리콘 옥사이드 재료가 환원제에 의해 영향을 받지 않도록 선택된다.
일부 실시예들에서, 동작 (315) 은 동작 (303) 을 수행하기 전 수행된다. 일부 실시예들에서, 동작 (315) 은 동작 (303) 을 수행하기 전, 그리고 동작 (311) 을 수행한 후 모두 수행된다. 다양한 실시예들에서, 전후 사용된 환원제들은 상이하다. 일부 실시예들에서, 환원제들은 동일하다.
도 4e는 환원제에 노출 후, 실리콘 옥사이드 (411) 는 표면 상에 남아 있고, 일부 티올들 (415) 은 표면 상에 보충되고, 그리고 도 4d로부터 일부 산화된 구리는 구리 표면을 남기도록 환원된다.
도 3을 다시 참조하면, 동작 (317) 에서, 챔버는 동작 (315) 에서 환원제에 기판을 노출하는 것으로부터 과잉 부산물들을 제거하도록 선택 가능하게 퍼지된다. 다양한 실시예들에서, 이들 부산물들은, 예를 들어 물을 형성하기 위해 산화된 구리와 산화제를 반응시키는 것으로부터 생성물들을 포함한다.
동작 (319) 에서, 실리콘 옥사이드가 목표된 두께로 증착되었는지 여부가 결정된다. 그러면, 증착 프로세스는 종료된다. 그렇지 않으면, 증착은 사이클들로 동작들 (303 내지 317) 을 반복함으로써 재개될 수도 있다. 다양한 실시예들에서, 동작들 (303 및 315) 은 매 사이클들에서 수행된다. 일부 실시예들에서, 동작들 (303 및 315) 중 하나 또는 모두는 매 다른 사이클들에서 수행된다. 그러나, 구리 표면의 산화를 방지하고 실리콘 옥사이드의 선택적인 증착을 허용하도록 실리콘 옥사이드의 증착 동안 구리 표면을 계속 차단하기 위해 매 사이클들에서 동작 (303 및 315) 모두를 수행하는 것이 적합할 수도 있다. 일부 실시예들에서, 사이클 각각은 동일한 화학물질들 및 프로세스 조건들을 사용하는 동일한 동작들을 반복하는 것을 수반할 수도 있다. 일부 실시예들에서, 사이클 각각은 상이한 화학물질들을 사용하는 동작들을 반복하는 것을 수반할 수도 있다. 예를 들어, 일 사이클에서 사용된 환원제는 또 다른 사이클에서 사용된 환원제와 상이할 수도 있다.
도 5는 특정한 개시된 실시예들에 따라 수행된 다양한 동작들의 타이밍 개략도를 제공한다. 도 5에 도시된 프로세스 (500) 가 두 개의 증착 사이클들 (599A 및 599B) 만을 포함하지만, 두 개 이상의 증착들 (그리고 일부 경우들에서, 하나의 증착 사이클만) 이 특정한 개시된 실시예들에서 수행될 수도 있다는 것이 이해될 것이다.
도 5는 캐리어 가스 및/또는 퍼지 가스로서 아르곤의 플로우, 티올 가스 플로우, 실리콘-함유 전구체 가스 플로우, 산소-함유 플라즈마 노출, 및 환원제 가스 플로우와 같은, 다양한 프로세스 파라미터들에 대해, 일 예시적인 증착 프로세스 (500) 의 페이즈들을 도시한다. 예가 증착 기법으로서 산소-함유 플라즈마를 도시하지만, 일부 실시예들에서 산소-함유 가스가 열적으로 증착하기 위해 사용될 수도 있다. 라인들은 플로우가 턴 온 (turn on) 및 턴 오프 (turn off) 될 때 및 플라즈마가 턴 온 및 턴 오프될 때를 나타낸다. 다양한 개시된 실시예들은, 불활성 종 및 반응물 종에 대한 플로우 레이트들, 아르곤, 티올, 실리콘-함유 전구체, 및 환원제 가스들에 대한 플로우 레이트들, 플라즈마 조건들, 기판 온도, 및 프로세스 챔버 압력을 포함하지만, 이에 제한되지는 않는 프로세스 파라미터들에 종속된다.
증착 사이클 (599A) 은 구리 차단제 노출 페이즈 (503A), 실리콘-함유 전구체 노출 페이즈 (507A), 퍼지 페이즈 (509A), 산화 플라즈마 노출 페이즈 (511A), 퍼지 페이즈 (513A), 및 환원제 노출 페이즈 (515A) 를 포함한다. 구리 차단제 노출 페이즈 (503A) 는 도 3의 동작 (303) 에 대응할 수도 있다. 구리 차단제 노출 페이즈 (503A) 동안, 아르곤 플로우는 챔버에 구리 차단제를 가져오기 위해 온되고, 티올 가스 플로우가 온될 수도 있지만, 실리콘-함유 전구체 가스 플로우, 산소-함유 플라즈마, 및 환원제 가스 플로우들은 오프된다. 이 예에 명시되지 않았지만, 티올이 도 3의 동작 (303) 에 대해 상기 기술된 것들과 같은 임의의 적합한 티올일 수도 있다는 것이 이해될 것이다. 구리 차단제 노출 페이즈 (503A) 에 이어서, 실리콘-함유 전구체 노출 페이즈 (507A) 가 수행된다. 이 예에서, 도 3의 퍼지 동작 (305) 이 수행되지 않지만, 다양한 실시예들에서 동작 (305) 은 구리 차단제 노출 페이즈 (503A) 와 실리콘-함유 전구체 노출 페이즈 (507A) 사이에서 수행될 수도 있다는 것이 이해될 것이라는 것에 주의한다. 실리콘-함유 전구체 노출 페이즈 (507A) 는 도 3의 동작 (307) 에 대응할 수도 있다. 실리콘-함유 전구체 노출 페이즈 (507A) 동안, 아르곤 플로우는 실리콘-함유 전구체의 전달을 돕도록 온으로 남을 수도 있지만, 티올 가스 플로우는 오프되고, 실리콘-함유 전구체 플로우는 온되고, 산소-함유 플라즈마는 오프되고, 그리고 환원제 가스 플로우는 오프된다. 퍼지 페이즈 (509A) 에서, 모든 가스 플로우들 및 플라즈마들은, 퍼지 가스로서 작용하는 아르곤 가스 플로우를 제외하고 오프된다. 퍼지 페이즈 (509A) 는 도 3의 동작 (309) 에 대응할 수도 있다. 산화 플라즈마 노출 페이즈 (511A) 에서, 아르곤 플로우는 계속해서 온될 수도 있고, 티올 가스 플로우는 오프되고, 실리콘-함유 전구체 가스 플로우는 오프되고, 산소-함유 플라즈마는 온되고, 그리고 환원제 가스 플로우는 오프된다. 다양한 실시예들에서 산소-함유 플라즈마는 도 3의 동작 (311) 에 대해 상기 기술된 바와 같이 플라즈마에 의해 점화된 임의의 약 산화제이다. 산화 플라즈마 노출 페이즈 (511A) 는 도 3의 동작 (311) 에 대응할 수도 있다. 퍼지 페이즈 (513A) 에서, 아르곤 플로우는 퍼지 가스로서 작용하도록 온되지만, 티올 가스 플로우는 오프되고, 실리콘-함유 전구체 가스 플로우는 오프되고, 산소-함유 플라즈마는 오프되고, 그리고 환원제 가스 플로우는 오프된다. 퍼지 페이즈 (513A) 는 도 3의 동작 (313) 에 대응할 수도 있다. 환원제 노출 페이즈 (515A) 에서, 아르곤 플로우는 환원제의 전달을 돕도록 캐리어 가스로서 작용할 수도 있고, 티올 가스 플로우는 오프되고, 실리콘-함유 전구체 가스 플로우는 오프되고, 산소-함유 플라즈마는 오프되고, 그리고 환원제 가스 플로우는 온된다. 본 명세서에 도시된 예들이 환원제 노출에 대해 열적 반응을 수반하지만, 일부 실시예들에서, 플라즈마가 이 노출 페이즈 동안 점화되는 동안 환원제 가스 플로우는 온된다는 것이 이해될 것이다. 환원제 노출 페이즈 (515A) 는 도 3의 동작 (315) 에 대응할 수도 있다. 이 예에서, 퍼지 동작은 환원제 노출 페이즈 (515A) 후 수행되지 않지만, 일부 실시예들에서, 퍼지 동작이 수행될 수도 있다는 것이 이해될 것이다. 이 예에서, 실리콘 옥사이드가 목표된 두께로 증착되지 않아서, 동작들이 증착 사이클 (599B) 에서 반복된다는 것이 결정된다. 증착 사이클 (599B) 은 아르곤 플로우 및 티올 플로우는 온되지만 실리콘-함유 가스 플로우, 산소-함유 플라즈마, 및 환원제 가스 플로우들은 오프되는, 구리 차단제 노출 페이즈 (503B); 아르곤-함유 가스 플로우 및 실리콘-함유 가스 플로우만이 온되지만 티올 가스 플로우, 산소-함유 플라즈마, 및 환원제 가스 플로우는 오프되는, 실리콘-함유 전구체 노출 페이즈 (507B); 아르곤만이 퍼지 가스로서 흐르는 퍼지 페이즈 (509B); 아르곤 및 산소-함유 플라즈마가 온되지만 티올, 실리콘-함유 전구체 가스, 및 환원제 가스 플로우들은 오프되는, 산화 플라즈마 노출 페이즈 (511B); 아르곤이 퍼지 가스로서 흐르는 퍼지 페이즈 (513B); 및 아르곤 및 환원제 가스 플로우들만이 온되지만 실리콘-함유 전구체 가스, 티올 가스, 및 산소-함유 플라즈마는 오프되는, 환원제 노출 페이즈 (515B) 를 포함한다.
다양한 실시예들에서, 실리콘 옥사이드가 충분한 두께로 증착된 후, 구리 표면은 환원제에 의해 환원될 수도 있고, 구리 표면 상의 임의의 남아 있는 티올들은 아세트 산 린싱 (acetic acid rinse) 에 의해 제거될 수도 있다.
장치
도 6은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (602) 를 갖는 ALD 프로세스 스테이션 (600) 의 일 실시예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (600) 은 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 7은 멀티-스테이션 프로세싱 툴 (700) 의 일 예를 도시한다. 일부 실시예들에서, 이하에 상세하게 논의된 ALD 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (660) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (600) 은 프로세스 가스들을 분배 샤워헤드 (606) 로 전달하기 위해 반응물질 전달 시스템 (601a) 과 유체로 연통한다. 반응물질 전달 시스템 (601a) 은 샤워 헤드 (606) 로의 전달을 위해, 아미노실란 전구체 가스, 또는 약한 산화제 가스 (예를 들어, 이산화탄소), 또는 환원제 (예를 들어, 수소) 가스와 같은 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (604) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (620) 이 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 약한 산화 플라즈마 및/또는 환원제 플라즈마는 또한 샤워헤드 (606) 로 전달될 수도 있거나 ALD 프로세스 스테이션 (600) 에서 생성될 수도 있다.
예로서, 도 6의 실시예는 혼합 용기 (604) 에 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (603) 을 포함한다. 일부 실시예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 다운스트림 (downstream) 전달 배관 내에서 응결될 수도 있다. 응결된 반응물질에 양립 가능하지 않은 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 배관을 막고, 밸브 동작을 방해하고, 기판을 오염시키는, 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 접근법들은 잔여 반응물질을 제거하기 위해 전달 배관을 퍼징 및/또는 배기하는 것을 수반한다. 그러나, 전달 배관의 퍼징은 프로세스 스테이션 사이클 시간을 증가시키고, 프로세스 스테이션 쓰루풋을 열화시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (603) 의 다운스트림 전달 배관은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (604) 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (603) 의 다운스트림 배관은 혼합 용기 (604) 에서 대략 100 ℃ 내지 대략 150 ℃까지 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 실시예에서, 액체 주입기는 액체를 고압에서 저압으로 플래싱 (flashing) 함으로써 반응물질을 기화시킬 수도 있다. 또 다른 예에서, 액체 주입기는 액체를 분산된 마이크로액적들 내로 원자화하고, 이어서 가열된 전달 파이프에서 기화된다. 보다 작은 액적들은 보다 큰 액적들보다 빠르게 기화할 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림의 배관의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 직접 마운팅될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 직접 마운팅될 수도 있다.
일부 실시예들에서, 기화 및 프로세스 스테이션 (600) 으로의 전달을 위해 액체의 질량 유량을 제어하기 위해 기화 지점 (603) 의 업스트림 (upstream) 에 LFC (Liquid Flow Controller) 가 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (Mass Flow Meter) 을 포함할 수도 있다. LFC의 플런저 (plunger) 밸브는 이후 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 피드백 제어를 사용하여 액체 플로우를 안정화하는 것은 1 초 이상이 소요될 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블 (disabling) 함으로써 수행될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 프로세스 가스들을 분배한다. 도 6에 도시된 실시예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고, 페데스탈 (608) 상에 놓인 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 프로세스 가스들을 기판 (612) 에 분배하기 위해 임의의 적합한 수 및 배치의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 을 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 노출시키도록 상승 또는 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (660) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (608) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 활성화 사이클들 동안 가변되게 할 수도 있다. 프로세스 페이즈의 종료시, 페데스탈 (608) 은 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (608) 은 가열기 (610) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (608) 은 약 70 ℃ 내지 약 200 ℃, 또는 약 100 ℃ 내지 약 120 ℃의 온도로 가열될 수도 있다.
또한, 일부 실시예들에서, 프로세스 스테이션 (600) 을 위한 압력 제어가 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시예들에서, 프로세스 스테이션 (600) 의 압력 제어는 프로세스 스테이션 (600) 에 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 또한 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (606) 의 위치는 기판 (612) 과 샤워헤드 (606) 사이의 부피를 가변시키기 위해 페데스탈 (608) 에 대해 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전축을 포함할 수도 있다. 일부 실시예들에서, 이들 예시적인 조정들 중 하나 이상은 하나 이상의 적합한 컴퓨터 제어기들 (660) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (RF) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 작동될 수도 있다. 적합한 전력들의 예들은 약 150 W 내지 약 6000 W이다. 플라즈마는 실리콘 나이트라이드에 대해 실리콘 옥사이드 상에 실리콘 옥사이드의 선택적인 증착 전 실리콘 나이트라이드 표면의 처리 동안 사용될 수도 있다. RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (614) 는 고 주파수 및 저 주파수 RF 전력 소스들을 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있지만, 이에 제한되지는 않는다. 예시적인 고 주파수 RF 주파수들은, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 크거나, 27 ㎒보다 크거나, 40 ㎒보다 크거나, 60 ㎒보다 큰 주파수들을 포함할 수도 있지만, 이에 제한되지는 않는다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하기 위해 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 (in-situ) 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들 (probes)) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (Optical Emission Spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정값들에 기반하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프 (loop) 에서 사용될 수도 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 IR (Infrared) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있지만, 이에 제한되지는 않는다.
일부 실시예들에서, 제어기 (660) 를 위한 인스트럭션들이 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일례에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들이 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈는 순차적으로 배열될 수도 있고, 따라서 프로세스 페이즈에 대한 모든 인스트럭션들이 프로세스 페이즈와 동시에 실행된다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈가 구리 차단제 가스 또는 금속 차단제 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, (아르곤과 같은) 캐리어 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈가 불활성 가스 및/또는 아미노실란 실리콘 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, (아르곤과 같은) 캐리어 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속 레시피 페이즈가 불활성 가스 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈가 약 산화제 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속 레시피 페이즈가 불활성 가스 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 5 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 6 레시피 페이즈가 환원제 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 캐리어 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 6 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들이 개시된 실시예들의 범위 내의 임의의 적합한 방식으로 더 세분화되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다. 일부 실시예들에서, 제어기 (660) 는 도 7의 시스템 제어기 (750) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 인바운드 로드 록 (702) 및 아웃바운드 로드 록 (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 실시예의 개략적인 도면을 도시하고, 이들 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압의 로봇 (706) 은 포드 (pod) (708) 를 통해 로딩된 카세트로부터 대기 포트 (710) 를 통해 인바운드 로드 록 (702) 내로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼가 인바운드 로드 록 (702) 의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 그리고 로드 록은 펌핑 다운된다. 또한, 웨이퍼는 또한 예를 들어, 습기 및 흡착된 가스들을 제거하기 위해 또한 인바운드 로드 록 (702) 내에서 가열될 수도 있다. 다음으로, 프로세싱 챔버 (714) 로 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 은 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 7에 도시된 실시예가 로드 록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션 내로 웨이퍼의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시예에서 1 내지 4로 넘버링된 네 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (718) 에 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD (Plasma-Enhanced ALD) 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (714) 는 ALD 및 PEALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 가 네 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버가 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버가 5 개 이상의 스테이션들을 가질 수도 있지만, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (700) 의 일 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (700) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션들과 로드 록 사이에 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐러셀들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 상태들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 일 실시예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 내 저장되고, 메모리 디바이스 (756) 내로 로딩되고, 그리고 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 내에 하드 코딩될 수도 있다. ASICs (Application Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, “소프트웨어” 또는 “코드”가 사용될 때마다, 기능적으로 비슷한 하드 코딩된 로직이 대신 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스들의 혼합물, 가스 플로우 레이트들, 챔버 압력 및/또는 스테이션 압력, 챔버 온도 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (700) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 (718) 상으로 로딩하고, 기판과 프로세스 툴 (700) 의 다른 부품 사이의 간격을 제어하기 위해 사용되는 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같이 에탄 티올 및 부탄 티올과 같은 구리 차단제 가스들 또는 금속 차단제 가스들, 아미노실란 가스들, 및 약 산화제 가스들, 환원제 가스들, 캐리어 가스들 및/또는 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한, 그리고 선택 가능하게 프로세스 스테이션 내의 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용되는 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 그리고 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비한정적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자들에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 유량 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 써모커플들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 및 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함하여, 장치가 개시된 실시예들에 따른 방법들을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (750) 는, 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들과 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 “제어기”로서 지칭될 수도 있다. 시스템 제어기 (750) 는, 프로세싱 조건들 및/또는 시스템의 유형에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들을 지칭한다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (750) 가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기 (750) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치한 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 위한 적절한 장치가, 2011년 4월 11일에 출원되고, 명칭이 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”인, 미국 특허 출원 번호 제 13/084,399 호 (현재 미국 특허 번호 제 8,728,956 호); 및 2011년 4월 11일에 출원되고, 명칭이 “SILICON NITRIDE FILMS AND METHODS”인, 미국 특허 출원 번호 제 13/084,305 호에 더 논의되고 기술되며, 각각은 전체가 본 명세서에 인용된다.
본 명세서에 기술된 장치/프로세스는, 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시는 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 이하의 동작들의 일부 또는 전부를 포함하고, 동작 각각은 다수의 가능한 툴들로 인에이블된다: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트의 도포; (2) 핫플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴로 가시광 또는 UV 광 또는 x-ray 광에 포토레지스트를 노출; (4) 레지스트를 선택적으로 제거하고 습식 벤치 (bench) 와 같은 툴을 사용하여 패터닝하도록 레지스트를 현상 (developing); (5) 건식 에칭 툴 또는 플라즈마-보조된 에칭 툴을 사용함으로써 레지스트 패턴을 하부 막 또는 워크피스 내로 전사; 및 (6) RF 플라즈마 레지스트 스트립퍼 (stripper) 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거.
실험
실험 1
실리콘 옥사이드 증착 및 환원제 노출의 사이클을 사용하여 실리콘 옥사이드, 구리 두 표면들 상에 SAM24를 사용하여 실리콘 옥사이드의 성장을 평가하기 위해 실리콘 옥사이드의 표면 상에서 그리고 구리의 표면 상에서 별도로 실험이 수행되었다. 실리콘 옥사이드 표면은 이하의 사이클: SAM24의 1 초 도즈, 아르곤 가스를 사용하여 16 초의 퍼지, 10 초의 약 산화제 CO2 및 아르곤 플로우, 50 W 플라즈마를 사용하여 CO2 및 아르곤의 1 초 펄스, 아르곤을 사용하여 16 초의 퍼지, 50 W 플라즈마를 사용하여 생성된 30 초의 환원제 수소 플라즈마 및 아르곤, 및 아르곤을 사용하는 30 초 퍼지의 35 사이클들에 노출되었다. 실험은 사이클 당 실리콘 옥사이드의 1.4 Å의 꾸준한 성장 레이트를 보여준다. 결과들은 1.4 Å/사이클의 동일한 성장 레이트를 보여주는 이전 실험이 SAM24의 1 초 도즈, 아르곤 가스를 사용하여 16 초의 퍼지, 10 초의 약 산화제 CO2 및 아르곤 플로우, 50 W 플라즈마를 사용하여 CO2 및 아르곤의 1 초 펄스, 및 아르곤을 사용하여 16 초의 퍼지의 25 사이클들의 증착 사이클을 수반하여 수행되었기 때문에, 수소 플라즈마/아르곤 플라즈마가 실리콘 옥사이드 증착에 영향을 미치지 않았다는 것을 나타낸다. 구리 표면은 이하의 증착 사이클: SAM24의 1 초 도즈, 아르곤 가스를 사용하여 16 초의 퍼지, 10 초의 약 산화제 CO2 및 아르곤 플로우, 50 W 플라즈마를 사용하여 CO2 및 아르곤의 1 초 펄스, 아르곤을 사용하여 16 초의 퍼지, 50 W 플라즈마를 사용하여 생성된 30 초의 환원제 수소 플라즈마 및 아르곤, 및 아르곤을 사용하여 30 초 퍼지의 30 사이클들이 이어지는 아르곤 플라즈마 환원/수소 플라즈마 환원에 노출되었다. 구리 표면 상에서 보여지는 성장 레이트는 4.3 Å/사이클이었다. 도 8a 및 도 8b (도 8a는 전체 사이클들을 도시하고, 도 8b는 특히 2 사이클들의 확대된 섹션을 도시함) 에 도시된 발생하는 그래프는 수소 플라즈마가 구리 옥사이드를 환원시키지만, 충분하지는 않다는 것을 보여준다. 보여진 보다 높은 성장 레이트는 실리콘 옥사이드 상에 비해 구리 상의 상이한 흡착/핵 생성 거동 (behavior) 으로 인한 것일 수도 있다.
실험 2
티올 노출, 실리콘 옥사이드 증착, 및 환원제 노출의 사이클을 사용하여 실리콘 옥사이드, 구리 두 표면들 상의 SAM24를 사용하여 실리콘 옥사이드의 성장을 평가하기 위해 실리콘 옥사이드의 표면 상에 그리고 구리의 표면 상에 별도로 실험이 수행되었다.
실리콘 옥사이드 표면과 구리 표면 모두 아르곤 플라즈마 전처리/수소 플라즈마 전처리에 노출되었고, 이하 증착 사이클의 100 사이클들이 이어진다:
(1) 부탄 티올의 2 초 도즈
(2) 아르곤 가스를 사용하여 10 초의 퍼지
(3) SAM24의 1 초 도즈
(4) 아르곤 가스를 사용하여 16 초의 퍼지
(5) 10 초의 약 산화제 CO2 및 아르곤 플로우
(6) 50 W 플라즈마를 사용하여 CO2 및 아르곤의 1 초 펄스
(7) 아르곤을 사용하여 16 초의 퍼지
(8) 50 W 플라즈마를 사용하여 생성된 30 초의 환원제 수소 플라즈마 및 아르곤
(9) 아르곤을 사용하여 30 초 퍼지
도 9a 및 도 9b는 전체 사이클들 및 일 단일 사이클에 대한 옥사이드의 두께를 각각 도시하고, 사이클의 기준 라벨들은 상기 증착 사이클에 라벨링된 숫자에 대응한다. 도 9c 및 도 9d는 전체 사이클들 및 2 사이클들에 대한 구리 표면 상의 두께를 각각 도시하고, 사이클에서 기준 라벨들은 상기 증착 사이클에 라벨링된 숫자에 대응한다.
실험은 초기 사이클들에서 실리콘 옥사이드 표면 상에 약간의 로딩 (loading) 효과를 보여주었지만, 이어서 실리콘 옥사이드의 선형 및 꾸준한 성장 레이트가 계속된다. 도 9b에서, (901) 은 증착 사이클에서 기판 표면 상에 흡착하는 SAM24의 효과를 도시한다. 측정된 두께는 또한 도 9d의 원형 부분 (903) 에 나타낸, SAM24의 1 초 도즈 동안 구리 상에 SAM24의 흡착의 명확한 억제를 보여준다. 결과들은 또한 초기 티올 로딩 후 구리 상의 침체된 성장을 보여준다.
표 1은 실리콘 옥사이드 및 구리 표면들 상에서 측정된 실리콘 옥사이드의 두께, 표면 각각 상에 실제로 증착된 실리콘 옥사이드, 및 상대적인 선택도를 요약한다.
Figure pct00005
결론
전술한 실시예들이 이해의 명확성의 목적들을 위해 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변경들 및 수정들이 실시될 수도 있는 것이 명백할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것에 주의해야 한다. 따라서, 본 실시예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시예들은 본 명세서에 주어진 세부사항들로 한정되지 않는다.

Claims (21)

  1. 기판 상의 구리에 대해 유전체 재료 상에 실리콘 옥사이드를 선택적으로 증착하는 방법에 있어서,
    (a) 유전체 재료 및 노출된 구리 금속 표면을 포함하는 기판을 제공하는 단계;
    (b) 실리콘 옥사이드를 증착하기 전, 상기 노출된 구리 금속 표면 상으로 선택적으로 흡착하도록 구리 차단제 (blocking reagent) 에 상기 기판을 노출하는 단계;
    (c) 상기 유전체 재료 상으로 실리콘-함유 전구체를 흡착시키기 위해 상기 실리콘-함유 전구체에 상기 기판을 노출하는 단계;
    (d) 상기 흡착된 실리콘-함유 전구체들을 실리콘 옥사이드로 변환하기 위해 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 상기 기판을 노출하는 단계; 및
    (e) 상기 노출된 구리 금속 표면을 환원시키기 위해 환원제에 상기 기판을 노출하는 단계를 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 구리 차단제는 황을 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 구리 차단제는 알킬 티올인, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  4. 제 3 항에 있어서,
    상기 구리 차단제는 에탄 티올 및 부탄 티올로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 구리 차단제는 화학식 SH(CH2)nCH3을 갖는 알킬 티올이고, 여기에서 n은 2 내지 12 이내의 정수인, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 구리 차단제에 상기 기판을 노출하기 전, 상기 노출된 구리 금속 표면을 환원시키기 위해 제 2 환원제를 도입하는 단계를 더 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  7. 제 6 항에 있어서,
    상기 제 2 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 알코올들, 및 알데하이드들로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 실리콘-함유 전구체는 아미노실란인, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 실리콘-함유 전구체는 N-(디에틸아미노실릴)-N-에틸에탄아민, 비스(디에틸아미노)실란 (BDEAS), 디이소프로필아미노실란 (DiPAS), 디이소부틸아미노실란 (DiBAS), 비스(tert-부틸아미노)실란 (BTBAS), 및 트리스(디메틸아미노)실란 (TDMAS) 으로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 약 산화제는 이산화탄소, 아산화질소, 오존, 이들의 플라즈마들, 및 물로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  11. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 환원제는 수소 가스, 수소 플라즈마, 하이드라진 가스, 하이드라진 플라즈마, 암모니아 가스, 암모니아 플라즈마, 알코올들, 및 알데하이드들로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  12. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    실리콘 옥사이드는 약 70 ℃ 내지 약 200 ℃의 온도에서 증착되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  13. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 유전체 재료는 실리콘 다이옥사이드, 알루미늄 옥사이드, 실리콘 옥시카바이드들, 실리콘 카보나이트라이드들, 및 실리콘 옥시카보나이트라이드들로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  14. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    2 이상의 사이클들로 상기 단계 (b) 내지 상기 단계 (e) 를 반복하는 단계를 더 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  15. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 단계 (c) 는 자기-제한인, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  16. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 구리 차단제는 상기 노출된 구리 금속 표면 상에 상기 실리콘 옥사이드의 후속 증착을 차단하기 위해 상기 노출된 구리 금속 표면에 우선적으로 흡착되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  17. 기판 상의 금속-함유 표면에 대해 유전체 재료 상에 실리콘 옥사이드를 선택적으로 증착하는 방법에 있어서,
    (a) 유전체 재료 및 노출된 금속-함유 표면을 포함하는 기판을 제공하는 단계;
    (b) 실리콘 옥사이드를 증착하기 전, 상기 노출된 금속-함유 표면 상으로 선택적으로 흡착하도록 금속 차단제에 상기 기판을 노출하는 단계;
    (c) 상기 유전체 재료 상으로 실리콘-함유 전구체를 흡착시키기 위해 상기 실리콘-함유 전구체에 상기 기판을 노출하는 단계;
    (d) 상기 흡착된 실리콘-함유 전구체들을 실리콘 옥사이드로 변환하기 위해 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 상기 기판을 노출하는 단계; 및
    (e) 상기 노출된 금속-함유 표면을 환원시키기 위해 환원제에 상기 기판을 노출하는 단계를 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  18. 제 17 항에 있어서,
    상기 노출된 금속-함유 표면은 구리를 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  19. 제 17 항에 있어서,
    상기 노출된 금속-함유 표면은 루테늄을 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  20. 제 17 항에 있어서,
    상기 노출된 금속-함유 표면은 구리 금속, 구리 옥사이드, 루테늄 금속, 및 루테늄 옥사이드로 구성된 그룹으로부터 선택된 재료를 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  21. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    반도체 기판을 홀딩하기 위한 페데스탈 (pedestal) 을 포함하는 적어도 하나의 프로세스 챔버;
    진공에 커플링하기 위한 적어도 하나의 유출구;
    플라즈마 생성기;
    하나 이상의 가스 소스들 (sources) 에 커플링된 하나 이상의 프로세스 가스 유입구들; 및
    장치 내에서 동작들을 제어하기 위한 제어기로서,
    실리콘 옥사이드의 증착을 유발하기 전, 노출된 구리 금속 표면 상으로 선택적으로 흡착하도록 구리 차단제에 상기 반도체 기판의 노출을 유발하고,
    상기 반도체 기판 상의 유전체 재료 상에 실리콘-함유 전구체를 흡착시키기 위해 상기 실리콘-함유 전구체에 상기 기판의 노출을 유발하고,
    실리콘 옥사이드를 증착하기 위해 흡착된 실리콘-함유 전구체들을 변환하도록 약 산화제를 포함하는 분위기에서 생성된 산화 플라즈마에 상기 기판의 노출을 유발하고, 그리고
    상기 노출된 구리 금속 표면을 환원시키기 위해 환원제에 상기 기판의 노출을 유발하기 위한 머신-판독 가능한 인스트럭션들을 포함하는, 상기 제어기를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
KR1020207017847A 2017-11-22 2018-11-21 구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장 KR20200079343A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/821,590 US10460930B2 (en) 2017-11-22 2017-11-22 Selective growth of SiO2 on dielectric surfaces in the presence of copper
US15/821,590 2017-11-22
PCT/US2018/062301 WO2019104209A1 (en) 2017-11-22 2018-11-21 Selective growth of sio2 on dielectric surfaces in the presence of copper

Publications (1)

Publication Number Publication Date
KR20200079343A true KR20200079343A (ko) 2020-07-02

Family

ID=66532566

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207017847A KR20200079343A (ko) 2017-11-22 2018-11-21 구리의 존재 하에 유전체 표면들 상의 SiO2의 선택적인 성장

Country Status (5)

Country Link
US (2) US10460930B2 (ko)
JP (1) JP2021504947A (ko)
KR (1) KR20200079343A (ko)
CN (1) CN111373507A (ko)
WO (1) WO2019104209A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023163466A1 (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10950426B2 (en) 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof
CN113316836B (zh) * 2019-03-20 2024-04-09 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
CN112018078B (zh) * 2020-07-29 2022-10-25 复旦大学 一种铜互连结构及其制作方法
CN112151504B (zh) * 2020-08-17 2022-04-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
US20230416911A1 (en) * 2020-11-16 2023-12-28 Versum Materials Us, Llc Selective deposition of silicon and oxygen containing dielectric film on dielectrics
JP2023553386A (ja) * 2020-12-01 2023-12-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 選択的プラズマ強化原子層堆積
US20220220132A1 (en) * 2020-12-29 2022-07-14 American Air Liquide, Inc. Organosilane precursors for ald/cvd/sod of silicon-containing film applications
CN116917535A (zh) * 2021-03-02 2023-10-20 弗萨姆材料美国有限责任公司 硅介电膜的选择性淀积
US11942426B2 (en) * 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
US11990369B2 (en) * 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition
JP2023090251A (ja) * 2021-12-17 2023-06-29 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
WO2023172736A1 (en) * 2022-03-11 2023-09-14 Lam Research Corporation Methods of selective deposition and chemical delivery systems

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
CN1332451C (zh) 2001-09-12 2007-08-15 日本电气株式会社 半导体器件及其制造方法
JP4142941B2 (ja) * 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) * 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) * 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) * 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
EP2257561B1 (en) 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5408483B2 (ja) * 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
EP2675560B1 (en) 2011-02-14 2019-08-28 Dionex Corporation Liquid chromatographic column including a ligand comprising a polyhedral oligomeric silsequioxane moiety, and liquid chromatografic method using the column
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US11626279B2 (en) * 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR20150036122A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
CN105474359B (zh) * 2013-06-27 2019-04-12 英特尔公司 以非光刻方式图案化的定向自组装对准促进层
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
EP3134479A1 (en) 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
TW201619428A (zh) * 2014-08-20 2016-06-01 蘭姆研究公司 藉由水解及縮合的低介電常數氧化物沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
MY188715A (en) * 2014-09-26 2021-12-25 Intel Corp Selective gate spacers for semiconductor devices
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
TWI694167B (zh) * 2015-05-01 2020-05-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
CN114121605A (zh) * 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
JP3208344U (ja) * 2015-11-16 2017-01-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低蒸気圧のエアゾールに支援されるcvd
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023163466A1 (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법

Also Published As

Publication number Publication date
US20190157076A1 (en) 2019-05-23
CN111373507A (zh) 2020-07-03
WO2019104209A1 (en) 2019-05-31
US20200013615A1 (en) 2020-01-09
US10825679B2 (en) 2020-11-03
US10460930B2 (en) 2019-10-29
JP2021504947A (ja) 2021-02-15

Similar Documents

Publication Publication Date Title
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
KR20200118504A (ko) 가수분해를 사용한 선택적인 증착
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right