CN111373507A - SiO2在铜存在下在电介质表面上的选择性生长 - Google Patents

SiO2在铜存在下在电介质表面上的选择性生长 Download PDF

Info

Publication number
CN111373507A
CN111373507A CN201880075646.2A CN201880075646A CN111373507A CN 111373507 A CN111373507 A CN 111373507A CN 201880075646 A CN201880075646 A CN 201880075646A CN 111373507 A CN111373507 A CN 111373507A
Authority
CN
China
Prior art keywords
silicon
substrate
copper
plasma
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880075646.2A
Other languages
English (en)
Inventor
丹尼斯·M·豪斯曼
亚力山大·R·福克斯
科琳·劳勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111373507A publication Critical patent/CN111373507A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了用于相对于诸如铜之类的含金属表面在电介质表面上选择性地沉积氧化硅的方法和设备。所述方法包括使具有电介质表面和铜表面的衬底暴露于铜封闭剂(如烷基硫醇)以使其选择性吸附到铜表面上,使衬底暴露于含硅前体以沉积氧化硅,使衬底暴露于弱氧化剂气体并点燃等离子体以将吸附的含硅前体转化以形成氧化硅,然后使衬底暴露于还原剂以还原暴露于弱氧化剂气体的任何氧化的铜。

Description

SiO2在铜存在下在电介质表面上的选择性生长
相关申请的交叉引用
本申请要求2017年11月22日提交的名称为“SELECTIVE GROWTH OF SIO2 ONDIELECTRIC SURFACES IN THE PRESENCE OF COPPER,”的美国专利申请No.15/821,590的权益,该专利申请通过引用将其全部内容并入本文并用于所有目的。
背景技术
半导体器件的制造包括微处理器、逻辑和存储器器件的制造。可以使用多种技术来制造这样的器件,所述技术包括自对准图案,诸如双图案或四图案,间隙填充处理和其他技术。一些处理涉及形成包含氧化硅和金属(例如铜)的结构。形成这种结构的常规技术可能受到限制。
发明内容
本文提供用于处理半导体衬底的方法和设备。一个方面涉及一种相对于衬底上的铜在介电材料上选择性地沉积氧化硅的方法,该方法包括:(a)提供包含所述介电材料和暴露的铜金属表面的所述衬底;(b)在沉积所述氧化硅之前,使所述衬底暴露于铜封闭剂以使其选择性地吸附到所述暴露的铜金属表面上;(c)使所述衬底暴露于含硅前体,以使所述含硅前体吸附到所述介电材料上;(d)使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所述吸附的含硅前体转化为氧化硅;以及(e)使所述衬底暴露于还原剂以还原所述暴露的铜金属表面。
在一些实施方案中,铜封闭剂包含硫。
在一些实施方案中,铜封闭剂是烷基硫醇。例如,在一些实施方案中,铜封闭剂是乙烷硫醇或丁烷硫醇中的任一种。
在多种实施方案中,铜封闭剂为具有化学式SH(CH2)nCH3的烷基硫醇,其中n是介于2和12之间并且包含2和12的整数。在一些实施方案中,铜封闭剂为具有化学式SH(CH2)nCH3的烷基硫醇,其中n是介于2和6之间并且包含2和6的整数。在一些实施方案中,铜封闭剂具有化学式SH(CH2)2CH3。在一些实施方案中,铜封闭剂具有化学式SH(CH2)8CH3。在一些实施方案中,铜封闭剂具有化学式SH(CH2)12CH3
在一些实施方案中,含硅前体是氨基硅烷。例如,含硅前体可以是N-(二乙基氨基甲硅烷基)-N-乙基乙胺、双(二乙基氨基)硅烷(BDEAS)、二异丙基氨基硅烷(DiPAS)、二异丁基氨基硅烷(DiBAS)、双(叔丁基氨基)硅烷(BTBAS)和三(二甲基氨基)硅烷(TDMAS)中的任一种。
在一些实施方案中,弱氧化剂是二氧化碳、一氧化二氮、臭氧、其等离子体和水中的任一种。在一些实施方案中,还原剂是氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、醇和醛中的任一种。在一些实施方案中,介电材料是二氧化硅、氧化铝、碳氧化硅、碳氮化硅和氧碳氮化硅中的任一种。
在多种实施方案中,在介于约70℃和约200℃之间的温度下沉积氧化硅。
该方法还可包括在将衬底暴露于铜封闭剂之前,引入第二还原剂以还原暴露的铜金属表面。第二还原剂可以是氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、醇和醛中的任一种。
该方法还可包括在两个或更多个循环中重复操作(b)-(e)。在一些实施方案中,(c)是自限制的。在多种实施方案中,铜封闭剂优选地吸附到暴露的铜金属表面上,以阻止氧化硅随后沉积在暴露的铜金属表面上。
另一个方面涉及一种相对于衬底上的含金属表面在介电材料上选择性地沉积氧化硅的方法,该方法包括:(a)提供包含所述介电材料和暴露的含金属表面的所述衬底;(b)在沉积所述氧化硅之前,使所述衬底暴露于金属封闭剂以使其选择性地吸附到所述暴露的含金属表面上;(c)使所述衬底暴露于含硅前体,以使所述含硅前体吸附到所述介电材料上;(d)使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所吸附的所述含硅前体转化为氧化硅;以及(e)使所述衬底暴露于还原剂以还原所述暴露的含金属表面。
在多种实施方案中,暴露的含金属表面包含铜。在一些实施方案中,暴露的含金属表面包含钌。
在一些实施方案中,暴露的含金属表面包含铜金属、氧化铜、钌金属和氧化钌中的任一种。
在一些实施方案中,金属封闭剂是烷基硫醇。例如,在一些实施方案中,金属封闭剂是乙烷硫醇或丁烷硫醇中的任一种。
在多种实施方案中,金属封闭剂为具有化学式SH(CH2)nCH3的烷基硫醇,其中n是介于2和12之间并且包含2和12的整数。
在一些实施方案中,含硅前体是氨基硅烷。例如,含硅前体可以是N-(二乙基氨基甲硅烷基)-N-乙基乙胺、双(二乙基氨基)硅烷(BDEAS)、二异丙基氨基硅烷(DiPAS)、二异丁基氨基硅烷(DiBAS)、双(叔丁基氨基)硅烷(BTBAS)和三(二甲基氨基)硅烷(TDMAS)中的任一种。
在一些实施方案中,弱氧化剂是二氧化碳、一氧化二氮、臭氧、其等离子体和水中的任一种。在一些实施方案中,还原剂是氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、醇和醛中的任一种。在一些实施方案中,介电材料是二氧化硅、氧化铝、碳氧化硅、碳氮化硅和氧碳氮化硅中的任一种。
在多种实施方案中,在介于约70℃和约200℃之间的温度下沉积氧化硅。
该方法还可包括在将衬底暴露于金属封闭剂之前,引入第二还原剂以还原所述暴露的含金属表面。第二还原剂可以是氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、醇和醛中的任一种。
该方法还可包括在两个或更多个循环中重复操作(b)-(e)。在一些实施方案中,(c)是自限制的。在多种实施方案中,金属封闭剂优选地吸附到暴露的含金属表面上,以阻止氧化硅随后沉积在暴露的含金属表面上。
另一方面涉及一种用于处理半导体衬底的设备,所述设备包括:至少一个处理室,所述处理室包括用于保持半导体衬底的基座;用于耦合至真空的至少一个出口;等离子体发生器;耦合至一个或多个气体源的一个或多个处理气体入口;和用于控制所述设备中的操作的控制器,其包括机器可读指令,所述机器可读指令用于:在使氧化硅沉积之前,使所述半导体衬底暴露于铜封闭剂以选择性地吸附到暴露的铜金属表面上;使所述衬底暴露于含硅前体以将所述含硅前体吸附到所述半导体衬底上的介电材料上;使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所吸附的所述含硅前体转化以沉积氧化硅;以及使所述衬底暴露于还原剂以还原所述暴露的铜金属表面。
另一方面涉及一种用于处理半导体衬底的设备,所述设备包括:至少一个处理室,所述处理室包括用于保持半导体衬底的基座;用于耦合至真空的至少一个出口;等离子体发生器;耦合至一个或多个气体源的一个或多个处理气体入口;和用于控制所述设备中的操作的控制器,其包括机器可读指令,所述机器可读指令用于:在使氧化硅沉积之前,使所述半导体衬底暴露于金属封闭剂以选择性地吸附到暴露的含金属表面上;使所述衬底暴露于含硅前体以将所述含硅前体吸附到所述介电材料上;使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所吸附的所述含硅前体转化以沉积氧化硅;以及使所述衬底暴露于还原剂以还原所述暴露的含金属表面。
这些和其它方面将结合附图在下文进一步描述。
附图说明
图1A-1E是经历用于在通孔中沉积金属的工艺的衬底的示意图。
图2A-2F是经历用于使用电介质上的选择性电介质沉积来形成完全对准的通孔的工艺的衬底的示意图。
图3是描绘用于执行根据某些公开的实施方案的方法的操作的工艺流程图。
图4A-4E是根据某些公开的实施方案的用于选择性沉积介电材料的机制的示例的示意图。
图5是示出在根据某些公开的实施方案的方法中的循环的示例的时序图。
图6是用于执行公开的实施方案的示例性处理室的示意图。
图7是用于执行公开的实施方案的示例性处理工具的示意图。
图8A、8B、9A、9B、9C和9D显示了沉积在氧化硅和铜表面上的膜厚度的实验结果。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所述实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方案。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。虽然将结合具体的实施方案描述所公开的实施方案,但是应理解的是并不意在限制所公开的实施方案。
半导体制造工艺通常涉及以图案化方案沉积和蚀刻各种材料以形成特定类型的半导体器件。例如,图案化方案可以用于制造静态随机存取存储器(SRAM)单元。但是,随着器件的缩小,过程的误差容限变得越来越小,尤其是对于在金属互连件上方和下方的金属沟槽之间制造该金属互连件而言。此外,尽管可以使用多种图案和/或极紫外光刻技术来制造具有低关键尺寸的小型器件,但是这些技术尚不能制造从10nm技术节点到5-7nm技术节点的密集电路。因此,结果,在常规技术中,当一层与前一层未对准时,几纳米的对准差异成为挑战。
在图1A-1E中提供了一个示例。这些图描绘了用于在互连件上形成通孔的常规工艺,这导致了所谓的“未着陆通孔”,其中,由于在通孔中沉积金属之前掩模形成过程中的未对准,因而沉积在通孔中的材料未与先前的互连层对准。
图1A示出了具有金属线103a和103b的衬底101以及在具有金属线103a和103b的衬底101上沉积的蚀刻停止层105a。蚀刻停止层105a可以是氮化硅层。在图1B中,图1A的蚀刻停止层105a被图案化以形成图案化的蚀刻停止层105b。该蚀刻处理暴露了金属线103a的表面,但继续掩蔽金属线103b。在图1C中,诸如氧化硅之类的介电材料107a沉积在具有金属线103a和图案化的蚀刻停止层105b的衬底101上。在图1D中,使用常规技术蚀刻图1C的介电材料107a以形成包含通孔109的经蚀刻的介电层107b。为了形成通孔109,常规技术涉及在衬底上形成掩模,使用诸如光刻技术之类的蚀刻技术将掩模图案化,以及将介电材料蚀刻成掩模的图案。然而,由于晶片转移和对准处理以及蚀刻处理可能不总是始终精确将掩模对准在金属线103a上,所以通孔109会如图1D所示未对准金属线103a。在较大的关键尺寸特征中,并且对于较大的器件的制造,这种未对准可能不是问题,但是在两条金属线103a和103b之间的距离处于纳米级的情况下,通孔109的未对准也会导致通孔109太靠近相邻的金属线103b对准,这可能引起短路或其他器件问题。此外,难以采用常规处理在通孔109中获得竖直轮廓。
在图1E中,用金属填充通孔109以连接到金属线103a上。然而,由于通孔109的未对准,沉积到通孔109中的金属可渗透到衬底材料101中,从而导致形成所谓的“牙”或“虎齿”缺陷111。牙111处的覆盖沉积可能导致器件问题。例如,这种未对准会导致通孔金属短路,从而导致高电阻和较差的时间相关的电介质击穿(TDDB)寿命。
期望形成完全对准的通孔。在图2A-2F中提供了一个示例。在图2A中,电介质衬底201包括铜通孔203a和203b。在图2B中,介电材料213相对于铜通孔203a和203b的暴露的铜表面选择性地沉积在电介质201表面上。这种介电材料213可与超低k(ULK)电介质具有蚀刻反差(etch contrast)。在图2C中,毯式ULK介电材料211沉积在包括介电材料213以及铜通孔203a和203b的衬底上。在图2D中,毯式ULK介电材料211被蚀刻以形成通孔215。在图2E中,毯式ULK介电材料被进一步蚀刻以形成经蚀刻的ULK介电材料231。由于选择性沉积的介电材料213对ULK介电材料231具有蚀刻选择性,所以通孔235是完全自对准的。在图2F中,将铜填充到通孔中以形成双镶嵌结构。
例如,一种可能的图案化方案可涉及通过CMP平坦化的双镶嵌结构,以暴露通孔中的金属,然后相对于通孔中的金属在介电材料上选择性地沉积介电材料,其中电介质与超低k(ULK)介电层具有蚀刻反差,接着在衬底上形成毯式ULK介电材料,然后进行通孔蚀刻和线沟槽蚀刻,其中蚀刻反差提供自对准,然后进行双重镶嵌金属填充。
然而,用于在介电材料上相对于铜材料选择性地沉积氧化硅的常规技术受到限制。例如,虽然可以通过热反应使用含氯的前体沉积氧化硅,但氯也可能会蚀刻铜表面。此外,如果利用使用氧(O2)气产生的等离子体进行基于等离子体的反应,则氧气的强氧化等离子体将氧化暴露的铜表面。因此,需要相对于铜在介电材料上选择性地沉积电介质的技术以实现完全对准的通孔的制造。尽管存在使用水作为反应物来沉积金属氧化物(例如氧化铝、氧化铪和氧化锆)的技术,但这些技术对具有高介电常数的电介质可能具有选择性。然而,这样的技术不适合于选择性地沉积氧化硅。
本文提供相对于铜、氧化铜、钌和/或氧化钌在介电材料上选择性地沉积介电材料的方法。例如,公开的实施方案可能涉及在氧化硅(SiO2)、氧化铝(Al2O3)、碳氧化硅、碳氮化硅和氧碳氮化硅上沉积氧化硅(例如SiO2)。碳氧化硅的非限制性示例是具有化学式SiOxCy的碳氧化硅,其中2x+4y=4(x和y不必为整数)。碳氮化硅的非限制性示例是具有化学式SiCaNb的碳氮化硅,其中4a+3b=4(x和y不必为整数)。氧碳氮化硅的非限制性示例是具有化学式SiOiCjNk的氧碳氮化硅,其中2i+4j+3k=4。
某些公开的实施方案涉及利用羟基封端的氧化硅的反应性与被还原的铜的反应性之间的反应性差异,以使得能通过使用对铜封闭剂(例如硫醇)的暴露来进行选择性沉积,所述铜封闭剂优选吸附到还原的铜表面上,并且阻止随后在铜表面上的沉积。某些公开的实施方案可能特别适合于相对于铜表面在电介质上选择性地沉积电介质,以在例如以上结合图2A-2F所述的图案化方案中形成完全对准的通孔。
本文描述的技术涉及热原子层沉积(ALD)和/或等离子体增强的原子层沉积(PEALD)。也就是说,在多种实施方案中,进行含硅前体与氧化剂之间的反应以形成氧化硅。
ALD是一种使用顺序自限制反应沉积薄层材料的技术。通常,ALD循环包括以下操作:输送和吸附至少一种反应物到衬底表面上,然后使被吸附的反应物与一种或多种反应物反应,以形成部分的膜层。举例而言,氧化硅沉积循环可包括以下的操作:(i)输送/吸附含硅前体,(ii)清扫室中的含硅前体,(iii)输送含氧反应物或含氧气体,和(iv)清扫室中的含氧反应物。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应以逐层地沉积膜。在ALD工艺的一个示例中,包含表面活性位点群的衬底表面暴露于按剂量提供到容纳衬底的室的气相分布的第一前体,如含硅前体。该第一前体的分子被吸附在衬底表面,其包含第一前体的化学吸附物质和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的吸附层可包含含硅前体以及含硅前体的衍生物。在第一前体投配之后,接着将室排空,以去除气相中剩余的第一前体的绝大部分或全部,使得主要或仅仅所吸附的物质保留。在一些实现方式中,室可以不完全排空。例如,室可以排空到使得在气相中的第一前体的局部压强足够低,以减缓反应。将第二反应物(例如含氧反应物)引入到室,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二反应物与所吸附的第一前体立即反应。然后可将室再次排空以去除未结合的第二反应物分子。如上所述,在一些实施方案中,室可以不被完全排空。可使用附加的ALD循环以构建膜厚度。
在某些实施方案中,ALD第一前体的剂量部分地在衬底的表面饱和。在一些实施方案中,在使前体接触衬底以均匀地在表面饱和之前,结束ALD循环的投配阶段。典型地,在这时将前体流关断或转移,并且仅仅清扫气体流动。通过在这种亚饱和状态下工作,ALD工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限的,因此被吸附的前体浓度在整个衬底表面会略有变化。在亚饱和状态下操作的ALD处理的示例在2013年10月23日提交的、名称为“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILMDEPOSITION,”的美国专利申请No.14/061,587(现在的美国专利No.9,355,839)中被提供,该专利文件通过引用整体并入本发明。
如上文所述,在一些实现方式中,所述ALD方法包括等离子体活化。如本文所述,本文所述的ALD方法和设备可以是共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956)和2011年4月11日提交的名称为“SILICON NITRIDEFILMS AND METHODS”的美国专利申请No.13/084,305中,这些专利文件通过引用整体并入本文。
图3提供了描述根据某些公开的实施方案执行的方法中的操作的工艺流程图。本文所述的实施方案可以在介于约70℃和约200℃之间的温度下执行,例如在介于约100℃至约150℃之间的温度下执行。在操作301中,提供具有暴露的铜表面和暴露的电介质表面的衬底。衬底可以是硅晶片,例如200mm晶片、300毫米晶片或450毫米晶片,包含上面沉积有一层或多层材料(例如介电材料、导电材料或半导电材料)的晶片。底层的非限制性示例包括介电层和导电层,例如,氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物和金属层。
在多种实施方案中,衬底包括介电材料和填充有金属的通孔(例如互连线)。在多种实施方案中,介电材料可以是含硅材料,例如氧化硅。在多种实施方案中,介电材料可以是ULK电介质。在一些实施方案中,介电材料可以是半导体材料而不是介电材料。在多种实施方案中,介电层包含羟基封端的氧化硅。
在多种实施方案中,通孔填充有铜。在一些实施方案中,通孔填充有氧化铜、钌和/或氧化钌。在一些实施方案中,使用对还原剂(例如氢或肼)的暴露来还原铜,以准备用于后续操作的服务。
图4A是具有暴露的氧化硅表面401和暴露的铜表面403的示例性衬底的示意图。
在操作303中,将衬底暴露于铜封闭剂以与暴露的铜表面选择性地反应。在多种实施方案中,铜封闭剂是硫醇。在一些实施方案中,铜封闭剂是具有以下结构的烷基硫醇:
Figure BDA0002503569720000091
其中,n是介于2和12之间并且包括2和12的整数,或介于2和6之间并且包括2和6的整数。例如,在一些实施方案中,n可以是8。在一些实施方案中,n可以是12。示例性硫醇包括烷基硫醇,例如乙烷硫醇和丁烷硫醇,如下所描绘的:
Figure BDA0002503569720000101
选择铜封闭剂以与铜和氧化铜表面反应,但不与电介质表面(例如羟基封端的氧化硅表面)反应。例如,硫醇包含可与铜表面上的铜反应的硫原子,其中铜预先用还原剂还原。由于一些铜表面在形成氧化铜的表面上具有天然氧化物,因此所选择的硫醇包含与氧化铜反应以形成水和硫醇盐的硫原子。
被封闭的铜表面既是非易失性的又是空间拥挤的。在一些实施方案中,不使用甲烷硫醇,因为它可能不足够庞大以防止铜表面氧化。在一些实施方案中,可以不使用辛烷硫醇,因为它们包含长尾巴以足够庞大以防止铜表面的氧化,但是不能紧密堆积以吸附到大部分暴露的铜表面上。在多种实施方案中,烷基链是仅具有氢原子而没有其他非氢取代基的碳链。
图4B是具有暴露的氧化硅表面401的示例性衬底的示意图,其不与作为铜封闭剂的丁烷硫醇反应,而图4A的暴露的铜表面403与丁烷硫醇反应以形成封闭表面405。
返回图3,在操作305中,可以任选地清扫容纳衬底的室,以去除不与暴露的铜反应的过量的铜封闭剂。清扫室可涉及使清扫气体或打扫气体流动,清扫气体或打扫气体可以是用于其它操作的载气,或者可以是不同的气体。在一些实施方案中,清扫可涉及排空室。示例性的清扫气体包括氩气、氮气、氢气和氦气。在一些实施方案中,操作305可以包括用于排空处理室的一个或多个排空子阶段。替代地,应理解,在一些实施方案中可以省略操作305。操作305可以具有任何合适的持续时间,诸如介于约0秒和约60秒之间的持续时间,例如约0.01秒的持续时间。在一些实施方案中,增加一种或多种清扫气体的流率可以减少操作305的持续时间。例如,可以根据各种反应物热力学特性和/或处理室和/或处理室管道的几何特性来调节清扫气体流率,以改变操作305的持续时间。在一个非限制性示例中,清扫阶段的持续时间可以通过调节清扫气体流率来调节。这可以减少沉积循环时间,从而可以提高衬底的吞吐量。在清扫之后,铜封闭剂保持吸附在铜表面上。
在操作307中,将衬底暴露于含硅前体。在多种实施方案中,含硅前体是氨基硅烷。氨基硅烷包含至少一个与硅原子键合的氮原子,但是也可以包含氢、氧、卤素和碳。氨基硅烷的示例是单、二、三和四氨基硅烷(分别为H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及经取代的单、二、三和四氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷基胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3)等,氨基硅烷的另一示例是三甲硅烷基胺(N(SiH3))。
在一些实施方案中,含硅前体是具有以下通式结构的烷基氨基硅烷:
Figure BDA0002503569720000111
其中R1、R2、R3和R4中的至少一个包含伯氨基或仲氨基。在一些实施方案中,取代基R1、R2、R3和R4中的1-3个为氢原子。例如,在一些实施方案中,含硅前体是具有以下结构的SAM24(N-(二乙基氨基甲硅烷基)-N-乙基乙胺):
Figure BDA0002503569720000112
含硅前体是氨基硅烷。示例性的含硅前体包括双(二乙基氨基)硅烷(BDEAS)、二异丙基氨基硅烷(DiPAS)、二异丁基氨基硅烷(DiBAS)、双(叔丁基氨基)硅烷(BTBAS)和三(二甲基氨基)硅烷(TDMAS)。含硅前体在衬底的表面上形成硅酰胺,如图4C中以酰胺407所示。在一些实施方案中,在操作307期间一些羟基封端的基团405可保留在表面上。注意,考虑到被封闭的铜表面409,含硅前体不吸附到铜表面上。
操作307可以是原子层沉积(ALD)循环的一部分。如上文所述,通常ALD循环是用于执行一次表面沉积反应的最小操作集。在一些实施方案中,一个循环的结果是在衬底表面上产生至少部分的氧化硅膜层。该循环可以包括某些辅助操作,例如清扫反应物或副产物中的一种和/或处理所沉积的部分膜。通常,一个循环包含操作的唯一序列的一个示例。如上所述,通常,一个循环是用于执行一次表面沉积反应的最小操作集。一个循环的结果是在衬底表面上产生至少部分膜层,例如部分氮化硅膜层。
在操作307期间,将衬底暴露于含硅前体,使得含硅前体被吸附到衬底表面上以形成被吸附的层。在一些实施方案中,氨基硅烷前体以自限性方式吸附到衬底表面上,使得一旦活性位点被氨基硅烷前体占据,几乎没有或没有额外的氨基硅烷前体将被吸附在衬底表面上。例如,氨基硅烷前体可以被吸附到衬底表面的约60%上。在多种实施方案中,当氨基硅烷前体流入室时,氨基硅烷前体吸附到衬底表面的活性位点上,从而在表面上形成氨基硅烷前体的薄层。在多种实施方案中,该层可以小于单层,并且可以具有介于约
Figure BDA0002503569720000121
和约
Figure BDA0002503569720000122
之间的厚度。本文提供的方法可以在约70℃至约200℃的温度下执行,例如在约100℃至约150℃之间的温度下执行。
返回图3,在操作309中,可以使用如上关于操作305所述的任一种或多种技术来任选地清扫容纳衬底的室。
在操作311中,将衬底暴露于温和的氧化等离子体。温和的氧化等离子体使用弱氧化剂产生,弱氧化剂定义为将主体铜的氧化限制在小于表面氧化深度
Figure BDA0002503569720000123
的氧化剂。示例性的温和氧化剂包括二氧化碳、一氧化二氮、臭氧和水。除水之外,这些氧化剂中的任何一种或多种都可以用于产生的等离子体。在一些实施方案中,使用水蒸气代替氧化等离子体。使用温和的氧化等离子体来防止铜表面上的氧化。因此,可以选择氧化剂使得它与铜不反应,但是与电介质表面上吸附的含硅前体反应。图4D示出了暴露于二氧化碳等离子体之后的衬底的示例,使得羟基封端的硅基411保留在表面上,从而构建了氧化硅的厚度。在氧化期间,封闭剂可以与氧化物反应以形成多种气体413,例如水、二氧化碳、二氧化硫、三氧化硫。这样的气体是温和的氧化剂,因此不一定会氧化铜表面。但是,如图4D所示,某些铜可能会被氧化或可能形成羟基封端的铜表面。在一些实施方案中,一些铜封闭剂可以保留在表面上。
返回图3,在操作313中,任选地清扫容纳衬底的室,以除去多余的副产物,所述副产物例如由温和的氧化等离子体与封闭剂反应以及由温和的氧化等离子体与吸附在衬底表面上的含硅前体反应形成的气体。可以使用上文关于操作305所述的技术中的任一种或多种来执行清扫。
在操作315中,将衬底暴露于还原剂以还原铜表面。还原剂可以是氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、气体形式的醇或气体形式的醛。示例性的醇包括乙醇和异丙醇。可以使用的一种示例性的醛是甲醛。在一些实施方案中,使用等离子体代替气体以提高还原效率。在一些实施方案中,在操作315期间点燃等离子体以形成基于氢、肼或氨中的一种产生的等离子体。例如,在一些实施方案中,操作315涉及将衬底暴露于氢等离子体以还原铜表面。还原剂使得铜表面能减少铜表面的氧化量,从而后续沉积的介电材料可以选择性地沉积在电介质表面上,而不沉积在铜表面上。选择还原剂使得沉积的氧化硅材料不受还原剂影响。
在一些实施方案中,在执行操作303之前执行操作315。在一些实施方案中,在执行操作303之前并且在执行操作311之后执行操作315。在多种实施方案中,之前和之后使用的还原剂是不同的。在一些实施方案中,这些还原剂是相同的。
图4E示出了示例性衬底,由此,在暴露于还原剂之后,氧化硅411保留在表面上,并且一些硫醇415被补充在表面上,并且来自图4D的一些氧化的铜被还原以留下铜表面。
返回图3,在操作317中,任选地清扫室,以除去在操作315中将衬底暴露于还原剂中而产生的过量副产物。在多种实施方案中,这些副产物包含使还原剂与氧化铜反应而形成的产物,例如水。
在操作319中,确定氧化硅是否沉积到期望的厚度。如果是这样,则沉积过程结束。如果不是,则可以通过循环重复操作303-317来恢复沉积。在多种实施方案中,在每个循环中执行操作303和315。在一些实施方案中,每隔一个循环执行操作303和315中的任一者或两者。然而,可能合适的是,每个循环执行操作303和315两者以在氧化硅的沉积期间继续封闭铜表面以防止铜表面氧化并允许选择性地沉积氧化硅。在一些实施方案中,每个循环可涉及使用相同的化学品和工艺条件重复相同的操作。在一些实施方案中,每个循环可能涉及使用不同的化学品重复操作。例如,一个循环中使用的还原剂可以不同于另一循环中使用的还原剂。
图5提供了根据某些公开的实施方案执行的各种操作的时序示意图。尽管图5中描绘的工艺500仅包括两个沉积循环599A和599B,但是应当理解,在某些公开的实施方案中,可以执行多于两个的沉积循环(在某些情况下,仅一个沉积循环)。
图5针对多种工艺参数示出了示例性沉积工艺500中的阶段,所述工艺参数诸如作为载气和/或清扫气的氩气流、硫醇气流、含硅前体气流、含氧等离子体暴露以及还原剂气流。尽管该示例描绘了含氧等离子体作为沉积技术,但是在一些实施方案中,可以使用含氧气体进行热沉积。这些线表示何时打开和关闭流以及何时打开和关闭等离子体。各种公开的实施方案取决于工艺参数,这些工艺参数包含但不限于惰性物质和反应物物质的流率,氩气、硫醇、含硅前体和还原剂气体的流率,等离子体条件,衬底温度和处理室压力。
沉积循环599A包括铜封闭剂暴露阶段503A、含硅前体暴露阶段507A、清扫阶段509A、氧化等离子体暴露阶段511A、清扫阶段513A和还原剂暴露阶段515A。铜封闭剂暴露阶段503A可以对应于图3的操作303。在铜封闭剂暴露阶段503A期间,可以打开氩气流以将铜封闭剂引入室,并且打开硫醇气流,同时含硅前体气流、含氧等离子体和还原剂气流关闭。尽管在此示例中未指定,但应当理解,硫醇可以是任何合适的硫醇,例如以上关于图3的操作303所述的那些。在铜封闭剂暴露阶段503A之后,执行含硅前体暴露阶段507A。注意,在该示例中,不执行图3的清扫操作305,但是应当理解,在多种实施方案中,可以在铜封闭剂暴露阶段503A和含硅前体暴露阶段507A之间执行操作305。含硅前体暴露阶段507A可以对应于图3的操作307。在含硅前体暴露阶段507A期间,可以保持氩气流以协助含硅前体的输送,而硫醇气流关闭时,含硅前体流打开,含氧等离子体流关闭,并且还原剂气流关闭。在清扫阶段509A中,除氩气流(用作清扫气体)外,所有气流和等离子体关闭。清扫阶段509A可以对应于图3的操作309。在氧化等离子体暴露阶段511A中,氩气流可以继续打开,硫醇气流关闭,含硅前体气流关闭,含氧等离子体打开,并且还原剂气流关闭。在多种实施方案中,含氧等离子体是如上相对于图3的操作311所述由等离子体点燃的任何弱氧化剂。氧化等离子体暴露阶段511A可以对应于图3的操作311。在清扫阶段513A中,氩气流打开以充当清扫气体,而硫醇气流关闭,含硅前体气流关闭,含氧等离子体关闭,并且还原剂气流关闭。清扫阶段513A可以对应于图3的操作313。在还原剂暴露阶段515A中,氩气流可以用作载气以辅助还原剂的输送,硫醇气流关闭,含硅前体气流关闭,含氧等离子体关闭,还原剂气流打开。尽管此处描述的示例涉及还原剂暴露的热反应,但应理解,在一些实施方案中,在该暴露阶段期间在点燃等离子体的同时,还原剂气流打开。还原剂暴露阶段515A可以对应于图3的操作315。在该示例中,在还原剂暴露阶段515A之后不执行清扫操作,但是应当理解,在一些实施方案中,可以执行清扫操作。在该示例中,确定未将氧化硅沉积到期望的厚度,因此在沉积循环599B中重复这些操作。沉积循环599B包括:铜封闭剂暴露阶段503B,其中氩气流和硫醇流打开,而含硅气流、含氧等离子体和还原剂气流关闭;含硅前体暴露阶段507B,其中只有氩气和含硅气流打开,而硫醇气流、含氧等离子体和还原剂气流关闭;清扫阶段509B,其中仅作为清扫气体的氩气流动;氧化等离子体暴露阶段511B,其中仅氩气和含氧等离子体打开,而硫醇气流、含硅前体气流和还原剂气流关闭;清扫阶段513B,其中作为清扫气体的氩气流动;以及还原剂暴露阶段515B,其中只有氩气和还原剂气体打开,而含硅前体气流、硫醇气流和含氧等离子体关闭。
在多种实施方案中,在将氧化硅沉积至足够的厚度之后,可以通过还原剂还原铜表面,并且可以通过乙酸漂洗去除铜表面上的任何剩余硫醇。
设备
图6绘出了具有用于保持低压环境的处理室主体602的原子层沉积(ALD)处理站600的一个实施方案的示意图。多个ALD处理站600可以包含在共同的低压处理工具环境中。例如,图7绘出了多站式处理工具700的一个实施方案。在一些实施方案中,ALD处理站600的一个或多个硬件参数(包含下文详细讨论的那些)可以由一个或多个计算机控制器650以编程方式调节。
ALD处理站600与反应物输送系统601a流体连通,以将处理气体输送至分配喷头606。反应物输送系统601a包括混合容器604,混合容器604用于共混和/或调节输送至喷头606的处理气体,如氨基硅烷前体气体、或温和的氧化剂气体(例如,二氧化碳)、或还原剂(例如,氢)气体。一个或多个混合容器入口阀620可以对处理气体导入至混合容器604进行控制。温和的氧化等离子体和/或还原剂等离子体也可被输送至喷头606、或可在ALD处理站600中产生。
举例而言,图6的实施方案包含汽化点603,用于汽化将供应至混合容器604的液体反应物。在一些实施方案中,汽化点603可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不相容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间、降低处理站吞吐量。因此,在一些实施方案中,汽化点603下游的输送管道可以被热追踪。在一些示例中,混合容器604也可以被热追踪。在一个非限制性示例中,汽化点603下游的管道具有增大的温度分布,在混合容器604处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点603下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器604。在另一个方案中,液体喷射器可以直接装载到喷头606。
在一些实施方案中,可以在汽化点603上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站600的液体的质量流量。例如,LFC可以包括位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用LFC的感测管道和PID控制器来执行。
喷头606朝衬底612分配处理气体。在图6所示的实施方案中,衬底612位于喷头606下方,并且示出为安置在基座608上。喷头606可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底612。
在一些实施方案中,基座608可以升高或降低以将衬底612暴露到衬底612和喷头606之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器650通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方案中,调节基座608的高度可以使得等离子体密度在工艺中的等离子体活化循环期间改变。在处理阶段结束时,基座608可以在另一衬底传送阶段被降低以使得衬底612能从基座608移走。
在一些实施方案中,基座608可经由加热器610控制温度。在一些实施方案中,可将基座608加热至介于约70℃与约200℃之间的温度或介于约100℃与约120℃之间的温度。
此外,在一些实施方案中,对于处理站600的压力控制可以由蝶形阀618提供。如在图6的实施方案中所示,蝶形阀618对由下游真空泵(未示出)提供的真空进行节流。然而,在一些实施方案中,对处理站600的压力控制还可以通过改变引入至处理站600的一种或多种气体的流率来调节。
在一些实施方案中,喷头606的位置可以相对于基座608调节以改变衬底612和喷头606之间的体积。此外,应当理解的是,基座608和/或喷头606的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座608可包括用于旋转衬底612的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器650以编程方式执行。
在如上所述可以使用等离子体的一些实施方案中,喷头606和基座608与射频(RF)功率源614和匹配网络616电连通以对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源614和匹配网络616可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的示例是约150W至约6000W。在相对于氮化硅在氧化硅上选择性地沉积氧化硅之前,可以在氮化硅表面的处理期间使用等离子体。RF功率源614可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源614可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器650的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在一些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包括:用于设置铜封闭剂气体或金属封闭剂气体的流率的指令,用于设置载气(例如氩气)的流率的指令,用于点燃等离子体的指令和用于第一个配方阶段的时间延迟指令。第二配方阶段可以包括用于设置惰性气体和/或氨基硅烷硅前体气体的流率的指令,用于设置载气(例如氩气)的流率的指令以及用于第二配方阶段的时间延迟指令。接下来的第三配方阶段可以包括用于调节或者停止惰性气体和/或反应物气体的流率的指令,用于调节载气或者清扫气体的流率的指令以及用于第三配方阶段的时间延迟指令。第四配方阶段可以包括用于调节弱氧化剂气体的流率的指令,用于点燃等离子体的指令,用于调节载体或清扫气体的流率的指令以及用于第四配方阶段的时间延迟指令。接下来的第五配方阶段可以包括用于调节或停止惰性气体和/或反应气体的流率的指令,和用于调节载体或清扫气体的流率的指令以及用于第五配方阶段的时间延迟指令。第六配方阶段可以包括用于调节还原剂气体的流率的指令,用于点燃等离子体的指令,用于调节载气的流率的指令以及用于第六配方阶段的时间延迟指令。应该理解的是,在本公开实施方案的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方案中,控制器650可以包括下面关于图7的系统控制器750所描述的任何特征。
如上所述,一个或多个处理站可以包含在多站式处理工具中。图7示出了多站式处理工具700的一个实施方案的示意图,所述多站式处理工具700具有入站装载锁702和出站装载锁704,其中任一者或两者可包括远程等离子体源。处于大气压的机械手706被配置为将晶片从通过晶舟708装载的盒经由大气端口710移动至入站装载锁702内。晶片由机械手706放置在入站装载锁702中的基座712上,关闭大气端口710,且抽空装载锁。此外,晶片另外也可以在入站装载锁702中加热,例如以移除湿气和吸附的气体。接下来,通向处理室714的室传输端口716被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图7中绘出的实施方案包括装载锁,但应该理解的是,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室714包含4个处理站,在图7所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为718)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室714可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室714包含4个站,但应当理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多个站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图7绘出了用于在处理室714内传输晶片的晶片搬运系统790的一个实施方案。在一些实施方案中,晶片搬运系统790可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图7还绘出了采用来控制处理工具700的工艺条件和硬件状态的系统控制器750的一个实施方案。系统控制器750可以包括一个或多个存储器装置756、一个或多个海量存储装置754和一个或多个处理器752。处理器752可以包括CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器750控制处理工具700的所有活动。系统控制器750执行存储在海量存储装置754、载入存储器装置756、并在处理器752上执行的系统控制软件758。可替代地,控制逻辑可以在控制器750中硬编码。特定应用集成电路、可编程逻辑装置(例如,现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件758可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具700执行的特定处理的其它参数的指令。系统控制软件758可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件758可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件758可以包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器750关联的、存储在海量存储装置754和/或存储器装置756的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包括衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座718,并控制衬底和处理工具700的其它部分之间的间隔。
处理气体控制程序可包括用于控制气体组成(例如,铜封闭剂气体或金属封闭剂气体,例如乙烷硫醇和丁烷硫醇,氨基硅烷气体以及弱氧化剂气体,还原剂气体,载气以及/或者清扫气体,如本文所述)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以便稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包括用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包括用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可包括用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器750相关联的用户界面。用户界面可以包含显示屏、设备和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
在一些实施方案中,由系统控制器750调节的参数可涉及工艺条件。非限制性示例包括处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器750的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具700的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器750可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器750将通常包括一个或多个存储器装置和被配置成执行指令的一个或多个处理器以使该设备将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器750。
在一些实现方式中,系统控制器750是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理装置,该半导体处理装置包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器750可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器750可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器750的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个工艺步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器750可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器750可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器750接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以对于将要执行的工艺类型以及工具类型具有特异性,使得系统控制器750被配置成连接或控制该工具类型。因此,如上所述,系统控制器750可以例如通过包括一个或多个离散的控制器而为分布式,这些离散的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器750可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当设备在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084,305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的设备/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
实验
实验1
在氧化硅的表面上以及单独在铜的表面上进行了实验,以利用氧化硅沉积和还原剂暴露的循环评估使用SAM24在两个表面上的氧化硅生长。氧化硅表面暴露于以下循环的35个循环中:1秒剂量的SAM24,使用氩气清扫16秒,弱氧化剂CO2和氩气流动10秒,使用50W等离子体的1秒CO2和氩气脉冲,使用氩气清扫16秒,使用50W等离子体产生的还原剂氢等离子体和氩气30秒,以及使用氩气清扫30秒。实验显示
Figure BDA0002503569720000251
的氧化硅/循环的稳定生长速率。结果表明,氢气/氩气等离子体不会影响氧化硅的沉积,因为执行先前的实验,其涉及沉积循环的25个循环,沉积循环即1秒剂量的SAM24,使用氩气清扫16秒,弱氧化剂CO2和氩气流动10秒,使用50W等离子体的1秒CO2和氩气脉冲,使用氩气清扫16秒,这表现出
Figure BDA0002503569720000252
/循环的相同的生长速率。将铜表面暴露于氩气/氢等离子体还原30,然后进行以下沉积循环的30个循环:1秒剂量的SAM24,使用氩气清扫16秒,弱氧化剂CO2和氩气流动10秒,使用50W等离子体的1秒CO2和氩气脉冲,使用氩气清扫16秒,使用50W等离子体产生的还原剂氢等离子体和氩气30秒,并使用氩气清扫30秒。铜表面上出现的生长速率为
Figure BDA0002503569720000253
/循环。在图8A和8B中描绘的结果图(8A显示了整个循环,而具体地,8B显示了两个循环的放大截面)表明,氢等离子体还原了氧化铜,但是不够。表现的较高生长速率可能是由于在铜与氧化硅上有不同的吸附/成核行为导致的。
实验2
在氧化硅的表面上以及单独在铜的表面上进行实验,以使用硫醇暴露、氧化硅沉积和还原剂暴露的循环评估使用SAM24在两个表面上的氧化硅的生长。
氧化硅表面和铜表面都经过氩/氢等离子体预处理,然后进行以下沉积循环的100个循环:
(1)2秒剂量的丁烷硫醇
(2)使用氩气清扫10秒
(3)1秒剂量的SAM24
(4)使用氩气清扫16秒
(5)弱氧化剂CO2和氩气流动10秒
(6)使用50W等离子体的1秒CO2和氩气脉冲
(7)使用氩气清扫16秒
(8)使用50W等离子体产生的还原剂氢等离子体和氩气30秒
(9)使用氩气清扫30秒。
图9A和9B分别示出了所有循环和单个循环的氧化物厚度,该循环中的参考标记对应于在以上沉积循环中的标记编号。图9C和9D分别示出了所有循环和两个循环的铜表面上的厚度,该循环中的参考标记对应于上述沉积循环中的标记编号。
实验表明,在初始循环中氧化硅表面上的一些负载效应,但是随后氧化硅的继续线性且稳定的生长速率。在图9B中,901示出了在沉积循环中SAM24吸附到衬底表面上的效果。测得的厚度还表明在图9D的圆圈部分903中指示的1秒剂量的SAM-24期间,铜上的SAM-24吸附的明显抑制。结果还表明,在初始硫醇负载后,铜上的生长停滞。
下表1总结了在氧化硅和铜表面上测得的氧化硅的厚度,实际上沉积在每个表面上的氧化硅及其相对选择性。
表1.氧化硅和铜表面上的氧化硅生长
Figure BDA0002503569720000261
结论
尽管上述实施方案已为了清楚理解的目的而详细地加以描述,但显然,在所附权利要求的范围中,可实行某些变更及修改。应当注意,有许多替代的方式来实施本发明的实施方案的处理、系统及设备。因此,本发明的实施方案应被视为是说明性的而不是限制性的,且本发明的实施方案不应受限于本文中所提出的细节。

Claims (21)

1.一种相对于衬底上的铜选择性地在介电材料上沉积氧化硅的方法,该方法包括:
(a)提供包含所述介电材料和暴露的铜金属表面的所述衬底;
(b)在沉积所述氧化硅之前,使所述衬底暴露于铜封闭剂以使其选择性地吸附到所述暴露的铜金属表面上;
(c)使所述衬底暴露于含硅前体,以使所述含硅前体吸附到所述介电材料上;
(d)使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所吸附的所述含硅前体转化为氧化硅;以及
(e)使所述衬底暴露于还原剂以还原所述暴露的铜金属表面。
2.根据权利要求1所述的方法,其中,所述铜封闭剂包含硫。
3.根据权利要求1所述的方法,其中,所述铜封闭剂是烷基硫醇。
4.根据权利要求3所述的方法,其中,所述铜封闭剂选自乙烷硫醇和丁烷硫醇。
5.根据权利要求1所述的方法,其中,所述铜封闭剂是具有化学式SH(CH2)nCH3的烷基硫醇,其中n是介于2和12之间并且包含2和12的整数。
6.根据权利要求1所述的方法,其还包括在使所述衬底暴露于所述铜封闭剂之前,引入第二还原剂以还原所述暴露的铜金属表面。
7.根据权利要求6所述的方法,其中所述第二还原剂选自由氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、醇和醛组成的群组。
8.根据权利要求1至7中任一项所述的方法,其中所述含硅前体是氨基硅烷。
9.根据权利要求1至7中任一项所述的方法,其中所述含硅前体选自由N-(二乙基氨基甲硅烷基)-N-乙基乙胺、双(二乙基氨基)硅烷(BDEAS)、二异丙基氨基硅烷(DiPAS)、二异丁基氨基硅烷(DiBAS)、双(叔丁基氨基)硅烷(BTBAS)和三(二甲基氨基)硅烷(TDMAS)组成的群组。
10.根据权利要求1至7中任一项所述的方法,其中,所述弱氧化剂选自由二氧化碳、一氧化二氮、臭氧、其等离子体和水组成的群组。
11.根据权利要求1至7中任一项所述的方法,其中所述还原剂选自由氢气、氢等离子体、肼气、肼等离子体、氨气、氨等离子体、醇和醛组成的群组。
12.根据权利要求1至7中任一项所述的方法,其中,在介于约70℃至约200℃之间的温度下沉积氧化硅。
13.根据权利要求1至7中任一项所述的方法,其中,所述介电材料选自由二氧化硅、氧化铝、碳氧化硅、碳氮化硅和氧碳氮化硅组成的群组。
14.根据权利要求1至7中任一项所述的方法,其还包含在两个或更多个循环中重复操作(b)-(e)。
15.根据权利要求1至7中任一项所述的方法,其中,(c)是自限制的。
16.根据权利要求1至7中任一项所述的方法,其中所述铜封闭剂优先吸附到所述暴露的铜金属表面上以阻止所述氧化硅随后沉积在所述暴露的铜金属表面上。
17.一种相对于衬底上的含金属表面在介电材料上选择性地沉积氧化硅的方法,该方法包括:
(a)提供包含所述介电材料和暴露的含金属表面的所述衬底;
(b)在沉积所述氧化硅之前,使所述衬底暴露于金属封闭剂以使其选择性地吸附到所述暴露的含金属表面上;
(c)使所述衬底暴露于含硅前体,以使所述含硅前体吸附到所述介电材料上;
(d)使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所吸附的所述含硅前体转化为氧化硅;以及
(e)使所述衬底暴露于还原剂以还原所述暴露的含金属表面。
18.根据权利要求17所述的方法,其中,所述暴露的含金属表面包含铜。
19.根据权利要求17所述的方法,其中,所述暴露的含金属表面包含钌。
20.根据权利要求17所述的方法,其中,所述暴露的含金属表面包含选自由铜金属、氧化铜、钌金属和氧化钌组成的群组中的材料。
21.一种用于处理半导体衬底的设备,所述设备包括:
至少一个处理室,所述处理室包括用于保持半导体衬底的基座;
用于耦合至真空的至少一个出口;
等离子体发生器;
耦合至一个或多个气体源的一个或多个处理气体入口;和
用于控制所述设备中的操作的控制器,其包含机器可读指令,所述机器可读指令用于:
在使氧化硅沉积之前,使所述半导体衬底暴露于铜封闭剂以选择性地吸附到暴露的铜金属表面上;
使所述衬底暴露于含硅前体以将所述含硅前体吸附到所述半导体衬底上的介电材料上;
使所述衬底暴露于在包含弱氧化剂的环境中产生的氧化等离子体,以将所吸附的所述含硅前体转化以沉积氧化硅;以及
使所述衬底暴露于还原剂以还原所述暴露的铜金属表面。
CN201880075646.2A 2017-11-22 2018-11-21 SiO2在铜存在下在电介质表面上的选择性生长 Pending CN111373507A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/821,590 2017-11-22
US15/821,590 US10460930B2 (en) 2017-11-22 2017-11-22 Selective growth of SiO2 on dielectric surfaces in the presence of copper
PCT/US2018/062301 WO2019104209A1 (en) 2017-11-22 2018-11-21 Selective growth of sio2 on dielectric surfaces in the presence of copper

Publications (1)

Publication Number Publication Date
CN111373507A true CN111373507A (zh) 2020-07-03

Family

ID=66532566

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880075646.2A Pending CN111373507A (zh) 2017-11-22 2018-11-21 SiO2在铜存在下在电介质表面上的选择性生长

Country Status (5)

Country Link
US (2) US10460930B2 (zh)
JP (1) JP2021504947A (zh)
KR (1) KR20200079343A (zh)
CN (1) CN111373507A (zh)
WO (1) WO2019104209A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112018078A (zh) * 2020-07-29 2020-12-01 复旦大学 一种铜互连结构及其制作方法
CN112151504A (zh) * 2020-08-17 2020-12-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
TWI781824B (zh) * 2020-11-16 2022-10-21 美商慧盛材料美國責任有限公司 於介電質上選擇性沉積含矽及氧介電膜
TWI797858B (zh) * 2020-12-01 2023-04-01 美商慧盛材料美國責任有限公司 選擇性熱原子層沉積
TWI799162B (zh) * 2021-03-02 2023-04-11 美商慧盛材料美國責任有限公司 矽介電膜之選擇性沉積

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10950426B2 (en) * 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7353200B2 (ja) 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
US20220220132A1 (en) * 2020-12-29 2022-07-14 American Air Liquide, Inc. Organosilane precursors for ald/cvd/sod of silicon-containing film applications
US11942426B2 (en) * 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
US20230057258A1 (en) * 2021-08-20 2023-02-23 Applied Materials, Inc. Selective patterning with molecular layer deposition
JP2023090251A (ja) * 2021-12-17 2023-06-29 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
KR20230126792A (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법
WO2023172736A1 (en) * 2022-03-11 2023-09-14 Lam Research Corporation Methods of selective deposition and chemical delivery systems

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
KR100646296B1 (ko) 2001-09-12 2006-11-23 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
JP4142941B2 (ja) * 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) * 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) * 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5408483B2 (ja) * 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120108079A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
CN105289536B (zh) 2011-02-14 2019-07-09 迪奥内克斯公司 液相色谱柱
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US11626279B2 (en) * 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
CN105474359B (zh) * 2013-06-27 2019-04-12 英特尔公司 以非光刻方式图案化的定向自组装对准促进层
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
EP3134479A1 (en) 2014-02-28 2017-03-01 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
KR102331913B1 (ko) * 2014-09-26 2021-12-01 인텔 코포레이션 반도체 디바이스들에 대한 선택적 게이트 스페이서들
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
CN107406977A (zh) * 2015-02-26 2017-11-28 应用材料公司 使用自组装单层的选择性电介质沉积的方法
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
KR102579784B1 (ko) * 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
WO2016209570A1 (en) 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112018078A (zh) * 2020-07-29 2020-12-01 复旦大学 一种铜互连结构及其制作方法
CN112018078B (zh) * 2020-07-29 2022-10-25 复旦大学 一种铜互连结构及其制作方法
CN112151504A (zh) * 2020-08-17 2020-12-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
CN112151504B (zh) * 2020-08-17 2022-04-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
TWI781824B (zh) * 2020-11-16 2022-10-21 美商慧盛材料美國責任有限公司 於介電質上選擇性沉積含矽及氧介電膜
TWI797858B (zh) * 2020-12-01 2023-04-01 美商慧盛材料美國責任有限公司 選擇性熱原子層沉積
TWI798970B (zh) * 2020-12-01 2023-04-11 美商慧盛材料美國責任有限公司 選擇性電漿強化原子層沉積
TWI799162B (zh) * 2021-03-02 2023-04-11 美商慧盛材料美國責任有限公司 矽介電膜之選擇性沉積

Also Published As

Publication number Publication date
JP2021504947A (ja) 2021-02-15
KR20200079343A (ko) 2020-07-02
US10460930B2 (en) 2019-10-29
US20200013615A1 (en) 2020-01-09
US10825679B2 (en) 2020-11-03
US20190157076A1 (en) 2019-05-23
WO2019104209A1 (en) 2019-05-31

Similar Documents

Publication Publication Date Title
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
CN108425100B (zh) 氧化硅的选择性沉积
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
US10319582B2 (en) Methods and apparatus for depositing silicon oxide on metal layers
CN108597983B (zh) 利用催化剂控制在氧化硅上选择性沉积氮化硅
CN109791914B (zh) 用于互连结构的复合介电界面层
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
KR20170044014A (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
CN111886689A (zh) 无倒角通孔集成方案
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
CN112005343A (zh) 使用水解的选择性沉积
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination