CN109791914B - 用于互连结构的复合介电界面层 - Google Patents

用于互连结构的复合介电界面层 Download PDF

Info

Publication number
CN109791914B
CN109791914B CN201780060540.0A CN201780060540A CN109791914B CN 109791914 B CN109791914 B CN 109791914B CN 201780060540 A CN201780060540 A CN 201780060540A CN 109791914 B CN109791914 B CN 109791914B
Authority
CN
China
Prior art keywords
containing precursor
process chamber
composite film
dielectric
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780060540.0A
Other languages
English (en)
Other versions
CN109791914A (zh
Inventor
卡普·瑟里什·雷迪
纳格拉杰·尚卡尔
尚卡尔·斯娃米纳森
梅里哈·歌德·兰维尔
弗兰克·L·帕斯夸里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109791914A publication Critical patent/CN109791914A/zh
Application granted granted Critical
Publication of CN109791914B publication Critical patent/CN109791914B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

特征在于介电常数(k)小于约7且密度为至少约2.5g/cm3的介电复合膜沉积在部分制成的半导体器件上,以用作蚀刻停止层。在一实施方案中,复合膜包含选自Al、Si和Ge中的至少两种元素和选自O、N和C中的至少一种元素。在一实施方案中,复合膜包含Al、Si和O。在一实施方案中,将包含暴露的介电层(例如,ULK电介质)和暴露的金属层的衬底与含铝化合物(例如三甲基铝)接触,并且依次与含硅化合物接触。然后用含氧等离子体(例如,在含CO2的气体中形成的等离子体)处理所吸附的化合物,以形成含有Al,Si和O的膜。

Description

用于互连结构的复合介电界面层
相关申请的交叉引用
本申请要求于2016年9月30日提交的名称为“Composite dielectric interfacelayers for interconnect structures”的指定Reddy等人作为发明人的美国申请序列No.15/282,543的权益,其全部内容通过引用并入本文。
技术领域
本发明涉及半导体衬底处理的方法。具体地,本发明涉及在集成电路(IC)制造期间在层间电介质(ILD)和金属上沉积电介质蚀刻停止层的方法。
背景技术
在集成电路制造中,金属线(例如铜线)通常嵌入在ILD层中,其中ILD通常是基于多孔氧化硅的介电材料或具有低介电常数的有机聚合物介电材料,例如介电常数为2.2或更小的超低-k(ULK)电介质。使用镶嵌工艺形成这种嵌入金属线需要对ILD进行图案化和蚀刻以形成通孔和沟槽,然后例如使用电镀用金属(例如铜)填充这些通孔和沟槽。在用金属填充通孔和沟槽之后,沉积第二层ILD并将其再次图案化以形成通孔和沟槽。这些凹陷特征再次用金属填充,使得形成具有嵌入金属线的ILD层堆,其中金属线形成集成电路的导电路径。蚀刻停止层通常沉积在单独的ILD层和金属线上,并且用于IC制造工艺的图案化操作,以保护位于这些层下面的材料在图案化期间不被蚀刻。例如,半导体衬底可以包括驻留在两个ILD层之间的蚀刻停止层。当顶部ILD层被图案化并被蚀刻(例如,利用基于氟的化学物质)以限定通孔和沟槽时,蚀刻停止层保护蚀刻停止层下方的底部ILD层不被蚀刻。
与正被蚀刻的材料相比,蚀刻停止层的材料应该表现出良好的蚀刻选择性。换句话说,蚀刻停止层材料应该比暴露的ILD材料(或正被图案化的其他材料)以低得多的速率被蚀刻。
在集成电路制造期间,蚀刻停止层通常不会被完全去除,并且作为较厚的ILD层之间的薄膜保留在最终制造的半导体器件中。常规使用的蚀刻停止层材料的示例包括碳化硅和氮化硅。
发明内容
提供了用于形成复合介电材料的方法、装置和系统。所提供的材料非常适合用作蚀刻停止层,因为它们的特征在于低介电常数(k)并且具有相对高的密度。低介电常数对于蚀刻停止层是非常合乎期望的,因为在处理期间蚀刻停止层没有从半导体器件中完全去除,并且最终器件通常在各个ILD层之间包含薄的蚀刻停止层。为了最小化金属线之间的串扰并减小电阻-电容(RC)延迟,重要的是使用具有低介电常数的蚀刻停止材料。然而,许多传统的低k材料相对于ILD材料通常具有相对低的蚀刻选择性。因此,需要具有低介电常数和高蚀刻选择性的材料。蚀刻选择性是与材料密度正相关的特性。因此,需要同时具有低介电常数和高密度的材料。
根据一些实施方案,本文提供的复合介电材料的特征在于介电常数小于约7,例如介于约5-6.5之间,密度为至少约2.5g/cm3,例如介于约2.5g/cm3-3.5g/cm3之间(例如,介于约2.6g/cm3-3.2g/cm3之间)。此外,提供了含有Al、Si和O的复合介电膜,其介电常数小于约6,密度大于约2.5g/cm3。在一些实施方案中,形成具有约5-5.5的介电常数和约2.5g/cm3-2.8g/cm3的密度的复合介电膜。
根据一个方面,提供了一种用于处理半导体衬底的方法。该方法包括:(a)提供包含暴露的金属层和暴露的介电层的半导体衬底;和(b)在所述金属层和所述介电层上形成介电复合膜,其中所述介电复合膜包含选自Al、Si和Ge中的至少两种元素和选自O、N和C中的至少一种元素,其中所述介电复合膜的介电常数小于约7,并且密度为至少约2.5g/cm3。在一些实施方案中,所述介电复合膜是蚀刻停止膜。
在一实施方案中,所述介电复合膜包括Al、Si和O。这种膜通过以下步骤形成:(i)将含铝前体和含硅前体提供给容纳所述半导体衬底的处理室;以及(iii)使所述含铝前体和所述含硅前体与含氧物质反应,以在所述半导体衬底上形成所述介电复合膜,其中所形成的膜包含Al-O和Si-O键。
在一些实施方案中,将含铝前体和含硅前体依次提供给处理室,并且通过原子层沉积(ALD)形成复合介电膜。在其他实施方案中,使含铝前体和含硅前体在处理室内混合,并通过化学气相沉积(CVD)沉积介电复合膜。在一些实施方案中,通过ALD和CVD的组合沉积介电复合膜。ALD和CVD都可以是热式的(在没有等离子体的情况下进行)或等离子体辅助式的。
在一实现方式中,介电复合膜包括多个交替的子层,其中所述多个交替的子层包括包含Al和O的第一类型的子层,以及包含Si和O的第二类型的子层。该实施方案被称为纳米层压材料实施方案。
在一种实现方式中,使用包括以下步骤的方法形成介电复合膜:(i)将含铝前体(例如,三甲基铝)提供给容纳所述半导体衬底的处理室,并使所述含铝前体吸附在所述半导体衬底的所述表面上;(ii)在所述含铝前体吸附之后,吹扫和/或抽空所述处理室;(iii)在吹扫之后,将含硅前体(例如二异丙基氨基硅烷)提供到所述处理室中并使所述含硅前体吸附在所述半导体衬底的所述表面上;(iv)在所述含硅前体被吸附之后,吹扫和/或抽空所述处理室;以及(v)在吹扫之后,使所吸附的所述含铝前体和所述含硅前体与含氧物质(例如,在含氧气体中形成的等离子体)反应,以在所述半导体衬底上形成包含Al-O和Si-O键的所述介电复合膜。在一实施方案中,用含氧物质进行处理包括在包含CO2的工艺气体中形成等离子体。该方法通常包括重复操作(i)-(v)。在一些实现方式中,步骤(i)-(v)执行三次或更多次,直到复合介电膜形成为所期望的厚度。
在一些实施方案中,介电复合膜形成的厚度为约10埃-50埃,例如厚度为约20埃-30埃。
提供的膜可以沉积在各种衬底上。在一些实施方案中,介电复合膜沉积在半导体衬底上,该半导体衬底具有暴露的ULK电介质层和暴露的金属层,该金属选自铜、钨和钴。
所提供的方法可以与用于图案化在半导体衬底上的层的光刻技术集成。在一些实施方案中,提供的方法还包括:将光致抗蚀剂施加在所述半导体衬底上;将所述光致抗蚀剂暴露于光;图案化所述光致抗蚀剂并将图案转移到所述半导体衬底上;以及选择性地从所述半导体衬底去除所述光致抗蚀剂。
根据另一方面,提供了一种被配置用于沉积介电复合膜的装置。在一个实施方案中,该装置包括处理室,该处理室具有用于保持半导体衬底的支撑件以及控制器。控制器包括用于执行本文提供的任何沉积方法的程序指令。在一些实施方案中,控制器被编程用于:在金属层和介电层上形成介电复合膜,其中所述介电复合膜包含选自Al、Si和Ge中的至少两种元素和选自O、N和C中的至少一种元素,其中所述介电复合膜的介电常数小于约7,并且密度为至少约2.5g/cm3。程序指令可包括以下用于以下操作的指令:(a)将含铝前体和含硅前体引入(例如,顺序引入)处理室;以及(b)使含铝前体和含硅前体与含氧物质反应(例如,依次反应)以在衬底上形成介电复合膜,其中所述膜包含Al-O和Si-O键。
在一些实施方案中,所述装置包括:第一导管,其被配置用于将含铝前体输送到所述处理室;和第二导管,其被配置用于将含硅前体输送到所述处理室,其中第一和第二导管是不同的导管。
根据另一方面,本文提供了一种系统,其包括用于沉积介电复合膜的沉积装置和步进曝光机。
根据另一方面,提供了一种非暂时性计算机机器可读介质。它包括用于控制沉积装置的程序指令。所述指令包括用于本文提供的沉积方法的代码。在一些实施方案中,提供了代码以:(a)将含铝前体和含硅前体引入(例如,顺序引入)处理室;以及(b)使含铝前体和含硅前体与含氧物质反应(例如,依次反应)以在衬底上形成介电复合膜,其中所述膜包含Al-O和Si-O键。
根据另一方面,提供了一种包括介电复合膜的半导体器件,其中所述介电复合膜包含选自Al、Si和Ge中的至少两种元素和选自O、C和N中的至少一种元素,其中所述复合介电膜的厚度在约10埃-50埃之间,并且其特征在于介电常数小于约7,且密度为至少约2.5g/cm3
下面将参考相关附图更详细描述本发明的这些和其他特征和优点。
附图说明
图1A-1C示出了在处理过程中半导体衬底的横截面视图,其图解了根据本文提供的实施方案的蚀刻停止膜。
图2是本文提供的处理方法的工艺流程图。
图3是根据本文提供的实施方案的沉积介电复合膜的方法的工艺流程图。
图4是根据本文提供的实施方案的可用于沉积复合膜的iALD处理站的示意图。
图5示出了根据本文提供的实施方案的多站式处理工具的示意图。
图6是根据本文提供的实施方案的配置用于沉积薄膜的处理工具的框图。
具体实施方式
在以下详细描述中,阐述了许多具体实现方式以便提供对所公开的实现方式的透彻理解。然而,对于本领域普通技术人员显而易见的是,可以在没有这些具体细节的情况下或通过使用替代元件或工艺来实践所公开的实现。在其他情况下,没有详细描述公知的工艺、过程和组件,以免不必要地模糊所公开的实现方式的各方面。
在本说明书中,术语“半导体晶片”、“半导体衬底”、“晶片”、“衬底”、“晶片衬底”和“部分制成的集成电路”可互换使用。本领域普通技术人员应理解,术语“部分制成的集成电路”可以指在其上的集成电路制造的许多阶段中的任何阶段期间的硅晶片。以下详细说明描述了在晶片上沉积界面层。然而,所公开的实现方式不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方式的其他工件包括各种制品,例如印刷电路板等。这里使用的术语“半导体晶片”或“半导体衬底”是指在其体内任何地方都具有半导体材料的衬底,并且应该理解,半导体材料不必要暴露。在许多实施方案中,半导体衬底包括在半导体材料上形成的一个或多个介电层和导电层。
本文提供的复合膜包含至少两种第一类型的元素(例如,选自Al、Si和Ge中的至少两种元素)和至少一种第二类型的元素(例如,选自O、C和N的至少一种元素)。第一类型的元素通常比第二类型的元素具有较小的电负性。如本文所用的术语“复合的”是指存在至少两种不同的第一类型的元素。例如,复合膜可包含Al和Si(第一类型的元素)和O和C(第二类型的元素)。除了第一和第二类型的元素之外,复合膜还可以含有氢。在一些实施方案中,提供的复合膜包含Al、Si和O(或基本上由其组成),并且还可任选地包含H、C和N。在一些实施方案中,膜包含至少5原子%的Al,至少5原子%的Si和至少5原子%的O(其中,如果存在氢的话,则在计算中不包括氢)。在一些实施方案中,所述膜含有约5-60原子%,例如约5-40原子%的Al,和约5-60原子%,例如约5-40原子%的Si,(其中,如果存在氢的话,则在原子百分含量的计算中不包括氢)。
第一类型的不同元素可以混合在复合膜内,或者可以存在于不同的子层中。例如,在一个实施方案中,复合膜是AlSiOC膜,其中元素基本上均匀混合。在另一个实施方案中,复合膜包括多个交替子层,其中第一子层包括第一类型的第一元素(例如,Al),第二子层包括第一类型的第二元素(例如,Si)。具有多个子层的膜的实例是具有至少两个包含Al和O的第一类子层和至少两个包含Si和O的第二类子层的膜,其中第一类子层和第二类子层在所述堆层中交替出现。应注意,各个子层优选地非常薄(例如厚度为10埃或更薄,例如厚度为约5埃),并且整个复合膜的厚度优选小于约100埃,例如厚度小于约50埃。典型的厚度在约20-30埃之间。所提供的膜不同于含金属的覆盖层和介电扩散阻挡膜的组合,因为第一类型的不同元素(例如,Si和Al)或者混合在复合膜中,或者存在于非常薄的交替子层中。
本文提供的复合膜解决了对具有以下性质的材料的重要需求:低介电常数、高密度、低漏电流、良好的粘合性能和金属抗氧化性。在一些实施方案中,本文提供的复合膜具有上文列出的所有所需特征。对这种材料的需求源于这样的事实,即许多二元化合物,例如氧化铝或氧化硅,仅具有这些性质中的一些但不是所有。例如,根据一些准备措施制备的氧化硅具有约4.5的低介电常数,但其相对低的密度2.1g/cm3表明纯氧化硅将具有低的蚀刻选择性并且将是相对差的蚀刻停止材料。另一方面,根据一些准备措施制备的氧化铝具有约3.0g/cm3的高密度,但其介电常数通常大于7,导致含有氧化铝膜的器件结构的电容增加。氮化铝具有约6的介电常数,但由于其相对窄的带隙,它具有相对高的漏电流。
本文提供的复合膜同时具有低介电常数和高密度。在一些实施方案中,提供具有至少约2.5g/cm3的密度和小于约7的介电常数的复合介电膜。例如,提供具有至少约2.5g/cm3的密度和小于约6的介电常数的复合膜。此外,在一些实施方案中,提供的膜的特征在于击穿电压大于约5MV/cm,例如至少约8MV/cm。这种膜的实例是含有Al、Si和O(或基本上由其组成)的复合膜,以及含有Al、Si、O和C(或基本上由其组成)的膜,如本文所提供的。
在一些实施方案中,复合膜被配置为包含具有小于约7的介电常数的第一材料的所有化学元素(例如,Si和O)以及具有至少约2.5g/cm3的密度的第二材料的所有化学元素(例如,Al和O)。优选地,复合膜被配置为包含具有小于约6的介电常数的第一材料的所有元素以及具有至少约2.6g/cm3的密度的第二材料的所有元素。优选地,第一材料和第二材料对金属和电介质都具有良好的粘附性,并且特征在于低的漏电流。
在一些实施方案中,提供了一种半导体器件,其中半导体器件包括两层ILD(例如,ULK电介质)和位于两个ILD层之间的薄层的所提供的电介质复合膜(例如,在约之间,例如约/>)。ILD层还可以包括嵌入的金属(例如,铜、钨或钴)线,并且在一些实施方案中,薄层的介电复合膜也位于金属和ILD(例如,ULK电介质)之间,同时接触ILD和金属两者。
所提供的介电复合膜特别适合用作蚀刻停止层,但也可用作各种目的(例如,用以改善抗电迁移性或作为硬掩模)的界面层。
通常,提供的膜可以沉积在平面或图案化的衬底上。在一实施方案中,提供的膜沉积在具有暴露的平坦表面的半导体衬底上,该暴露的平坦表面包括暴露的电介质和金属(例如,铜,钴或钨)。
图1A-1C提供了经历双镶嵌处理的若干步骤的半导体衬底的实例,其中可以使用所提供的介电复合膜。参考图1A,示出了用于双镶嵌制造的部分制成的集成电路(IC)结构100的示例。如图1A-1C所示的结构100是半导体衬底的一部分,并且在一些实施方案中,可以直接驻留在包含有源器件(例如晶体管)的层上。在其他实施方案中,它可以直接驻留在金属化层上或包含导电材料的其他层上,例如包含存储电容器的层上。
图1A中所示的层103是层间电介质层,其可以是二氧化硅但更典型地是低k电介质材料。为了使金属间电介质堆层的介电常数最小化,采用k值小于约3.5,优选小于约3.0且通常低于约2.8的材料作为层间电介质。这些材料包括但不限于掺杂氟或碳的二氧化硅、有机低k材料和多孔掺杂的二氧化硅材料。例如,可以通过PECVD或通过旋涂方法沉积这种材料。在一些实施方案中,层103包含ULK电介质。层103被蚀刻有线路(沟槽和通孔),其中沉积部分导电的金属扩散阻挡层105,然后用铜导电路径107镶嵌。在其他实施方案中,使用除铜之外的金属(例如,钴或钨)。因为铜或其他移动导电材料提供半导体衬底的导电路径,所以必须保护靠近金属线的下伏硅器件和介电层免受金属离子(例如,Cu2+)的影响,否则金属离子可能扩散或漂移到硅中或者层电介质并导致其性能降低。使用几种类型的金属扩散阻挡层以保护IC器件的介电层。这些类型可以分为部分导电的含金属层,例如105和介电阻挡层。用于部分导电扩散阻挡层105的合适材料包括诸如钽、氮化钽、钛、氮化钛等材料。这些通常通过PVD或ALD方法共形地沉积在具有通孔和沟槽的介电层上。
在已经沉积扩散阻挡层105之后,可以通过多种技术(包括PVD、电镀、无电沉积、CVD等)形成铜导电路径107。在一些实现方式中,形成铜填充物的优选方法包括通过PVD沉积薄的铜种子层,随后通过电镀沉积主体铜填充物。由于铜通常过量沉积在场区域中,因此需要进行化学机械抛光(CMP)操作以去除过量物并获得平坦化结构100。如上所述,在一些实施方案中,导电路径107由钨或钴制成,其可以例如通过CVD或ALD沉积(其中CVD和ALD可以是热或等离子体辅助式的)。
接下来,参考图1B,在结构100已经完成之后,使用本文提供的方法将电介质复合蚀刻停止膜109(例如,AlSiOC膜)沉积在铜线107上和电介质103上。可以通过CVD、PECVD、ALD、iALD、保形膜沉积(CVD)或这些方法的任何组合来沉积介电复合膜。应注意,在一些实施方案中,ILD层103的上面沉积有层109的顶部可以与该层的主体不同。例如,在一些实施方案中,层103的顶部比主体更具机械强度。在一实现方式中,层103的顶部是机械上坚固的掺杂或未掺杂的碳化硅或氮化硅,而介电层103的主体是更精细的ULK电介质(例如,多孔材料)。在一个示例中,层103的顶部是掺氧碳化硅(ODC)。这种更坚固的层的存在使得更容易使用等离子体步骤沉积蚀刻停止膜,而不会损坏衬底的暴露部分。
在一些实施方案中,介电复合蚀刻停止层109还用作介电扩散阻挡层,因为其位于制造结构中的铜和电介质之间的界面处。在一些实施方案中,单独的扩散阻挡层沉积在层109的顶部上。通常,这种扩散阻挡层(未示出)包括掺杂或未掺杂的碳化硅(例如,碳氧化硅)或氮化硅。
参照图1B,将双镶嵌电介质结构的第一介电层111沉积在膜109上。然后在第一介电层111上沉积蚀刻停止膜113。蚀刻停止膜113可以是这里提供的介电复合膜之一,或者它可以包含不同的蚀刻停止材料。介电层111通常由低k介电材料构成,例如为介电层103列出的那些,并且还可以包括机械上更坚固的顶部(例如,由ODC组成的顶部)。注意,层111和103不一定需要具有相同的组成。在一些实施方案中,层111和103都是ULK电介质层。
该过程如图1C所示,其中双镶嵌介电结构的第二介电层115以与第一介电层111类似的方式沉积在蚀刻停止膜113上。然后沉积抗反射层(未示出)和CMP停止膜117。第二介电层115通常包含低k介电材料,例如上面针对层103和111所述的那些,并且可以可选地包括机械上更坚固的顶部。CMP停止膜117用于在随后的CMP操作期间保护层间介电(IMD)层115的精密电介质材料。通常,CMP停止层经受与扩散阻挡层和蚀刻停止膜109和113类似的集成要求,并且可包括本文提供的介电复合材料。或者,它可包含基于碳化硅或氮化硅的常规CMP停止材料。
在随后的操作期间,ILD层111和115被图案化以形成凹陷特征(通孔和沟槽)。图案化通常使用常规光刻技术进行,并且涉及将光致抗蚀剂施加到衬底上,将光致抗蚀剂暴露于光,图案化光致抗蚀剂并通过通常使用氟基化学物质蚀刻介电材料将图案转移到衬底,并去除光致抗蚀剂。提供的复合电介质蚀刻停止层相对于ILD电介质(例如,ULK电介质和/或ODC)具有良好的蚀刻选择性,且保护在蚀刻停止层下方的材料不被蚀刻。
应注意,所提供的介电蚀刻停止膜可用于各种不同的集成方案中,并且它们的使用不限于图1A-1C中所示的方案。
图2中提供了利用介电复合膜作为蚀刻停止层的处理方法的工艺流程图。该工艺在201开始,在201,提供具有暴露的金属层和暴露的介电层的半导体衬底。例如,衬底可以包括暴露的ULK电介质层和暴露的金属层,例如铜、钨或钴层。图1A中示出了这种衬底的一个示例。接下来,在203中,在衬底上沉积复合介电膜。沉积可以使用多种方法进行,所述方法包括热CVD、等离子体增强CVD(PECVD)、热ALD和离子诱导ALD(iALD)、保形膜沉积(CFD)及其任何组合。
在一实施方案中,复合介电层的沉积包括将一种或多种含有复合膜的元素的前体提供给处理室,并配置用于在衬底上沉积复合介电复合膜的工艺条件。合适的含挥发性含铝前体包括但不限于有机铝化合物,如三甲基铝(TMA)、氢化二甲基铝、三乙基铝、三异丁基铝和三(二乙基氨基)铝。在许多实施方案中,TMA是优选的化合物。含硅前体的实例包括但不限于硅烷(SiH4),乙硅烷,烷基硅烷和烷基氨基硅烷,例如二异丙基氨基硅烷(DIPAS)。在一些实施方案中,优选含有甲基的含硅前体(例如DIPAS)。例如,通过使用锗烷作为前体,可以将锗引入膜中。可以用含氧反应物如CO2、N2O、O2等引入氧气。可以通过使用含氮反应物如N2O和NH3引入氮气。可以通过使用含碳反应物(例如CO2和烃)引入碳。应注意,在一些实施方案中,单一前体或反应物可向复合膜提供多于一种的元素。在其他实施方案中,每种元素由不同的前体和/或反应物提供。
在一实例中,当复合膜含有选自Al、Si和Ge中的至少两种元素和选自O、C和N中的至少一种元素时,沉积方法包括提供含有选自Al、Si和Ge中的至少两种元素的前体(例如,三甲基铝和二异丙基氨基硅烷)和含有选自O、C和N中的元素的反应物(例如,含氧物质)。反应可以在热或等离子体中进行。在一些实施方案中,前体和反应物的引入是顺序的。在一些实施方案中,反应主要发生在衬底的表面上,并且抑制或不允许在处理室中大量混合前体和反应物。在其他实施方案中,允许在处理室中大量混合前体和反应物,且反应可在衬底的表面上发生并在处理室的体积中大量发生。
在一实施方案中,包含Al、Si和O的复合膜通过以下方式沉积:向容纳半导体衬底的处理室提供含硅前体和含铝前体并通过使含硅前体与含铝前体与含氧物质反应以在衬底上形成介电复合膜,其中膜包括Al-O和Si-O键。在该实施方案的一个实现方式中,使含铝前体、含硅前体和含氧物质在处理室中混合,并通过CVD沉积膜。在一些实现方式中,用等离子体辅助反应。掺入复合膜中的元素的量可以通过控制提供给处理室的含硅前体和含铝前体的分压来控制。
在另一种实现方式中,沉积形成介电复合膜的多个交替子层(纳米层压材料)。在该实现方式中,首先使衬底与第一前体(含铝前体或含硅前体)接触,然后使其与含氧物质(有或没有等离子体)反应以沉积第一类型的子层。接下来,使衬底与不同于第一前体的第二前体(含铝前体或含硅前体)接触,然后第二前体与含氧物质(有或没有等离子体)反应以沉积第二种类型的子层。例如,第一前体可以是含铝前体,其在与含氧物质反应时形成作为第一子层的AlO层,并且第二前体可以是含硅前体,其在与含氧物质反应时形成作为第二子层的SiO层。接下来,根据需要重复沉积AlO和SiO子层多次,以形成具有所需厚度的蚀刻停止膜。优选地,控制每个子层的厚度不超过10埃,例如不超过5埃。掺入膜中的元素(例如Al和Si)的量可以通过控制纳米层压材料中的子层的厚度来控制。例如,在一些实施方案中,含铝子层的厚度为含硅子层厚度的约0.5-3倍。
在又一实现方式中,复合膜的沉积包括将第一前体(含硅前体或含铝前体)提供到容纳衬底的处理室,并使得第一前体能吸附在衬底的表面上。然后吹扫和/或抽空处理室,以从处理室中除去未吸附的前体。接下来,将与第一前体不同的第二前体(含硅前体或含铝前体)提供给处理室,并使其吸附在衬底的表面上。接下来,在吹扫和/或抽空之后,使驻留在衬底上的含硅前体和含铝前体能与含氧物质反应(例如,在含氧气体(例如CO2或N2O)中形成的等离子体中),以在衬底的表面上形成具有Al-O和Si-O键的复合膜。接下来,重复前体投配和向氧化物的转化,直到形成所需厚度的膜。掺入复合膜中的元素的相对量可以通过在每个前体投配步骤中提供的前体的量来控制。在一些实施方案中,当投配前体时,前体不会在衬底表面饱和。当在低于饱和度下进行吸附时,通过调节投配条件可以容易地控制单个元素的掺入。
在一些实施方案中,通过基于表面的反应(ALD)和来自处理室的主体的沉积(CVD)的组合来沉积复合膜。例如,如果在每个吸附步骤之后前体未从处理室中完全除去,则可发生基于表面的反应和来自主体的沉积。
再次参考图2的工艺流程图,在已沉积复合蚀刻停止层之后,该工艺然后是,通过任选地在复合蚀刻停止膜上方沉积介电扩散阻挡层并使介电扩散阻挡层与复合蚀刻停止膜接触,如205所示。扩散阻挡膜可以是例如通过PECVD沉积的掺氧碳化硅层。
接下来,在操作207中,在蚀刻停止层和可选的扩散阻挡膜上沉积ILD层。然后在选定位置(在标准光刻图案化之后)蚀刻ILD层以形成凹陷特征。在通常使用基于氟的化学物质进行的蚀刻期间,复合介电蚀刻停止层保护位于蚀刻停止层下面的材料不被蚀刻。
用于沉积介电复合蚀刻停止层的示例性工艺之一在图3中示出。该工艺涉及将半导体衬底放置到处理室(例如,放入iALD处理室)中,并且在操作301中提供含铝的前体(例如,TMA)到处理室。在一些实施方案中,含铝前体用载气(例如N2)或惰性气体提供给处理室。选择该步骤中的温度和压力,以使含铝前体吸附在衬底的表面上。接下来,在操作303中,对处理室进行吹扫和/或抽空,以从处理室移除未吸附的含铝前体。在一些实施方案中,去除是基本上完全的。在其他实施方案中,一部分含铝前体会保留在处理室中。通过向处理室提供含硅前体(例如,二异丙基氨基硅烷),在305中进行该工艺。含硅前体可以用载气提供,载气如N2或惰性气体。当含硅前体是液体时,引入前体的蒸气。重要的是,在一些实施方案中,含铝前体和含硅前体经由分开的导管引入处理室,使得在输送这些前体到处理室期间不会发生这些前体的混合。然后使含硅前体吸附到衬底表面上。接下来,在307中,将处理室吹扫和/或抽空以除去未吸附的含硅前体。在一些实施方案中,未吸附的含硅前体基本上完全从处理室去除。在其他实施方案中,在307之后,一部分含硅前体会保留在处理室中。接下来,在操作309中,含铝前体和含硅前体与含氧物质反应以在衬底上形成包含Al-O键和Si-O键的膜。例如,可以将含氧工艺气体(例如CO2、N2O、O2或其任何混合物)引入处理室中,并且可以在工艺气体中形成等离子体。在其他实施方案中,氧化过程在不存在等离子体的情况下热进行。含氧物质与含硅和含铝前体之间的反应发生在衬底表面上。在这些实施方案中,前体未从处理室的主体中完全除去,反应也可以在衬底表面之外发生,并且反应产物除了通过表面反应沉积在衬底上之外,还通过低速CVD沉积方式沉积在衬底上。在这些实施方案中,该工艺可以被视为iALD和PECVD的组合。在一个优选的实施方案中,使用在CO2和氩的混合物中形成的等离子体进行氧化处理,因为发现该化学过程产生具有密度和介电常数的特别有利的组合的膜。结果,在衬底上形成含有Al、Si、O和C的膜。通常,操作301-309的一个循环在衬底上形成平均厚度在约0.8-3埃之间的膜。在操作311中,确定是否需要进一步沉积。如果该层不够厚,则重复操作301-309,直到形成所需厚度的介电复合膜。通常,沉积过程包括执行操作301-309的循环至少3次,例如至少5次,例如,在约5-20次之间。应注意,图3中所示的工艺可以从提供含铝前体或含硅前体作为接触具有暴露的金属和介电层的衬底的第一前体开始。
表1中提供了用于根据图3中所示的方法沉积复合介电AlSiOC膜的合适工艺条件。
表1.用于沉积复合AlSiOC膜的说明性工艺条件
在一些实施方案中,复合膜的整个沉积在单个处理室中进行。表1中所示的沉积可以在具有与处理室相关联的等离子体发生器的任何合适的装置中进行。可以使用射频(RF)发生器产生在转化步骤期间使用的等离子体。射频(RF)等离子体可以使用高频(HF)或双频生成来形成,其中双频包括低频(LF)和HF生成。示例性低频RF频率可以包括但不限于50kHz和900kHz之间的频率。示例性高频RF频率可以包括但不限于1.8MHz和2.45GHz之间的频率。在一实施方案中,高频是13.56MHz,低频是400kHz。在多种实施方案中,对应于的HF功率密度约0.14W/cm2-1.1W/cm2,并且LF功率密度在约0.03W/cm2-0.71W/cm2之间,每个包含四个300mm晶片的处理模块的LF功率范围从约100W到2000W,并且对于相同的处理模块,HF功率范围从约400W到约3000W。在多种实施方案中,在转化步骤中用等离子体处理衬底约0.2秒-60秒。
工艺气体组分的流率可以根据处理室的尺寸和正在沉积的膜的所需性质不同而不同,并且通常在约20sccm-20,000sccm的范围内。
在一些实施方案中,通过控制含铝前体和含硅前体的投配时间(例如,可以控制投配时间的比例)来控制膜中铝与硅的含量比。例如,为了增加铝含量,可以将衬底暴露于含铝前体较长时间。类似地,为了增加硅含量,可以将衬底暴露于含硅前体较长的时间。
在一些实施方案中,通过控制进行不同类型循环的次数的比率来控制膜中铝含量与硅含量的比率,其中第一类型循环沉积含铝子层而第二类型循环沉积含硅子层。例如,第一类型循环可包括将衬底暴露于含铝前体,吹扫和/或抽空处理室以除去未被吸附的含铝前体;然后(例如,通过含氧等离子体)氧化在衬底上的被吸附的含铝前体以形成含有Al-O键的子层。第二类型循环可包括将衬底暴露于含硅前体,吹扫和/或抽空处理室以除去未被吸附的含硅前体;然后(例如,通过含氧等离子体)氧化在衬底上的被吸附的含硅前体以形成含有Si-O键的子层。在一些实施方案中,如果调节每种前体的投配时间以提供膜子层的基本相等的生长速率,则重复第一类型循环三次并且执行第二类型循环一次将提供3:1的Al:Si比率。
表2列出了比较AlOC和SiO膜和使用本文提供的方法沉积的AlSiOC膜的实验数据。
表2.复合膜与AlOC和SiO膜的比较。
使用表1中提供的一般工艺条件沉积AlSiOC膜。比较AlOC膜如表1所示沉积,但省略Si投配步骤。比较SiO膜如表1所示沉积,但省略Al投配步骤。将膜沉积到厚度大于蚀刻停止膜中使用的厚度,以便精确测量介电常数、密度、漏电流和击穿电压。可以看出,复合AlSiOC膜具有小于6的介电常数,大于2.6g/cm3的密度,同时具有低漏电流和高击穿电压。比较AlOC和SiO膜中的任何一个都不同时具有低介电常数和高密度的所需组合。比较AlOC膜II具有相对低的介电常数,但其密度显著低于AlSiOC膜的密度。发现复合AlSiOC材料的密度至少为2.5g/cm3是出乎意料的,因为预期复合膜的密度将由于膜内不同尺寸的原子的相对松散的堆积而显著降低。
装置
本文公开的实现方式的另一方面是一种被配置为实现本文描述的方法的装置。合适的装置包括根据所公开的实现方式的用于完成工艺操作的硬件和具有用于控制工艺操作的指令的系统控制器。用于完成工艺操作的硬件包括ALD(包括iALD)处理室和CVD(包括PECVD)处理室。在一些实施方案中,所提供的方法的所有操作都在单个处理室中执行。在其他实现方式中,衬底可以从室转移到室以执行该方法的不同步骤。系统控制器通常将包括一个或多个存储器设备和被配置为执行指令的一个或多个处理器,使得装置将执行根据所公开的实现方式所述的方法。包含用于控制根据所公开的实现方式的工艺操作的指令的机器可读介质可以耦合到系统控制器。
在一些实施方案中,沉积在iALD反应器中进行,该反应器是可从加利福尼亚州弗里蒙特的Lam Research Corp.获得的Vector Excel沉积模块的一部分。合适的处理室包括用于在沉积期间保持晶片衬底的支撑件(晶片基座),用于在处理室中形成等离子体的发生器,以及用于输送含铝前体、含硅前体和含氧工艺气体(例如,CO2、氩、氦等)到处理室的导管。在一些实施方案中,导管是分开的导管,其中每个导管分别与含铝前体源、含硅前体源和含氧气体源连接。在一些实施方案中,连接导管使得含铝前体和含硅前体不允许在导管内(例如,在输送管线内)混合。该装置还被配置用于吹扫和/或抽空处理室,并用于在沉积期间维持处理室中的期望压力和温度。
iALD处理室的实例描述于美国专利No.6,416,822,美国专利No.6,428,859和美国专利No.8,747,964中,其通过引用整体并入本文。
图4示意性地示出了可用于使用原子层沉积iALD沉积所提供的膜的处理站400的实施方案。为简单起见,处理站400被描绘为具有用于维持低压环境的处理室主体402的独立处理站。然而,应当理解,多个处理站400可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站400的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站400与反应物输送系统401流体连通,以将工艺气体输送至分配喷头406。反应物输送系统401包含混合容器404,混合容器404用于混合和/或调节工艺气体以输送至喷头406。一个或一个以上的混合容器入口阀420可以对工艺气体导入至混合容器404进行控制。类似地,喷头入口阀405可对工艺气体导入至喷头406进行控制。
一些反应物可以在处理站汽化之前以及随后输送到处理站之前以液体形式储存。例如,图4的实施方案包含汽化点403,汽化点403用于汽化将供应至混合容器904的液体反应物。在一些实施方案中,汽化点403可以是加热的蒸发器。从这样的蒸发器产生的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点403下游的输送管道可以被热追踪。在一些实施例中,混合容器304也可以被热追踪。在一个非限制性示例中,汽化点403下游的管道具有从约100℃升高至在混合容器404处的约150℃的升高的温度分布。
在一些实施方案中,反应物液体可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一种情况中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一情况中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。应当理解,较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点403下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器404。在另一情况中,液体喷射器可以直接装载到喷头406。
在一些实施方案中,可以在汽化点403上游设置液体流控制器来控制用于汽化并输送至处理站400的液体的质量流量。例如,液体流控制器(LFC)可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,LFC可以通过禁用PID控制器和LFC的感测管道来从反馈控制模式动态切换到直接控制模式。
在一些实施方案中,为了避免含铝前体与含硅前体混合,使用单独的导管436和434分别将含铝前体430的源和含硅前体432的源流体连接到喷头,以便确保将这些前体分别输送到处理室。
喷头406朝衬底412分配工艺气体。在图4所示的实施方案中,衬底412位于喷头406下方,并且示出为安置在基座408上。应当理解,喷头406可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将工艺气体分配至衬底412。
在一些实施方案中,微体积407位于喷头406下方。在微体积中而不是在处理站的整个体积中执行ALD处理可以减少反应物暴露和清扫时间,可以减少改变工艺条件的时间(例如,压力、温度等),可以限制处理站机械手暴露于工艺气体等。示例性的微量容积包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座408以使衬底412暴露于微体积407和/或改变微体积407的体积。例如,在衬底传送阶段中,可以降低基座408以使得衬底412能被加载座408上。在沉积工艺阶段期间,可以升高基座408以将衬底412定位在微体积407内。在一些实施方案中,微体积407可以完全包围衬底412以及基座408的一部分以在沉积工艺期间形成高流阻抗的区域。
任选地,基座408可以在沉积工艺的部分期间降低和/或升高,以调节微体积407内的工艺压力、反应物浓度等。在一种使处理室主体402在沉积工艺期间保持在基础压力下的情况下,降低基座408可以使得微体积407能被抽空。微量体积与处理室体积的示例性比率包括但不限于1:900和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
在另一种情况下,调节基座408的高度可以使得等离子体密度能在沉积工艺中包含的等离子体活化和/或处理循环期间改变。在沉积工艺阶段结束时,基座408可以在另一衬底传送阶段被降低以使得衬底412能从基座408移走。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头406的位置可以相对于基座408调节以改变微体积407的体积。此外,应当理解的是,基座408和/或喷头406的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座408可包含用于使衬底412的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图4所示的实施方案,喷头406和基座408电连接RF功率源414和匹配网络416来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源414和匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例被包含在上文中。类似地,RF功率源414可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源414可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于50kHz和900kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性实例中,等离子体功率可以被间歇地施以脉冲,以相对于被连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积工艺配方的相应的等离子体激活配方阶段中。在一些情况下,工艺配方阶段可按顺序排列,使得用于沉积工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可包括用于设定惰性气体和/或反应气体的流速的指令,用于将等离子体发生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体发生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体发生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些沉积工艺中,等离子体激励持续时间为几秒或更长。在某些实现方式中,可以使用更短时间的等离子体激励。这些可以是约10ms到1秒,通常是约20到80ms,其中50ms是特定示例。这种非常短的RF等离子体激励需要非常快速地稳定等离子体。为了实现这一点,可以配置等离子体发生器,使得阻抗匹配被设置预设为特定电压,同时允许频率浮动。通常,在约13.56MHz的RF频率下产生高频等离子体。在本文公开的各种实施方案中,允许频率浮动到与该标准值不同的值。通过允许频率浮动同时将阻抗匹配固定到预定电压,等离子体可以更快地稳定,这在使用与某些类型的沉积循环相关联的非常短的等离子体激励时可能是重要的。
在一些实施方案中,基座408可以通过加热器410进行温度控制。此外,在一些实施方案中,沉积处理站400的压力控制可以由蝶形阀418提供。如图4的实施方案所示,蝶形阀418节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站400的一种或多种气体的流速来调节处理站400的压力控制。
在一些实施方案中,本文提供的衬底在多站式工具中处理。图5示出了多站式处理工具500的实施方案的概要视图,其具有入站装载锁502和出站装载锁504,其一者或者两者可以包含远程等离子体源。在大气压下,机械手506被配置为将晶片从通过晶舟508装载的盒经由大气端口510移动至入站装载锁502内。晶片由机械手506放置在入站装载锁502中的基座512上,关闭大气端口510,且抽空装载锁。当入站装载锁502包含远程等离子体源时,晶片在被引入处理室514之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁502中加热,例如以移除湿气和吸附的气体。接下来,通向处理室514的室传输端口516被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。
绘出的处理室514包含4个处理站,图5所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为518)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室514包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图5还绘出了用于在处理室514内传输晶片的晶片搬运系统590的实施方案。在一些实施方案中,晶片搬运系统590可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图5还绘出了采用来控制处理工具500的工艺条件和硬件状态的系统控制器550的实施方案。系统控制器550可以包含一个或多个存储器设备556、一个或多个海量存储设备554和一个或多个处理器552。处理器552可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器550控制处理工具500的所有活动。系统控制器550执行存储在海量存储设备554、载入存储器设备556、并由处理器552执行的系统控制软件558。系统控制软件558可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和时序、晶片温度、RF功率等级、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具500执行的特定处理的其它参数的指令。系统控制软件558可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件558可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件558可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,iALD工艺的每个阶段可以包括用于由系统控制器550执行的一个或多个指令。用于设置iALD工艺阶段的工艺条件的指令可以包括在相应的iALD配方阶段中。在一些实施方案中,可以顺序地布置iALD配方阶段,使得iALD工艺阶段的所有指令与该工艺阶段同时执行。
在一些实施方案中可以采用存储在与系统控制器550关联的海量存储设备554和/或存储器设备556上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518,并控制衬底和处理工具500的其它部分之间的间隔。
工艺气体控制程序可以包括用于控制气体成分和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压力的代码。工艺气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压力保持在任何所公开的压力范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率等级和频率的代码,例如使用本文公开的任何RF功率等级。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器550相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器550调节的参数会涉及工艺条件。非限制性实例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,RF功率等级、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自产品系列、/>产品系列和/或/>产品系列的装置,或者各种其他商业上可获得的处理系统中的任何一种,其中每个产品系列均可从加利福尼亚州弗里蒙特的Lam Research Corp.获得。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
图6是根据某些实施方案的适用于进行薄膜沉积工艺的处理系统的框图。系统600包括传送模块603。传送模块603提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块603上的是两个多站式反应器609和610,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器609和610可以包括多个站611、613、615和617,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块603上的还可以是一个或多个单站或多站式模块607,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他工艺。在一些情况下,模块607可以用于各种处理,以例如制备用于沉积工艺的衬底。模块607还可以被设计/配置为执行各种其他工艺,例如蚀刻或抛光。系统600还包括一个或多个晶片源模块601,其中在处理之前和之后存储晶片。大气传送室619中的大气机械手(未示出)可以首先将晶片从源模块601移动到装载锁621。传送模块603中的晶片传送装置(通常是机械手臂单元)将晶片从装载锁621移动到安装在传送模块603上的模块中以及在安装在传送模块603上的模块中。
在多种实施方案中,系统控制器629被采用来控制沉积过程中的工艺条件。控制器629通常包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器629可控制沉积装置的活动中的全部。系统控制器629执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊工艺的其他参数的成组的指令。存储在与控制器相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器629相关联的用户界面。用户界面可包括显示屏、该装置和/或工艺条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及工艺序列中的其他工艺的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与工艺条件有关,诸如,例如工艺气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控工艺的信号可通过系统控制器629的模拟和/或数字输入连接被提供。用于控制工艺的信号通过沉积装置600的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积工艺(以及在一些情况下的其他工艺)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,系统控制器629是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器629可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
进一步的实现方式
本文所述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必然地,这些装置和工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。例如,如上所述,可以采用这种处理来图案化介电层,在介电层上沉积有氮化钽、钽和/或铜层。

Claims (16)

1.一种用于处理半导体衬底的方法,该方法包括:
(a)提供包含暴露的介电层的半导体衬底;和
(b)在所述介电层上形成介电复合膜,其中所述介电复合膜包括Al、Si和O,所述介电复合膜的介电常数小于7,并且密度为至少2.5g/cm3,并且其中形成所述介电复合膜包括:
(i)将含铝前体提供给容纳所述半导体衬底的处理室,并使所述含铝前体吸附在所述半导体衬底的表面上;
(ii)在(i)的所述含铝前体吸附之后,吹扫和/或抽空所述处理室;
(iii)在(ii)的所述吹扫和/或抽空所述处理室之后,将含硅前体提供到所述处理室中并将所述含硅前体吸附在所述半导体衬底的所述表面上;
(iv)在(iii)的所述含硅前体吸附之后,吹扫和/或抽空所述处理室;以及
(v)在(iv)的所述吹扫和/或抽空所述处理室之后,使所吸附的所述含铝前体和所述含硅前体与含氧物质反应,以在所述半导体衬底上形成包含Al-O和Si-O键的所述介电复合膜。
2.根据权利要求1所述的方法,其中所述介电复合膜是蚀刻停止膜。
3.根据权利要求1所述的方法,其中所述含铝前体是三甲基铝。
4.根据权利要求1所述的方法,其中所述含硅前体是二异丙基氨基硅烷。
5.根据权利要求1所述的方法,其中(v)包括形成含氧等离子体。
6.根据权利要求1所述的方法,其中(v)包括在包含CO2的工艺气体中形成含氧等离子体。
7.根据权利要求1所述的方法,其还包括重复(i)-(v)至少3次。
8.根据权利要求1所述的方法,其中所述介电复合膜的厚度在10埃-50埃之间。
9.根据权利要求1所述的方法,其中所述介电复合膜的厚度在20埃-30埃之间。
10.根据权利要求1所述的方法,其中所暴露的所述介电层是ULK电介质层,并且其中在(a)中提供的所述半导体衬底还包括暴露的金属层,其中所述金属选自铜、钨和钴,并且其中所述介电复合膜在所述ULK电介质层和所述金属层上形成并与所述ULK电介质层和所述金属层接触。
11.根据权利要求1所述的方法,其还包括:
将光致抗蚀剂施加在所述半导体衬底上;
将所述光致抗蚀剂暴露于光;
图案化所述光致抗蚀剂并将图案转移到所述半导体衬底上;以及
选择性地从所述半导体衬底去除所述光致抗蚀剂。
12.一种用于在半导体衬底上沉积介电复合膜的装置,该装置包括:
处理室,其具有用于保持所述半导体衬底的支撑件;和
控制器,其具有用于致使介电复合膜形成的程序指令,其中所述介电复合膜包含Al、Si和O,其中所述介电复合膜的介电常数小于7,并且密度为至少2.5g/cm3,并且其中所述用于致使介电复合膜形成的程序指令包括用于如下的指令:
(i)将含铝前体提供给容纳所述半导体衬底的处理室,并使所述含铝前体吸附在所述半导体衬底的表面上;
(ii)在(i)的所述含铝前体吸附之后,致使吹扫和/或抽空所述处理室;
(iii)在(ii)的所述吹扫和/或抽空所述处理室之后,致使将含硅前体提供到所述处理室中并将所述含硅前体吸附在所述半导体衬底的表面上;
(iv)在(iii)的所述含硅前体吸附之后,致使吹扫和/或抽空所述处理室;以及
(v)在(iv)的所述吹扫和/或抽空所述处理室之后,致使所吸附的所述含铝前体和所述含硅前体与含氧物质反应,以在所述半导体衬底上形成包含Al-O和Si-O键的所述介电复合膜。
13.根据权利要求12所述的装置,其中,所述装置包括:第一导管,其被配置用于将含铝前体输送到所述处理室;和第二导管,其被配置用于将含硅前体输送到所述处理室,其中第一和第二导管是不同的导管。
14.一种系统,其包括根据权利要求12所述的装置以及步进曝光机。
15.一种非暂时性计算机机器可读介质,其包括用于致使介电复合膜形成的代码,其中所述介电复合膜包含Al、Si和O,其中所述介电复合膜的介电常数小于7,并且密度为至少2.5g/cm3,并且其中形成所述介电复合膜包括:
(i)将含铝前体提供给容纳半导体衬底的处理室,并使所述含铝前体吸附在所述半导体衬底的表面上;
(ii)在(i)的所述含铝前体吸附之后,吹扫和/或抽空所述处理室;
(iii)在(ii)的所述吹扫和/或抽空所述处理室之后,将含硅前体提供到所述处理室中并将所述含硅前体吸附在所述半导体衬底的所述表面上;
(iv)在(iii)的所述含硅前体吸附之后,吹扫和/或抽空所述处理室;以及
(v)在(iv)的所述吹扫和/或抽空所述处理室之后,使所吸附的所述含铝前体和所述含硅前体与含氧物质反应,以在所述半导体衬底上形成包含Al-O和Si-O键的所述介电复合膜。
16.一种包含介电复合膜的半导体器件,其中所述介电复合膜包含Al、Si和O,其中所述介电复合膜的厚度在10埃-50埃之间,并且其特征在于介电常数小于7,且密度为至少2.5g/cm3,并且其中形成所述介电复合膜包括:
(i)将含铝前体提供给容纳半导体衬底的处理室,并使所述含铝前体吸附在所述半导体衬底的表面上;
(ii)在(i)的所述含铝前体吸附之后,吹扫和/或抽空所述处理室;
(iii)在(ii)的所述吹扫和/或抽空所述处理室之后,将含硅前体提供到所述处理室中并将所述含硅前体吸附在所述半导体衬底的所述表面上;
(iv)在(iii)的所述含硅前体吸附之后,吹扫和/或抽空所述处理室;以及
(v)在(iv)的所述吹扫和/或抽空所述处理室之后,使所吸附的所述含铝前体和所述含硅前体与含氧物质反应,以在所述半导体衬底上形成包含Al-O和Si-O键的所述介电复合膜。
CN201780060540.0A 2016-09-30 2017-09-27 用于互连结构的复合介电界面层 Active CN109791914B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/282,543 2016-09-30
US15/282,543 US10049869B2 (en) 2016-09-30 2016-09-30 Composite dielectric interface layers for interconnect structures
PCT/US2017/053764 WO2018064189A1 (en) 2016-09-30 2017-09-27 Composite dielectric interface layers for interconnect structures

Publications (2)

Publication Number Publication Date
CN109791914A CN109791914A (zh) 2019-05-21
CN109791914B true CN109791914B (zh) 2024-02-13

Family

ID=61759134

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780060540.0A Active CN109791914B (zh) 2016-09-30 2017-09-27 用于互连结构的复合介电界面层

Country Status (5)

Country Link
US (2) US10049869B2 (zh)
KR (2) KR20190050854A (zh)
CN (1) CN109791914B (zh)
TW (1) TW201826344A (zh)
WO (1) WO2018064189A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10049869B2 (en) 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
FR3112422B1 (fr) * 2020-07-09 2022-08-12 Commissariat Energie Atomique Procédé de réalisation d’une couche diélectrique sur une structure en matériaux III-V
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
WO2023048019A1 (ja) * 2021-09-22 2023-03-30 東京エレクトロン株式会社 半導体装置の製造方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005183851A (ja) * 2003-12-24 2005-07-07 Seiko Epson Corp キャパシタの製造方法、及びキャパシタ、並びに半導体装置
CN1947252A (zh) * 2004-04-26 2007-04-11 微米技术有限公司 存储器阵列、形成存储器阵列的方法和形成位线接触的方法
CN101431047A (zh) * 2007-10-09 2009-05-13 应用材料股份有限公司 用于在多级互连结构中形成空气间隙的方法
US7858510B1 (en) * 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7915166B1 (en) * 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP2012069575A (ja) * 2010-09-21 2012-04-05 Tdk Corp 積層型電子部品
KR20140129618A (ko) * 2013-04-30 2014-11-07 엘지디스플레이 주식회사 산화물 박막 트랜지스터 및 이의 제조 방법
US9190489B1 (en) * 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
EP1327010B1 (en) * 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
TW559999B (en) * 2002-05-08 2003-11-01 Nec Corp Semiconductor device having silicon-including metal wiring layer and its manufacturing method
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20040063308A1 (en) * 2002-09-27 2004-04-01 Taiwan Semiconductor Manufacturing Company Method for forming openings in low-k dielectric layers
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7141500B2 (en) 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
KR100500573B1 (ko) 2003-07-01 2005-07-12 삼성전자주식회사 금속 배선 및 그 제조 방법, 금속 배선을 포함하는 이미지소자 및 그 제조 방법
US6867152B1 (en) * 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR100621765B1 (ko) * 2004-01-20 2006-09-08 삼성전자주식회사 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
JP2005294791A (ja) * 2004-03-09 2005-10-20 Nec Corp 不揮発性メモリ及び不揮発性メモリの製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
DE102004045540A1 (de) * 2004-09-21 2006-03-23 Robert Bosch Gmbh Verfahren und Vorrichtung zum Betreiben einer Brennkraftmaschine mit einem Katalysator
US7790633B1 (en) * 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
KR100624391B1 (ko) * 2004-12-09 2006-09-18 한국전자통신연구원 알루미늄 실리케이트 박막 형성 방법
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7435676B2 (en) * 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
TWI304620B (en) * 2006-01-20 2008-12-21 Ind Tech Res Inst Dielectric layer, composition and method for forming the same
US7776763B2 (en) 2006-05-08 2010-08-17 Tokyo Electron Limited In-situ formation of oxidized aluminum nitride films
US7767262B2 (en) * 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US20080079111A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Semiconductor devices containing nitrided high dielectric constant films
JP2008141003A (ja) * 2006-12-01 2008-06-19 Toshiba Corp 半導体装置の製造方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
JP5229916B2 (ja) * 2007-04-13 2013-07-03 独立行政法人産業技術総合研究所 大気圧以上の圧力に依存して吸着・脱離可能な二酸化炭素吸着剤
US7737488B2 (en) * 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US9245792B2 (en) 2008-07-25 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structures
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN102576727B (zh) * 2010-06-23 2016-01-27 康奈尔大学 门控iii-v半导体结构和方法
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101881181B1 (ko) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 탄탈륨의 이온 유도 원자층 증착
US20130330936A1 (en) * 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
JP5638413B2 (ja) * 2011-02-08 2014-12-10 東京エレクトロン株式会社 マスクパターンの形成方法
US20160181066A1 (en) * 2011-09-29 2016-06-23 Nitride Solutions, Inc. Laminated materials, methods and apparatus for making same, and uses thereof
KR101878311B1 (ko) * 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8784950B2 (en) * 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9397143B2 (en) * 2013-12-20 2016-07-19 Intel Corporation Liner for phase change memory (PCM) array and associated techniques and configurations
US20160017487A1 (en) * 2014-07-15 2016-01-21 Applied Materials, Inc. Integrated pre-clean and deposition of low-damage layers
US9941139B2 (en) * 2014-09-10 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US20160133572A1 (en) * 2014-11-07 2016-05-12 Globalfoundries Inc. Methods of forming a protective layer on an insulating layer for protection during formation of conductive structures
US9837281B2 (en) * 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
KR102514466B1 (ko) * 2014-12-15 2023-03-24 어플라이드 머티어리얼스, 인코포레이티드 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10049869B2 (en) 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) * 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005183851A (ja) * 2003-12-24 2005-07-07 Seiko Epson Corp キャパシタの製造方法、及びキャパシタ、並びに半導体装置
CN1947252A (zh) * 2004-04-26 2007-04-11 微米技术有限公司 存储器阵列、形成存储器阵列的方法和形成位线接触的方法
US7915166B1 (en) * 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
CN101431047A (zh) * 2007-10-09 2009-05-13 应用材料股份有限公司 用于在多级互连结构中形成空气间隙的方法
US7858510B1 (en) * 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
JP2012069575A (ja) * 2010-09-21 2012-04-05 Tdk Corp 積層型電子部品
KR20140129618A (ko) * 2013-04-30 2014-11-07 엘지디스플레이 주식회사 산화물 박막 트랜지스터 및 이의 제조 방법
US9190489B1 (en) * 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme

Also Published As

Publication number Publication date
US20180342389A1 (en) 2018-11-29
WO2018064189A1 (en) 2018-04-05
TW201826344A (zh) 2018-07-16
US10049869B2 (en) 2018-08-14
KR20230107697A (ko) 2023-07-17
CN109791914A (zh) 2019-05-21
KR20190050854A (ko) 2019-05-13
US20180096886A1 (en) 2018-04-05
US10418236B2 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR102166792B1 (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
CN108425100B (zh) 氧化硅的选择性沉积
CN109791914B (zh) 用于互连结构的复合介电界面层
US9385318B1 (en) Method to integrate a halide-containing ALD film on sensitive materials
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
CN112005343A (zh) 使用水解的选择性沉积
JP7396998B2 (ja) 炭素膜の原子層堆積
KR102668418B1 (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant