TWI781824B - 於介電質上選擇性沉積含矽及氧介電膜 - Google Patents

於介電質上選擇性沉積含矽及氧介電膜 Download PDF

Info

Publication number
TWI781824B
TWI781824B TW110142528A TW110142528A TWI781824B TW I781824 B TWI781824 B TW I781824B TW 110142528 A TW110142528 A TW 110142528A TW 110142528 A TW110142528 A TW 110142528A TW I781824 B TWI781824 B TW I781824B
Authority
TW
Taiwan
Prior art keywords
silicon
reactor
group
catalyst
film
Prior art date
Application number
TW110142528A
Other languages
English (en)
Other versions
TW202233874A (zh
Inventor
拉文達 坎喬利亞
國 劉
馬克 帕堤耶
雅各 伍德拉夫
布尚 佐普
新建 雷
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202233874A publication Critical patent/TW202233874A/zh
Application granted granted Critical
Publication of TWI781824B publication Critical patent/TWI781824B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • B82B3/0009Forming specific nanostructures
    • B82B3/0038Manufacturing processes for forming specific nanostructures not provided for in groups B82B3/0014 - B82B3/0033
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)

Abstract

本發明關於一種熱原子層沉積方法,其使用具有至少三異氰酸根配位子的矽前驅物將選自氧化矽或碳摻雜氧化矽的含矽及氧介電膜大量地選擇性沉積於介電表面上,但是不少於金屬表面上。

Description

於介電質上選擇性沉積含矽及氧介電膜
相關申請案之相互參照
本案請求於2020年11月16日申請的美國專利案序號第63/114,165號的優先權。
本文描述的是用於製造電子裝置的組合物及方法。更明確地說,本文描述的是用於介電質上而不是於金屬或金屬氫化物上選擇性地沉積含矽及氧的膜例如氧化矽、氧氮化矽、碳摻雜氧化矽或碳摻雜氧氮化矽,重要的是避免金屬或金屬氫化物層的氧化/使金屬或金屬氫化物層的氧化程度最小化之化合物、組合物及方法。
本領域需要提供一種使用非鹵化前驅物及溫和氧化劑來沉積用於半導體業中某些應用的含矽及氧膜,例如氧化矽或碳摻雜氧化矽之組合物及方法。
美國專利第7,084,076號及第6,992,019號描述使用原子層沉積方法(ALD)沉積二氧化矽膜的方法,其中使用經鹵素或NCO取代的矽氧烷作為Si源。
美國公開案第2013/022496號教導一種藉由ALD於半導體基材上形成具有Si-C鍵的介電膜之方法,其包括:(i) 使前驅物吸附於基材表面上; (ii) 使該吸附的前驅物與反應物氣體於該表面上起反應;(iii) 重複步驟(i)及(ii)以於該基材上形成至少具有Si-C鍵的介電膜。
美國公開案第2014/302688號描述一種於圖案化基材上形成介電層之方法,其可包括於化學氣相沉積艙內的無電漿基材處理區域中結合含矽及碳前驅物及自由基氧前驅物。該含矽及碳前驅物與該自由基氧前驅物起反應以於該圖案化基材上沉積可流動的矽碳氧層(flowable silicon-carbon-oxygen layer)。
美國公開案第2014/302690號描述於基材上形成低k介電材料之方法。該方法可包括藉由使未激發的前驅物流入遠程電漿區域來產生自由基前驅物,並且使該自由基前驅物與氣相矽前驅物起反應以於該基材上沉積可流動膜之步驟。該氣相矽前驅物可包括至少一含矽及氧化合物和至少一矽及碳連結基(linker)。該可流動膜可經固化以形成低k介電材料。
美國公開案第2014/051264號描述於基材上沉積初始可流動的介電膜之方法。該方法包括將含矽前驅物引進含有該基材的沉積艙中。該方法另外包括用位於該沉積艙外部的遠程電漿系統產生至少一激發前驅物,例如自由基氮或氧前驅物。該激發前驅物也被引進該沉積艙,使其在那裡與反應區中的含矽前驅物起反應,於該基材上沉積最初可流動的膜。該可流動膜可於舉例來說水蒸氣環境中處理以形成氧化矽膜。
PCT公開案第WO11043139 A1號描述一種用於形成含矽膜之含有三異氰酸根矽烷(HSi(NCO) 3)的原料。
PCT公開案第WO14134476A1號描述用於沉積包含SiCN及SiCON的膜之方法。某些方法涉及將基材表面暴露於第一和第二前驅物,該第一前驅物具有式 (X yH 3-ySi)zCH 4-z、(X yH 3-ySi)(CH 2)(SiX pH 2-p)(CH 2)(SiX yH 3-y)或(X yH 3-ySi)(CH 2) n(SiX yH 3-y),其中X係鹵素,y具有介於1與3之間的值,z具有介於1與3之間的值,p具有介於0與2之間的值,並且n具有介於2與5之間的值,並且該第二前驅物包含還原胺(reducing amine)。某些方法也包含將該基材表面暴露於氧源以提供包含SiCON的膜。
Gasser, W, Z.等人, Thin Solid Films, 1994, 250, 213的標題為“Quasi-monolayer deposition of silicon dioxide”的參考文獻揭示由新矽源氣體,即四異氰酸酯矽烷(Si(NCO) 4),逐層沉積的SiO 2膜。
Yamaguchi, K. 等人,Applied Surface Science, 1998, 130, 202的標題為 “Atomic-layer chemical-vapor-deposition of silicon dioxide films with an extremely low hydrogen content”的參考文獻揭示SiO 2的原子層沉積使用Si(NCO) 4及N(C 2H 5) 3的氫含量極低。
Mayangsari, T. 等人在題為 “Catalyzed Atomic Layer Deposition of Silicon Oxide at Ultra-low Temperature Using Alkylamine”的參考文獻中報導了使用 Si 2Cl 6、H 2O及各種烷基胺對氧化矽進行觸媒原子層沉積(ALD)。
本領域需要提供一種在半導體製程中,使用沒有強氧化劑例如臭氧或含氧電漿的熱處理,相對於金屬表面選擇性地在介電表面頂部沉積矽介電質例如氧化矽、碳摻雜氧化矽及碳摻雜氧氮化矽的方法。
根據一具體實例,本發明包括一種將氧化矽、氧氮化矽、碳摻雜氧化矽、碳摻雜氧氮化矽膜選擇性地沉積於基材上的表面特徵上之熱原子層沉積方法,該方法包含: a)    將至少一兼具介電表面及金屬表面的基材提供到反應器中, b)    將該反應器加熱至至少一介於環境溫度至約350°C的溫度並且視需要地將該反應器保持於100托耳或更低的壓力, c)    將至少一選自由有機硫醇化合物所組成的群組之自組裝單層(self-assembled monolayer) (SAM)揮發性前驅物引入該反應器以比於該介電表面上更大量地錨定於該金屬表面上, d)    使用惰性氣體從該反應器中吹掃掉任何未反應的前驅物, e)    將選自由四異氰酸根矽烷(TICS)、三異氰酸根矽烷及三異氰酸根甲基矽烷所組成的群組之矽化合物及視需要地觸媒引入該反應器,以將該矽化合物比於該金屬表面上更大量地沉積於該介電表面上; f)    使用惰性氣體從該反應器中吹掃掉任何未反應的矽化合物, g)    將氧源及視需要地觸媒提供到該反應器中以於該介電表面上形成含矽及氧的膜,其中該觸媒包含路易士鹼(Lewis base);及 h)    用吹掃氣體吹掃反應器。 較佳地,該路易士鹼係例如吡啶、六氫吡嗪、氨或包括一級胺H 2NR 1、二級胺HNR 1R 2、三級胺R 1NR 2R 3在內的其他有機胺,其中R 1-3各自係獨立地選自C 1-C 10烷基。
本文描述的是與以熱原子層沉積(ALD)或類ALD製程,例如但不限於循環化學氣相沉積製程(CCVD),使用選自由四異異氰酸根矽烷(TICS)、三異異氰酸根矽烷及三異異氰酸根甲基矽烷所組成的群組之矽前驅物,於金屬表面上方的矽或金屬介電表面上而不於金屬表面上選擇性沉積之組合物及製程。 根據本發明的矽化合物及包含該矽前驅物化合物的組合物較佳為實質上不含鹵化物。如本文所用,該措辭“實質上不含”關於鹵化物離子(或鹵化物),舉例來說,氯化物(即含氯化物的物種例如HCl或具有至少一Si-Cl鍵的矽化合物)及氟化物、溴化物及碘化物,意指藉由離子層析法(IC)或電感耦合電漿質譜法(ICP-MS)測得低於5 ppm (以重量計),較佳為藉由IC或ICP-MS測得低於3 ppm,更佳地藉由IC或ICP-MS測得低於1 ppm,並且最佳地藉由IC或ICP-MS測得0 ppm。該矽化合物較佳地實質上不含金屬或金屬離子例如,Li +(Li)、Na +(Na)、K +(K)、Mg 2+(Mg)、Ca 2+(Ca) Al 3+(Al)、Fe 2+(Fe)、Fe 3+(Fe)、Ni 2+(Fe)、Cr 3+(Cr)、鈦(Ti)、釩(V)、錳(Mn)、鈷(Co)、鎳(Ni)、銅(Cu)或鋅(Zn)。如本文所用,該措辭 “實質上不含”在關係到Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、Ti、V、Mn、Co、Ni、Cu 或 Zn 時意指藉由ICP-MS測得5 ppm或更低(以重量計),較佳地低於3 ppm,更佳地1 ppm或更低,並且最佳地0.1 ppm或更低。除此之外,當用作沉積含矽及氧的膜的前驅物時具有式I的矽化合物較佳地具有藉由GC測得的98重量%或更高的純度,更佳地99 重量%或更高。 本發明之一具體實例包括使用至少一具有異氰酸根配位子的矽化合物沉積具有小於1原子%的碳或/及氮含量的氧化矽膜之方法。本發明之另一具體實例關於使用本文所述的組合物及方法沉積的含矽及氧介電膜,其顯現出於稀HF中極低的蝕刻速率,較佳地約0.20埃/秒或更低或約0.15埃/秒,同時於其他可調性質例如,但不限於,密度、介電常數、折射率及元素組成,方面顯現出可變性。根據較佳具體實例,一矽前驅物係四異氰酸根矽烷(TiCS),其係於觸媒及氧源例如水存在下沉積。在各個不同具體實例中,該觸媒係選自路易士鹼例如吡啶、六氫吡嗪、氨或包括一級胺H 2NR 1、二級胺HNR 1R 2或三級胺R 1NR 2R 3在內的其他有機胺,其中R 1-3係定義如上。有機胺的實例包括但不限於三甲胺、二甲胺、單甲胺、三乙胺、二乙胺、單乙胺、三正丙胺、二正丙胺、單正丙胺、三異丙胺、二異丙胺丙胺、單異丙胺、三正丁胺、二正丁胺、單正丁胺、三異丁胺、二異丁胺、單異丁胺及苯基二甲胺,較佳為三級胺。在一些具體實例中,該觸媒使用不同的氣體管線輸送到該反應器中,而在其他具體實例中,該觸媒利用介於0.001至99.99重量%的觸媒濃度與氧源預混合,然後經由直接液體注入(DLI)或起泡或蒸汽抽吸輸送到該反應器中,較佳為DLI。該觸媒中的氧源例如水的量係介於0.001重量%至99.99重量%之間。
根據示範具體實例所述的方法包含: a)    將至少一兼具介電表面及金屬表面的基材提供到反應器中, b)    將該反應器加熱至至少一介於環境溫度至約350°C的溫度並且視需要地將該反應器保持於100托耳或更低的壓力, c)    將至少一選自由有機硫醇化合物所組成的群組之自組裝單層(SAM)揮發性前驅物引入該反應器以佔優勢地錨定於該金屬表面上而不是於該介電表面上, d)    使用惰性氣體從該反應器中吹掃掉任何未反應的前驅物, e)    將選自由四異氰酸根矽烷(TICS)、三異氰酸根矽烷及三異氰酸根甲基矽烷所組成的群組之矽化合物及視需要地觸媒引入該反應器,以大量地錨定於介電表面上而少許於金屬表面上; f)    使用惰性氣體從該反應器中吹掃掉任何未反應的矽化合物, g)    將包含水蒸氣的氧源及視需要地觸媒提供到該反應器中,其中該觸媒包含路易士鹼,以於該介電表面上形成含矽及氧介電膜;及 h)    用吹掃氣體吹掃反應器。 其中重複步驟c至h或步驟e至h以獲得所需的厚度。該含矽及氧介電膜的厚度介於1 Å至1000 Å,或1 Å至500 Å,或1 Å至300 Å,或1 Å至200 Å,或1 Å至100 Å,或1 Å到 50 Å。該沉積膜也可用氧化劑處理以形成含矽及氧介電膜。在本發明的一些具體實例中,重複步驟e至h以獲得所需厚度,緊接著一附加步驟i),經由引入選自由氫、氫電漿、乙醇或任何其他常見的還原劑例如檸檬酸所組成的群組之還原劑來清潔該金屬表面,為後繼半導體製程提供乾淨的金屬表面,緊接著步驟c以錨定新鮮的自組裝單層(SAM),然後重複步驟e至h以獲得另一所需厚度的含矽及氧介電膜。在一些具體實例中,步驟c可在單獨的反應器中進行,而在另一具體實例中,步驟c可在單獨的反應器中經由液相處理以錨定SAM。
在一特定具體實例中,根據本發明的方法係一種熱原子層沉積方法,其係用於沉積氧化矽及碳摻雜氧化矽,該方法包含: a)    將至少一兼具介電表面及金屬表面的基材提供到反應器中, b)    將該反應器加熱至至少一介於環境溫度至約350°C的溫度並且視需要地將該反應器保持於100托耳或更低的壓力, c)    將至少一選自由有機硫醇化合物所組成的群組之自組裝單層(SAM)揮發性前驅物引入該反應器以佔優勢地錨定於該金屬表面上而不是於該介電表面上, d)    使用惰性氣體從該反應器中吹掃掉任何未反應的前驅物, e)    將選自由四異氰酸根矽烷(TICS)、三異氰酸根矽烷及三異氰酸根甲基矽烷所組成的群組之矽化合物及視需要地觸媒引入該反應器,以大量地錨定於介電表面上而少許於金屬表面上; f)    使用惰性氣體從該反應器中吹掃掉任何未反應的矽化合物, g)    將包含水蒸氣的氧源及視需要地觸媒提供到該反應器中,其中該觸媒包含路易士鹼,以於該介電表面上形成含矽及氧介電膜;及 h)    用吹掃氣體吹掃反應器。 其中重複步驟c至h或步驟e至h以獲得所需的厚度。該含矽及氧介電膜的厚度介於1 Å至1000 Å,或1 Å至500 Å,或1 Å至300 Å,或1 Å至200 Å,或1 Å至100 Å,或1 Å到 50 Å。該沉積膜也可使用氧化劑處理以形成含矽及氧介電膜。在本發明的一些具體實例中,重複步驟e至h以獲得所需厚度,緊接著一附加步驟i),經由引入選自由氫、氫電漿、乙醇或任何其他常見的還原劑所組成的群組之還原劑來清潔該金屬表面,為後繼半導體製程提供乾淨的金屬表面,緊接著步驟c以錨定新鮮的自組裝單層(SAM),然後重複步驟e至h以獲得另一所需厚度的含矽及氧介電膜。在一些具體實例中,步驟c可在單獨的反應器中進行,而在另一具體實例中,步驟c可在單獨的反應器中經由液相處理以錨定SAM。
該金屬表面可選自鈷、鋁、銅、鉭、釕、鉬、鎢或其組合,而介電層可選自氧化矽、碳摻雜氧化矽、氧氮化矽、碳摻雜氧氮化物、氮化矽及金屬氧化物例如氧化鋯、氧化鉿、矽摻雜氧化鋯、矽摻雜氧化鉿或任何其他高k材料。
選用該揮發性有機硫醇化合物以確保該SAM層在高達250℃、高達150℃或高達125℃的溫度下保持穩定,使該溫度適合含矽及氧介電膜的生長,並且具有至少一選自RSH、RSSR及HS-R 1-SH的SH基團,其中R及R 1係獨立地選自C 1至C 20線性烷基、分支C 3至C 20烷基、C 3至C 20環狀烷基、C 3至C 20雜環族基團、C 3至C 20烯基、C 3至C 20炔基、C 1至C 20線性氟烷基及C 4至C 20芳基。有機硫醇的實例包括,但不限於,甲硫醇、乙硫醇、丙硫醇、丁硫醇、戊硫醇、己硫醇、辛硫醇、壬硫醇、癸硫醇、十一烷硫醇、1-十二烷硫醇、1-十二烷硫醇、1-壬硫醇、1-癸硫醇、1-辛硫醇、1-庚硫醇、1-己硫醇、1-戊硫醇、全氟癸硫醇、二硫化二第三丁基、二硫化二庚烷、2-丙烯-1-硫醇、四氫-2H-吡喃-4-硫醇、4-甲基-6 -三氟甲基-嘧啶-2-硫醇、對二甲苯-α-硫醇、4-三氟甲基苯甲基硫醇、4-(三氟甲氧基)苯甲基硫醇、4-氟苯甲基硫醇、3,5-雙(三氟甲基)苯硫醇、2-(三氟甲基)苯硫酚、4-三氟甲基-2,3,5,6-四氟苯硫酚、3,5-二氟苯甲基硫醇、4-三氟甲基-2,3,5,6-四氟苯硫酚及苯硫酚。在一些具體實例中,該揮發性有機硫醇係經由氣相引入艙室,將SAM錨定於該表面上。在其他具體實例中,該揮發性有機硫醇係經由含或不含溶劑的溶液相引入艙室中以將SAM錨定於該表面上。在本文所述方法的又另一具體實例中,可對本發明沉積的膜或原沉積含矽及氧介電膜進行處理步驟(後沉積)。該處理步驟可在該沉積步驟的至少一部分期間、在該沉積步驟之後及以其組合進行。示範處理步驟包括,但不限於,於100至800°C的溫度下用氧化劑/氧源進行處理;經由高溫熱退火處理;電漿處理;紫外(UV)光處理;雷射;電子束處理及其組合以影響該膜的一或更多性質。該氧化劑/氧源可選自過氧化氫、臭氧、水蒸氣、水蒸氣電漿、氧電漿、一氧化二氮電漿、二氧化碳電漿或其組合。該電漿較佳為遠程電漿(remote plasma)。
在另一具體實例中,本文描述的是用於沉積包含一或更多矽前驅物化合物的含矽及氧的膜之容器。在一特定具體實例中,該容器包含至少一可加壓容器(較佳由不銹鋼製成,其具有諸如美國專利第US7334595號;第US6077356號;第US5069244號;及第US5465766號揭示的設計,其揭示內容係以引用的方式併入本文。該容器可包含玻璃(硼矽酸鹽或石英玻璃)或316、316L、304或304L型不銹鋼合金(UNS名稱 S31600、S31603、S30400或S30403),並且裝配適當閥及配件的使一或更多前驅物能運送至該反應器供CVD或ALD製程用。在各種不同具體實例中,將該矽前驅物提供到包含不銹鋼的可加壓容器中並且該前驅物的純度為98重量%或更高或99.5%或更高,其適用於大多數半導體應用。該容器的頂部空間充滿選自氦氣、氬氣、氮氣及其組合的惰性氣體。
在該矽介電沉積製程於該介電表面上達到所需厚度而於該金屬上幾乎沒有沉積或沒有沉積之後,可對該表面進行處理以改善該原沉積膜的品質及/或提供清潔的金屬表面。這些後處理可包括,但不限於,熱處理;氦、氬等電漿處理;暴露於輻射(例如紫外光);及暴露於反應性還原氣體和蒸氣中。
該基材可為該領域之習知技藝者已知的任何基材。在一或更多具體實例中,該基材包含一或更多半導體材料,例如矽(Si)、氧化矽(SiO 2)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷銦(InP)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、二硫化鉬(MoS 2)、二硒化鉬(MoSe 2)、二硫化鎢(WS 2)、二硒化鎢(WSe 2)、氮化鈦(TiN)、氮化鉭(TaN)、鎢 (W)、鉑 (Pt)或銥 (Ir)。在一些具體實例中,該基材可包含間隔物、金屬閘極或接點等。因此,在一或更多具體實例中,該基材可包含半導體材料,其包括,但不限於,銅(Cu)、鈷(Co)、鎢(W)、鈦(Ti)、鉬(Mo)、鎳(Ni)、釕(Ru)、銀(Ag)、金(Au)、銥(Ir)、鉑(Pt)、磷(P)、鍺(Ge)、矽(Si)、鋁(Al)、鋯 (Zr)、碳氮化矽(SiCN)、氧碳化矽 (SiOC)、氮化矽(SiN)、碳化鎢(WC)、氧化鎢(WOx)、氧碳氮化矽(SiONC)或任何此領域之習知技藝者已知的半導體基材。
如本文所用的“基材”表示在製程期間於其上進行膜加工的任何基材或形成於基材上的材料表面。舉例來說,可於其上執行加工的基材表面包括多種材料例如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石及任何其他材料(例如金屬、金屬氮化物、金屬合金及其他導電材料),其取決於應用。基材包括,但不限於,半導體晶圓。基材可暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤該基材表面。除了直接在該基材本身的表面上的膜加工之外,在本發明中,也可對形成於該基材上的底層進行已揭示的任何膜加工步驟,如下文中更詳細揭示的,並且該措辭“基材表面”意在包括上下文所指的底層。因此,舉例來說,當膜/層或部分膜/層已經沉積於基材表面上時,新沉積的膜/層的暴露表面便成為該基材表面。
本發明將參照以下實施例更詳細地加以說明,但是咸應理解本發明不限於此。
實施例1 使用四異氰酸根矽烷、水及三甲胺進行氧化矽的熱ALD。以下熱ALD製程條件係於150°C的基材溫度下進行:如圖 1 所示,獲得氧化矽的線性生長行為,顯示該製程為典型的ALD。 •       TICS來源溫度調整為45至65°C,各脈衝時間固定為2 秒 •       H 2O及三甲胺脈衝時間各為0.015秒(估計1.5% H 2O) •       TICS 60秒捕集 - 15秒吹掃 - (H 2O+三甲胺) 60秒共同捕集(co-trap) - 15秒吹掃 •       在H 2O及三甲胺共同捕集期間的峰值壓力高達600托耳
實施例2 使用SAM進行氧化矽的區域選擇性沉積。
進行以下熱ALD製程條件: •       SAM前驅物:1-十二烷硫醇 •       未處理與檸檬酸清潔的天然氧化物及銅基材 •       天然氧化物上的目標SiO 2厚度:除非另行指明,否則為10 nm •       由於難以測量Cu上SiO 2厚度,因此選擇性以Cu/SAM上的XPS Si原子%表示 •       目標是使Cu/SAM1基材上的XPS Si最少化 •       可能影響選擇性的主要因素 SAM接枝條件:125°C未捕集對比150°C捕集,各接枝10分鐘 SiO 2沉積溫度:60至150°C SiO 2捕集時間影響生長速率、前驅物及共反應物擴散到SAM層中 SiO 2吹掃時間影響TICS及/或H 2O/三甲胺共反應物的物理解吸 30秒對比15秒捕集時間,吹掃時間可變 N 2流量20 sccm,基礎壓力約0.35托耳 如圖2所示,當天然氧化物上的SiO 2厚度低於120Å時,便會有SAM阻止Cu上SiO 2生長之明顯選擇性。
圖1為使用四異氰酸根矽烷、水及作為觸媒的三甲胺時含矽及氧介電膜的厚度與循環次數的關係,其表現出線性生長行為。
圖2顯示在含SAM及不含SAM的情況下,使用四異氰酸根矽烷、水及作為觸媒的三甲胺的銅上含矽及氧介電膜之厚度,其顯示出在天然氧化物上的SiO 2厚度係低於約120 Å並且於約120 Å或更厚時失去選擇性的情況下SAM阻止Cu上SiO 2生長之明顯選擇性。

Claims (10)

  1. 一種熱原子層沉積方法,其係用於將含矽及碳的膜選擇性沉積於基材上表面特徵中,該方法包含: a)    將至少一兼具介電表面及金屬表面的基材提供到反應器中, b)    將該反應器加熱至至少一介於環境溫度至約350°C的溫度並且視需要地將該反應器保持於100托耳或更低的壓力, c)    將至少一選自由有機硫醇化合物所組成的群組之自組裝單層(SAM)揮發性前驅物引入該反應器以比於該介電表面上更大量地錨定於該金屬表面上, d)    使用惰性氣體吹掃該反應器, e)    將選自由四異氰酸根矽烷(TICS)、三異氰酸根矽烷及三異氰酸根甲基矽烷所組成的群組之矽化合物及視需要地觸媒引入該反應器,以將該矽化合物比於金屬表面上更大量地錨定於該介電表面上; f)    使用惰性氣體吹掃該反應器, g)    將氧源及視需要地觸媒提供到該反應器中,其中該觸媒包含路易士鹼(Lewis base),以於該介電表面上形成含矽及氧介電膜;及 h)    使用惰性氣體吹掃該反應器。
  2. 如請求項1之方法,其中該介電表面係選自由氧化矽、碳摻雜氧化矽、氧氮化矽、碳摻雜氧氮化物、氮化矽及金屬氧化物所組成的群組。
  3. 如請求項1之方法,其中該金屬表面包括選自由鈷、鋁、銅、鉭、釕、錳、鉬、鎢及其組合所組成的群組中之至少一金屬。
  4. 如請求項1之方法,其中該有機硫醇化合物係選自由以下所組成的群組:甲硫醇、乙硫醇、丙硫醇、丁硫醇、戊硫醇、己硫醇、辛硫醇、壬硫醇、癸硫醇、十一烷硫醇、1-十二烷硫醇、1-十二烷硫醇、1-壬硫醇、1-癸硫醇、1-辛硫醇、1-庚硫醇、1-己硫醇、1-戊硫醇、全氟癸硫醇、二硫化二第三丁基、二硫化二庚烷、2-丙烯-1-硫醇、四氫-2H-吡喃-4-硫醇、4-甲基-6 -三氟甲基-嘧啶-2-硫醇、對二甲苯-α-硫醇、4-三氟甲基苯甲基硫醇、4-(三氟甲氧基)苯甲基硫醇、4-氟苯甲基硫醇、3,5-雙(三氟甲基)苯硫醇、2-(三氟甲基)苯硫酚、4-三氟甲基-2,3,5,6-四氟苯硫酚、3,5-二氟苯甲基硫醇、4-三氟甲基-2,3,5,6-四氟苯硫酚及苯硫酚。
  5. 如請求項1之方法,其中該氧源包含水。
  6. 如請求項1之方法,其中該觸媒係於步驟g)中供入該反應器。
  7. 如請求項6之方法,其中該觸媒係選自由三甲胺、三乙胺、三正丙胺、三異丙胺、三正丁胺、苯基二甲胺、三異丁胺、吡啶及六氫吡嗪所組成的群組。
  8. 如請求項6之方法,其中該氧源及該觸媒係於步驟g)中在供入該反應器之前先混合。
  9. 如請求項1之方法,其中該含矽及氧的膜係選自由氧化矽膜、氧氮化矽膜、碳摻雜氧化矽膜及碳摻雜氧氮化矽膜所組成的群組。
  10. 如請求項2之方法,其中該金屬氧化物係選自由氧化鋯、氧化鉿、矽摻雜氧化鋯及矽摻雜氧化鉿所組成的群組。
TW110142528A 2020-11-16 2021-11-16 於介電質上選擇性沉積含矽及氧介電膜 TWI781824B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063114165P 2020-11-16 2020-11-16
US63/114,165 2020-11-16

Publications (2)

Publication Number Publication Date
TW202233874A TW202233874A (zh) 2022-09-01
TWI781824B true TWI781824B (zh) 2022-10-21

Family

ID=81601757

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110142528A TWI781824B (zh) 2020-11-16 2021-11-16 於介電質上選擇性沉積含矽及氧介電膜

Country Status (7)

Country Link
US (1) US20230416911A1 (zh)
EP (1) EP4225964A1 (zh)
JP (1) JP2023550351A (zh)
KR (1) KR20230106177A (zh)
CN (1) CN116583623A (zh)
TW (1) TWI781824B (zh)
WO (1) WO2022104226A1 (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201835382A (zh) * 2017-03-17 2018-10-01 美商慧盛材料美國責任有限公司 於含矽表面的選擇性沉積
TW201908506A (zh) * 2017-07-14 2019-03-01 荷蘭商Asm Ip控股公司 氣相塗佈之方法及氣相沈積製程
CN111373507A (zh) * 2017-11-22 2020-07-03 朗姆研究公司 SiO2在铜存在下在电介质表面上的选择性生长

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201835382A (zh) * 2017-03-17 2018-10-01 美商慧盛材料美國責任有限公司 於含矽表面的選擇性沉積
TW201908506A (zh) * 2017-07-14 2019-03-01 荷蘭商Asm Ip控股公司 氣相塗佈之方法及氣相沈積製程
CN111373507A (zh) * 2017-11-22 2020-07-03 朗姆研究公司 SiO2在铜存在下在电介质表面上的选择性生长

Also Published As

Publication number Publication date
CN116583623A (zh) 2023-08-11
US20230416911A1 (en) 2023-12-28
EP4225964A1 (en) 2023-08-16
JP2023550351A (ja) 2023-12-01
TW202233874A (zh) 2022-09-01
WO2022104226A1 (en) 2022-05-19
KR20230106177A (ko) 2023-07-12

Similar Documents

Publication Publication Date Title
TWI803909B (zh) 摻雜碳的矽氧化物的沉積
EP1939323B1 (en) Cyclic chemical vapor deposition of metal-silicon containing films
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
TW202122617A (zh) 成膜方法
US11970777B2 (en) Deposition of low-k films
TWI781824B (zh) 於介電質上選擇性沉積含矽及氧介電膜
TWI767661B (zh) 含矽及氮膜的製造方法
CN112805405B (zh) 用于制备含硅和氮的膜的方法
US20220259734A1 (en) Reducing Agents for Atomic Layer Deposition
TWI792947B (zh) 熱沉積含矽膜的組合物及方法
TWI797858B (zh) 選擇性熱原子層沉積
KR102536289B1 (ko) 금속 표면 상의 헤테로사이클릭 패시베이션 막의 선택적 증착
WO2023182080A1 (ja) 成膜方法及び成膜装置
WO2023182039A1 (ja) 成膜方法及び成膜装置
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法
CN116918029A (zh) 选择性热原子层沉积
WO2022256410A1 (en) Methods of lowering deposition rate
JP2024502998A (ja) モリブデン膜の堆積のためのモリブデン(iv)及びモリブデン(iii)前駆体

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent