JP2024502998A - モリブデン膜の堆積のためのモリブデン(iv)及びモリブデン(iii)前駆体 - Google Patents

モリブデン膜の堆積のためのモリブデン(iv)及びモリブデン(iii)前駆体 Download PDF

Info

Publication number
JP2024502998A
JP2024502998A JP2023541281A JP2023541281A JP2024502998A JP 2024502998 A JP2024502998 A JP 2024502998A JP 2023541281 A JP2023541281 A JP 2023541281A JP 2023541281 A JP2023541281 A JP 2023541281A JP 2024502998 A JP2024502998 A JP 2024502998A
Authority
JP
Japan
Prior art keywords
molybdenum
substrate
precursor
film
iii
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023541281A
Other languages
English (en)
Inventor
アンドレア レオンチーニ,
ポール メールマン,
ネマニャ ドルデヴィッチ,
ハン ビン フィン,
ドリーン ウェイ イン ヨン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024502998A publication Critical patent/JP2024502998A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

モリブデン(IV)及びモリブデン(III)配位錯体が記載される。基板上にモリブデン含有膜を堆積する方法が記載される。基板をモリブデン前駆体及び反応物に曝露して、モリブデン含有膜(例えば、元素のモリブデン、酸化モリブデン、炭化モリブデン、ケイ化モリブデン、窒化モリブデン)を形成する。曝露は連続的に行うことも、同時に行うこともできる。【選択図】図1

Description

[0001]本開示の実施形態は、モリブデン前駆体及びモリブデン含有膜を堆積するための方法に関する。より詳細には、本開示の実施形態は、ピラゾロ、ピラゾラート、グアニジノ、及びイミナート基を含むモリブデン(IV)又はモリブデン(III)錯体、並びにその使用方法に関する。
[0002]半導体処理産業は、より大きな表面積を有する基板上に堆積される層の均一性を高めながら、より大きな生産歩留まりを目指して努力し続けている。これらの同じ要素と新しい材料の組み合わせにより、基板の単位面積あたりの回路の集積度も向上する。回路の集積度が高まるにつれて、層の厚さに関する均一性と処理制御の必要性が高まる。その結果、層の特性の制御を維持しながら、コスト効率の高い方法で基板上に層を堆積するための様々な技術が開発されてきた。
[0003]化学気相堆積(CVD)は、基板上に層を堆積するために使用される最も一般的な堆積処理の1つである。CVDはフラックスに依存する堆積技術であり、均一な厚さの所望の層を生成するために、基板温度と処理チャンバに導入される前駆体の正確な制御が必要である。これらの要件は基板サイズが大きくなるにつれてより重要になり、適切な均一性を維持するためにチャンバ設計とガス流技術をより複雑にする必要性が生ずる。
[0004]優れた工程カバレッジを示すCVDの一種は、周期的堆積又は原子層堆積である。周期的堆積は原子層エピタキシー(ALE)に基づいており、化学吸着技術を使用して、連続サイクルで基板表面上に前駆体分子を供給する。該周期は、基板表面を第1の前駆体、パージガス、第2の前駆体、及びパージガスに曝露する。第1及び第2の前駆体は反応して、生成物化合物を基板表面上に膜として形成する。周期を繰り返して、層を所望の厚さに形成する。
[0005]先進的なマイクロ電子デバイスの複雑化により、現在使用されている堆積技術には厳しい要求が課されている。残念ながら、膜成長の発生に適した堅牢な熱安定性、高い反応性、蒸気圧という必須特性を備えた、利用可能な実行可能な化学前駆体の数は限られている。さらに、これらの要件を満たすことが多い前駆体は依然として長期安定性が低く、酸素、窒素、ハロゲン化物などの高濃度の汚染物質を含む薄膜が生成され、これらの汚染物質はターゲットの膜用途に有害となることが多々ある。
[0006]モリブデン及びモリブデンベースの膜は、魅力的な材料と導電特性を備えている。これらの膜は、半導体及びマイクロ電子デバイスのフロントエンド部分からバックエンド部分までの用途向けに提案され、試験されている。モリブデン前駆体の処理には、多くの場合、ハロゲン及びカルボニルベースの置換基の使用が含まれる。これらの配位子は、反応性の低下と処理温度の上昇を犠牲にして、十分な安定性を提供する。他のモリブデン前駆体にはアニオン性窒素配位子が含まれており、窒化物不純物の形成につながる可能性がある。したがって、当技術分野では、反応してモリブデン金属及びモリブデンベースの膜を形成するハロゲン基及びカルボニル基を含まないモリブデン前駆体が必要とされている。
[0007]本開示の1つ又は複数の実施形態は、金属配位錯体に関する。1つ又は複数の実施形態では、金属配位錯体は、モリブデン(IV)又はモリブデン(III)を含み、ハロゲン及びカルボニルを実質的に含まない。
[0008]本開示の1つ又は複数の実施形態は、膜を堆積する方法に関する。1つ又は複数の実施形態では、膜を堆積する方法は、基板をモリブデン(IV)前駆体又はモリブデン(III)前駆体に曝露することと、基板を反応物に曝露して、基板上にモリブデン含有膜を形成することとを含む。
[0009]本開示のさらなる実施形態は、膜を堆積する方法に関する。1つ又は複数の実施形態では、膜を堆積する方法は、モリブデン(IV)前駆体又はモリブデン(III)前駆体、パージガス、反応物、及びパージガスへの基板の連続曝露を含む処理サイクルでモリブデン含有膜を形成することを含む。
[0010]本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより詳細な説明を、実施形態を参照することによって行うことができ、そのいくつかを添付の図面に示す。しかしながら、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、その範囲を限定すると見なされるべきではないことに留意されたい。
[0011]本開示の1つ又は複数の実施形態による方法の処理フロー図を示す。
[0012]本発明のいくつかの例示的な実施形態を説明する前に、本発明は、以下の説明に記載される構成又は処理ステップの詳細に限定されないことを理解されたい。本発明は、他の実施形態が可能であり、様々な方法で実施又は実行することができる。
[0013]本開示の実施形態は、モリブデン含有膜を堆積するための前駆体及び処理を提供する。1つ又は複数の実施形態のこれらの金属配位錯体は、ハロゲン及びカルボニル基を実質的に含まない。ピラゾール/ピラゾラート又はグアニジン/イミナート配位子とモリブデン(IV)原子又はモリブデン(III)原子との錯体形成により、熱的に安定な18電子錯体が形成される。配位子間の水素結合により、ALD及びCVD条件下での安定性がさらに高まる。様々な実施形態の処理は、原子層堆積(ALD)又は化学気相堆積(CVD)などの堆積技術を使用して、モリブデン膜を提供する。1つ又は複数の実施形態のモリブデン前駆体は揮発性であり、熱的に安定であるため、堆積に適している。
[0014]本明細書で使用される場合、「実質的に含まない」という用語は、モリブデン含有膜において、原子ベースで、約4%未満、約3%未満、約2%未満、約1%未満、及び約0.5%未満を含む、約5%未満のハロゲンが存在することを意味する。いくつかの実施形態では、モリブデン含有膜はカルボニル基を実質的に含まず、モリブデン含有膜において、原子ベースで、約4%未満、約3%未満、約2%未満、約1%未満、及び約0.5%未満を含む、約5%未満のカルボニル基が存在する。
[0015]ここで使用される「基板」は、製造処理中に膜処理が実行される基板上に形成された任意の基板又は材料表面を指す。例えば、その上で処理が実行可能である基板表面は、用途に応じて、ケイ素、酸化ケイ素、歪みシリコン、シリコン・オン・インシュレータ(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電性材料といった他の任意の材料を含む。基板は、半導体ウエハを含むが、これらに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニーリング及び/又はベーキングするための前処理プロセスに曝すことができる。基板自体の表面に直接膜処理するだけでなく、本発明では、開示される膜処理工程のいずれも、以下により詳細に開示されるように、基板上に形成された下層上で実行することもでき、「基板表面」という用語は、文脈が示すような下層を含むことを意図する。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合、新たに堆積された膜/層の露出表面が基板表面となる。
[0016]1つ又は複数の実施形態によれば、この方法は原子層堆積(ALD)処理を使用する。このような実施形態では、基板表面は、連続的又は実質的に連続的に前駆体(又は反応性ガス)に曝露される。本明細書全体にわたって使用される「実質的に順次的に」とは、多少の重複はあり得るが、前駆体曝露期間の大部分が共試薬への曝露と重複しないことを意味する。
[0017]本明細書及び添付の特許請求の範囲で使用される場合、「前駆体」、「反応物」、「反応性ガス」などの用語は基板表面上に形成された膜と反応することができる任意のガス種を指すために交換可能に使用される。
[0018]本明細書で使用される「原子層堆積」又は「周期的堆積」は、基板表面上に材料の層を堆積するために、2つ以上の反応性化合物を順次的に曝露することを指す。本明細書及び添付の特許請求の範囲で使用される場合、用語「反応性化合物」、「反応性ガス」、「反応種」、「前駆体」、「処理ガス」などは、表面反応(例えば、化学吸着、酸化、還元)において基板表面又は基板表面上の材料と反応することができる種を有する物質を意味するために交換可能に使用される。基板又は基板の一部は、処理チャンバの反応ゾーンに導入される2つ以上の反応性化合物に連続的に曝露される。タイムドメインALD処理では、各反応性化合物への曝露が時間遅延によって分離され、各化合物が基板表面に付着及び/又は反応できるようになる。空間ALD処理では、基板表面の異なる部分、又は基板表面上の材料が同時に2つ以上の反応性化合物に曝露されるため、基板上の任意の点が実質的に同時に複数の反応性化合物に曝露されることはない。この明細書及び添付の特許請求の範囲で使用される場合、この点で使用される「実質的に」という用語は、当業者には理解されるように、基板のごく一部が拡散により複数の反応性ガスに同時に曝される可能性があり、同時曝露が意図されていない可能性があることを意味する
[0019]時間領域ALD処理の一態様では、第1の反応性ガス(すなわち、第1の前駆体又は化合物A)が反応ゾーンにパルス的に導入され、その後、第1の時間遅延が生じる。次に、第2の前駆体又は化合物Bが反応ゾーンにパルスされ、その後第2の遅延が続く。各遅延時間中に、アルゴンなどのパージガスが処理チャンバに導入されて、反応ゾーンをパージするか、あるいは残留反応性化合物又は副生成物を反応ゾーンから除去する。あるいはまた、パージガスは、反応性化合物のパルス間の時間遅延中にパージガスのみが流れるように、堆積処理全体を通じて連続的に流れてもよい。反応性化合物は、所望の膜又は膜厚が基板表面に形成されるまで交互にパルス化される。どちらのシナリオでも、化合物A、パージガス、化合物B、及びパージガスをパルスするALD処理が1サイクルになる。サイクルは化合物A又は化合物Bのいずれかで開始し、所望の厚さの膜が得られるまでサイクルのそれぞれの順序を継続する。
[0020]空間ALD処理の一側面では、第1の反応性ガスと第2の反応性ガス(例えば、水素ラジカル)は同時に反応ゾーンに供給されるが、不活性ガスカーテン及び/又は真空カーテンによって分離される。基板上の任意の点が第1の反応性ガス及び第2の反応性ガスに曝露されるように、基板はガス供給装置に対して移動される。
[0021]理論に縛られる意図はないが、モリブデン(Mo)前駆体の構造中にハロゲン、カルボニル基、そしていくつかの場合において、酸素が存在すると、ハロゲンと酸素の汚染がデバイスの性能に影響を及ぼし、追加の除去手順が必要になる可能性があるため、課題が生じる可能性があると考えられている。カルボニル(CO)は金属と強く結合するため、その除去にはより高い熱量を必要とするか、追加の試薬を使用する必要がある。カルボニル(CO)は、他の金属表面を再堆積させて汚染する可能性がある。
[0022]モリブデン(Mo)は、多くの用途で原子層堆積又は化学気相堆積によって成長させることができる。本開示の1つ又は複数の実施形態は、モリブデン含有膜を形成するための原子層堆積又は化学気相堆積の処理を有利に提供する。この明細書及び添付の特許請求の範囲で使用される場合、「モリブデン含有膜」という用語は、モリブデン原子を含む膜を指し、約1原子%以上のモリブデン、約2原子%以上のモリブデン、約3原子%以上のモリブデン、約4原子%以上のモリブデン、約5原子%以上のモリブデン、約10原子%以上のモリブデン、約15原子%以上のモリブデン、約20原子%以上のモリブデン、約25原子%以上のモリブデン、約30原子%以上のモリブデン、約35原子%以上のモリブデン、約40原子%以上のモリブデン、約45原子%以上のモリブデン、約50原子%以上のモリブデン、又は約60原子%以上のモリブデンを有する。いくつかの実施形態では、モリブデン含有膜は、モリブデン金属(モリブデン元素)、酸化モリブデン(MoO、MoO)、炭化モリブデン(MoC、MoC)、ケイ化モリブデン(MoSi)、又は窒化モリブデン(MoN)のうちの1つ又は複数を含む。当業者であれば、MoSiのような分子式の使用は、元素間の特定の化学量論的関係を意味するものではなく、単にフィルムの主要成分の同一性を意味することを認識するであろう。例えば、MoSiとは、モリブデン原子とケイ素原子を主成分とする膜を指す。いくつかの実施形態では、特定の膜の主組成(すなわち、特定の原子の原子百分率の合計)は、原子ベースで膜の約95%、98%、99%、又は99.5%以上である。
[0023]図1を参照すると、本開示の1つ又は複数の実施形態は、膜を堆積する方法100に関する。図1に示す方法は、反応性ガスの気相反応を防止又は最小限に抑える方法で基板又は基板表面を反応性ガスに連続的に曝露する原子層堆積(ALD)処理を表す。いくつかの実施形態では、本方法は、反応性ガスを処理チャンバ内で混合して反応性ガスの気相反応及び薄膜の堆積を可能にする化学気相堆積(CVD)処理を含む。
[0024]いくつかの実施形態では、方法100は前処理操作105を含む。前処理は、当業者に知られている任意の適切な前処理であってよい。適切な前処理には、予熱、洗浄、浸漬、自然酸化物の除去、又は接着層(例えば、窒化チタン(TiN))の堆積が含まれるが、これらに限定されない。1つ又は複数の実施形態では、窒化チタンなどの接着層が操作105で堆積される。
[0025]堆積110では、基板(又は基板表面)上にモリブデン含有膜を堆積するための処理が実行される。堆積処理は、基板上に膜を形成するための1つ又は複数の操作を含むことができる。操作112では、基板(又は基板表面)をモリブデン前駆体に曝露して、基板(又は基板表面)上に膜を堆積する。モリブデン前駆体は、基板表面と反応(すなわち、吸着又は化学吸着)して基板表面上にモリブデン含有種を残すことができる任意の適切なモリブデン含有化合物であってよい。
[0026]金属膜のALDのための現在のモリブデン前駆体は、ハロゲン及びカルボニルベースの置換基を使用しており、反応性の低下と処理温度の上昇を犠牲にして十分な安定性を提供する。他のモリブデン前駆体にはアニオン性窒素配位子が含まれており、窒化物不純物の形成につながる可能性がある。したがって、1つ又は複数の実施形態は、ピラゾール/ピラゾラート配位子又はグアニジン/イミナート配位子を使用して、熱的に安定な18電子錯体を形成する。配位子間の水素結合は、さらなる安定性を提供する。この組み合わせにより、高い揮発性を維持しながら、向上した熱安定性を有するモリブデン前駆体が提供される。
[0027]1つ又は複数の実施形態では、モリブデン前駆体、特にモリブデン(IV)前駆体又はモリブデン(III)前駆体は、式(I)、
Figure 2024502998000002
[式中、Lは、ピラゾロ、ピラゾラート、グアニジノ、及びイミナートからなる群から独立して選択される]の構造を有する。
[0028]理論に束縛されるつもりはないが、ピラゾール及びグアニジンの配位子クラスには窒素原子と炭素原子のみが含まれており、酸素を含むモリブデン前駆体と比較してモリブデン中心の還元が容易になる可能性があると考えられている。さらに、異なるアルキル基(R=iPr、tBu、-CH2tBu)を使用すると、ターゲット種の揮発性が増加する可能性がある。
[0029]特に断りのない限り、本明細書で単独で、又は別の基の一部として使用される用語「低級アルキル(lower alkyl)」、「アルキル(alkyl)」、又は「アルキ(alk)」は、通常の鎖に炭素数1~20個、又は炭素数1~10個、例えば、メチル、エチル、プロピル、イソプロピル、ブチル、t-ブチル、イソブチル、ペンチル、ヘキシル、イソヘキシル、ヘプチル、4,4-ジメチルペンチル、オクチル、2,2,4-トリメチルペンチル、ノニル、デシル、ウンデシル、ドデシル、それらの様々な分岐状異性体などを含む、線状及び分枝状の炭化水素の両方を含む。このような基は、場合により、1~4個までの置換基を含んでいてもよい。アルキルは置換されていても置換されていなくてもよい。
[0030]特定の実施形態では、Lは独立して、
Figure 2024502998000003
からなる群から選択され、Rは、非置換又は置換のC~C10アルキル基である。1つ又は複数の実施形態において、Rは、iPr-、tBu-、及び
Figure 2024502998000004
置換基から独立して選択され得る。
[0031]1つ又は複数の実施形態において、金属配位錯体は式(I)の構造を含む。式(I)の構造は、
[0032]
Figure 2024502998000005
からなる群から選択することができる。
[0033]本明細書で使用される場合、「基板表面」とは、その上に層が形成され得る任意の基板表面を指す。基板表面は、その中に形成された1つ又は複数の特徴、その上に形成された1つ又は複数の層、及びそれらの組み合わせを有してもよい。基板(又は基板表面)は、モリブデン含有層の堆積前に、例えば、研磨、エッチング、還元、酸化、ハロゲン化、水酸化、アニーリング、ベーキングなどによって前処理されてもよい。
[0034]基板は、その上に材料を堆積させることができる任意の基板、例えば、ケイ素基板、III-V化合物基板、シリコンゲルマニウム(SiGe)基板、エピ基板、シリコン・オン・インシュレータ(SOI)基板、液晶ディスプレイ(LCD)、プラズマディスプレイ、エレクトロルミネッセンス(EL)ランプディスプレイなどのディスプレイ基板、ソーラーアレイ、ソーラーパネル、発光ダイオード(LED)基板、半導体ウエハなどであることができる。いくつかの実施形態では、モリブデン含有層がその上に少なくとも部分的に形成されるように、1つ又は複数の追加の層を基板上に配置することができる。例えば、いくつかの実施形態では、金属、窒化物、酸化物など、又はそれらの組み合わせを含む層が基板上に配置され得、そのような層上にモリブデン含有層が形成され得る。
[0035]操作114において、処理チャンバは、未反応のモリブデン前駆体、反応生成物及び副生成物を除去するために任意選択的にパージされる。このように使用される場合、「処理チャンバ」という用語は、処理チャンバの完全な内部領域を包含することなく、基板表面に隣接する処理チャンバの部分も含む。例えば、空間的に分離された処理チャンバのセクターでは、基板表面に隣接する処理チャンバの部分は、モリブデン前駆体を全く含まないか、又は実質的に含まない処理チャンバの部分又はセクターにガスカーテンを通して基板を移動させることを含むがこれに限定されない任意の適切な技術によってモリブデン前駆体をパージする。1つ又は複数の実施形態では、処理チャンバをパージすることは、減圧を適用することを含む。いくつかの実施形態では、処理チャンバをパージすることは、基板上にパージガスを流すことを含む。いくつかの実施形態では、処理チャンバの一部は、処理チャンバ内の微小容積又は小容積の処理ステーションを指す。基板表面に言及する「隣接する」という用語は、表面反応(例えば、前駆体吸着)が起こるのに十分な空間を提供できる、基板表面に隣接する物理的空間を意味する。1つ又は複数の実施形態では、パージガスは、窒素(N)、ヘリウム(He)、及びアルゴン(Ar)のうちの1つ又は複数から選択される。
[0036]操作116では、基板(又は基板表面)を反応物に曝露して、基板上に1つ又は複数のモリブデン膜を形成する。反応物は基板表面上のモリブデン含有種と反応してモリブデン含有膜を形成させることができる。いくつかの実施形態では、反応物は還元剤を含む。1つ又は複数の実施形態において、還元剤は、当業者に知られている任意の還元剤を含むことができる。他の実施形態では、反応物は酸化剤を含む。1つ又は複数の実施形態において、酸化剤は、当業者に知られている任意の酸化剤を含むことができる。さらなる実施形態では、反応物は、1つ又は複数の酸化剤及び還元剤を含む。
[0037]特定の実施形態では、反応物は、1,1-ジメチルヒドラジン(DMH)、アルキルアミン、ヒドラジン、アルキルヒドラジン、アリルヒドラジン、水素(H)、アンモニア(NH)、アルコール、水(HO)、酸素(O)、オゾン(O)、亜酸化窒素(NO)、二酸化窒素(NO)、過酸化物、及びそれらのプラズマのうちの1つ又は複数から選択される。いくつかの実施形態では、アルキルアミンは、tert-ブチルアミン(tBuNH)、イソプロピルアミン(iPrNH)、エチルアミン(CHCHNH)、ジエチルアミン((CHCHNH)、又はブチルアミン(BuNH)のうちの1つ又は複数から選択される。いくつかの実施形態では、反応物は、式R’NH、R’NH、R’N、R’SiNH、(R’Si)NH、(R’Si)Nを有する化合物の1つ又は複数を含み、式中、各R’は、独立して、H又は1から12個の炭素原子を有するアルキル基である。いくつかの実施形態では、実質的に、アルキルアミンは、tert-ブチルアミン(tBuNH2)、イソプロピルアミン(iPrNH)、エチルアミン(CHCHNH)、ジエチルアミン((CHCHNH)、又はブチルアミン(BuNH)のうちの1つ又は複数からなる。
[0038]操作118では、反応物への曝露後に処理チャンバは任意選択的にパージされる。操作118における処理チャンバのパージは、操作114におけるパージと同じ処理であってもよいし、異なる処理であってもよい。処理チャンバ、処理チャンバの一部、基板表面に隣接する領域などをパージすると、基板表面に隣接する領域から未反応の反応物、反応生成物及び副生成物が除去される。
[0039]決定120で、堆積膜の厚さ、又はモリブデン前駆体と反応物のサイクル数が考慮される。堆積された膜が所定の厚さに達した場合、又は所定数の処理サイクルが実行された場合、方法100は任意選択の後処理操作130に移る。堆積膜厚又は処理サイクル数が所定のいき値に達していない場合、方法100は操作110に戻り、操作112で再び基板表面をモリブデン前駆体に曝露し、継続する。
[0040]任意の後処理操作130は、例えば、膜特性を変更する処理(例えば、アニーリング)、又は追加の膜を成長させるためのさらなる膜堆積処理(例えば、追加のALD又はCVD処理)であってよい。いくつかの実施形態では、任意の後処理操作130は、堆積膜の特性を変更する処理であってもよい。いくつかの実施形態では、任意の後処理操作130は、堆積したままの膜をアニーリングすることを含む。いくつかの実施形態では、アニーリングは、約300℃、400℃、500℃、600℃、700℃、800℃、900℃又は1000℃の範囲の温度で行われる。いくつかの実施形態のアニーリング環境は、不活性ガス(例えば、分子状窒素(N)、アルゴン(Ar))又は還元性ガス(例えば、分子状水素(H)又はアンモニア(NH))、又はこれらに限定されないが、酸素(O)、オゾン(O)、又は過酸化物などの酸化剤のうちの1つ又は複数を含む。アニーリングは、任意の適切な時間にわたって実行することができる。いくつかの実施形態では、膜は、約15秒~約90分の範囲、又は約1分~約60分の範囲の所定の時間アニーリングされる。いくつかの実施形態では、堆積したままの膜をアニーリングすることにより、膜の密度が増加し、抵抗率が減少し、及び/又は膜の純度が増加する。
[0041]方法100は、例えば、モリブデン前駆体、反応物、又は装置の熱量に応じて、任意の適切な温度で実行することができる。1つ又は複数の実施形態では、高温処理の使用は、論理デバイスなどの温度に敏感な基板にとっては望ましくない場合がある。いくつかの実施形態では、モリブデン前駆体への曝露(操作112)及び反応物への曝露(操作116)は同じ温度で行われる。いくつかの実施形態では、基板は、約20℃~約400℃、又は約50℃~約650℃の範囲の温度に維持される。
[0042]いくつかの実施形態では、モリブデン前駆体への曝露(操作112)は、反応物への曝露(操作116)とは異なる温度で行われる。いくつかの実施形態では、基板は、モリブデン前駆体への曝露のために、約20℃~約400℃、又は約50℃~約650℃の範囲の第1の温度に維持され、反応物を曝露するために、約20℃~約400℃、又は約50℃~約650℃の範囲の第2の温度に維持される。
[0043]図1に示される実施形態では、堆積操作110において、基板(又は基板表面)がモリブデン前駆体及び反応物に順次曝露される。別の図示されていない実施形態では、基板(又は基板表面)は、CVD反応においてモリブデン前駆体及び反応物に同時に曝露される。CVD反応では、基板(又は基板表面)をモリブデン前駆体と反応物のガス状混合物に曝露して、所定の厚さを有するモリブデン含有膜を堆積することができる。CVD反応において、モリブデン含有膜は、混合反応性ガスへの1回の曝露で堆積することができるか、あるいは、間にパージを挟んで混合反応性ガスへの複数回の曝露を行うこともできる。
[0044]いくつかの実施形態では、形成されたモリブデン含有膜は元素のモリブデンを含む。換言すれば、いくつかの実施形態では、モリブデン含有膜はモリブデンを含む金属膜を含む。いくつかの実施形態では、金属膜は本質的にモリブデンからなる。このように使用される場合、「本質的にモリブデンからなる」という用語は、モリブデン含有膜が原子ベースで約80%、85%、90%、95%、98%、99%又は99.5%以上のモリブデンであることを意味する。モリブデン含有膜の組成の測定は、隣接する膜からの元素の拡散が起こる可能性がある界面領域を除いた、膜のバルク部分を指す。
[0045]他の実施形態では、モリブデン含有膜は、原子ベースで約5%、7.5%、10%、12.5又は15%以上の酸素含有量を有する酸化モリブデン(MoO)を含む。いくつかの実施形態では、モリブデン含有膜は、原子ベースで約2%~約30%の範囲、又は約3%~約25%の範囲、又は約4%~約20%の範囲の酸素含有量を含む。
[0046]他の実施形態では、モリブデン含有膜は、原子ベースで約5%、7.5%、10%、12.5又は15%以上の炭素含有量を有する炭化モリブデン(MoC)を含む。いくつかの実施形態では、モリブデン含有膜は、原子ベースで約2%~約30%の範囲、又は約3%~約25%の範囲、又は約4%~約20%の範囲の炭素含有量を含む。
[0047]堆積操作110を繰り返して、所定の厚さを有する酸化モリブデン膜、炭化モリブデン膜、ケイ化モリブデン膜、窒化モリブデン膜のうちの1つ又は複数を形成することができる。いくつかの実施形態では、堆積操作110が繰り返されて、約0.3nm~約100nmの範囲、又は約30Å~約3000Åの範囲の厚さを有する酸化モリブデン膜、炭化モリブデン膜、ケイ化モリブデン膜、窒化モリブデン膜のうちの1つ又は複数が形成される。
[0048]本開示の1つ又は複数の実施形態は、高アスペクト比のフィーチャ内にモリブデン含有膜を堆積する方法に関する。高アスペクト比の特徴は、高さ:幅の比が約10、20、又は50以上、あるいはそれを超えるトレンチ、ビア、又はピラーである。いくつかの実施形態では、モリブデン含有膜は、高アスペクト比のフィーチャ上に共形性に堆積される。このように使用される場合、共形性膜は、フィーチャの頂部付近で、フィーチャの底部の厚さの約80~120%の範囲にある厚さを有する。
[0049]本開示のいくつかの実施形態は、フィーチャのボトムアップの間隙充填のための方法に関する。ボトムアップの間隙充填処理は、フィーチャを底部から充填するのに対し、共形性処理はフィーチャを底部と側面から充填する。いくつかの実施形態では、フィーチャは、底部に第1の材料(例えば、窒化物)を有し、側壁に第2の材料(例えば、酸化物)を有する。モリブデン含有膜は、モリブデン膜がボトムアップ方式でフィーチャを充填するように、第2の材料に対して第1の材料上に選択的に堆積する。
[0050]1つ又は複数の実施形態によれば、層の形成前及び/又は形成後に基板に処理が施される。この処理は、同じチャンバ内で実行することも、1つ又は複数の別個の処理チャンバ内で実行することもできる。いくつかの実施形態では、基板は、さらなる処理のために第1のチャンバから別の第2のチャンバに移動される。基板は第1のチャンバから別の処理チャンバに直接移動することができ、あるいは第1のチャンバから1つ又は複数の搬送チャンバに移動してから別の処理チャンバに移動することができる。したがって、処理装置は、移送ステーションと連通する複数のチャンバを含むことができる。この種の装置は、「クラスタツール」又は「クラスタシステム」などと呼ばれることがある。
[0051]一般に、クラスタツールは、基板の中心検出及び配向、脱ガス、アニーリング、堆積及び/又はエッチングを含む様々な機能を実行する複数のチャンバを含むモジュール式システムである。1つ又は複数の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央搬送チャンバとを含む。中央搬送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復させることができるロボットを収容することができる。搬送チャンバは通常、減圧状態に維持され、あるチャンバから別のチャンバへ、及び/又はクラスタツールの前端に位置するロードロックチャンバへ基板を往復させるための中間ステージを提供する。本開示に適合させることができる2つのよく知られたクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方ともカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。しかしながら、チャンバの正確な配列及び組み合わせは、本明細書に記載される処理の特定のステップを実行する目的で変更することができる。使用され得る他の処理チャンバには、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、脱ガス、配向、水酸化、及び他の基板処理が含まれるが、これらに限定されない。クラスタツールのチャンバ内で処理を実行することにより、次の膜を堆積する前に酸化することなく、大気中の不純物による基板の表面汚染を回避できる。
[0052]1つ又は複数の実施形態によれば、基板は継続的に減圧又は「ロードロック」条件下にあり、あるチャンバから次のチャンバに移動するときに周囲空気に曝露されない。したがって、搬送チャンバは減圧下にあり、減圧圧力下で「ポンプダウン」される。不活性ガスが処理チャンバ又は搬送チャンバ内に存在する場合がある。いくつかの実施形態では、反応物(例えば、反応物)の一部又はすべてを除去するためのパージガスとして不活性ガスが使用される。1つ又は複数の実施形態によれば、反応物(例えば、反応物)が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動するのを防ぐために、パージガスが堆積チャンバの出口に注入される。したがって、不活性ガスの流れはチャンバの出口でカーテンを形成する。
[0053]基板は、単一基板堆積チャンバ内で処理することができ、単一基板がロードされ、処理され、別の基板が処理される前にアンロードされる。基板はコンベヤシステムと同様に連続的に処理することもでき、複数の基板が個別にチャンバの第1の部分にロードされ、チャンバ内を移動し、チャンバの第2の部分からアンロードされる。チャンバ及び関連するコンベヤシステムの形状により、直線経路又は曲線経路を形成できる。さらに、処理チャンバは、複数の基板が中心軸の周りを移動し、カルーセル経路全体にわたって堆積、エッチング、アニーリング、洗浄などの処理に曝露されるカルーセルであってもよい。
[0054]処理中、基板は加熱又は冷却されることがある。このような加熱又は冷却は、基板支持体の温度を変更すること、及び加熱又は冷却されたガスを基板表面に流すことを含むがこれらに限定されない任意の適切な手段によって達成することができる。いくつかの実施形態では、基板支持体は、基板温度を伝導的に変化させるように制御できる加熱器/冷却器を含む。1つ又は複数の実施形態では、使用されるガス(反応性ガス又は不活性ガスのいずれか)は、基板温度を局所的に変化させるために加熱又は冷却される。いくつかの実施形態では、基板温度を対流的に変化させるために、加熱器/冷却器が基板表面に隣接してチャンバ内に配置される。
[0055]処理中に基板を静止又は回転させることもできる。回転基板は、連続的に又は個別のステップで(基板軸の周りで)回転させることができる。例えば、処理全体を通して基板を回転させてもよく、異なる反応性ガス又はパージガスへの曝露の間に基板を少量だけ回転させることもできる。処理中に基板を(連続的又は段階的に)回転させると、例えばガス流形状の局所的な変動の影響を最小限に抑え、より均一な堆積又はエッチングを生成するのに役立つ。
[0056]ここで、以下の実施例を参照して本開示を説明する。本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構成又は処理ステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実施又は実行することができる。
[0057]実施例
[0058]実施例1:混合ピラゾラート-ピラゾリルMo(IV)錯体の調製
[0059]混合ピラゾラート-ピラゾリルMo(IV)錯体は、MoCl(THF)を4当量の対応するアルキル置換ピラゾレートカリウム及び2当量のアルキル置換ピラゾールで処理することによって調製した。反応混合物を一晩撹拌し、続いてすべての揮発性物質を減圧下で除去した。トルエンを使用して標的化合物を抽出し、溶媒を減圧下で除去して、標的のピラゾラート-ピラゾリルベースのMo(IV)前駆体を良好な収率から中程度の収率で得た。
[0060]実施例2:モリブデン含有膜の原子層堆積
[0061]概括的な手順シリコン基板を処理チャンバ内に位置付ける。モリブデン前駆体を、モリブデン前駆体で終端された表面を残すシリコン基板上の窒素(N)ガス雰囲気中の処理チャンバに流入させる。未反応の前駆体と副生成物をチャンバからパージさせる。次に、共反応物をチャンバに導入し、表面に結合したモリブデン種と反応させる。再度、過剰な共反応物と副生成物をチャンバから除去する。基板上に結果として得られる材はモリブデン含有膜である。
[0062]「真下に(beneath)」、「下に(below)」、「下方(lower)」、「上に(above)」、「上方(upper)」などのような空間的に相対的な用語は、説明を容易にするために、図面に示されているある要素又は特徴と、別の要素又は特徴との関係を説明するために、ここで使用され得る。空間的に相対的な用語は、図に示されている向きに加えて、使用中又は動作中のデバイスの異なる向きを包含することを意図していることが理解されよう。例えば、図のデバイスが裏返されている場合、他の要素又は特徴の「下に」又は「真下に」として記述されている要素は、それ故、他の要素又は特徴の「上に」配向されることになる。したがって、「下に」という例示的な用語は、上と下の両方の向きを包含し得る。デバイスは、他の方法で配向され(90度又は他の方向に回転され)、ここで使用される空間的に相対的な記述子がそれに応じて解釈され得る。
[0063]ここで論じられる材料及び方法を説明する文脈での(特に以下の特許請求の範囲の文脈で)「a」及び「an」及び「the」という用語並びに同様の指示対象の使用は、ここに別段の記載がない限り、又は文脈によって明確に矛盾しない限り、単数形及び複数形の両方をカバーすると解釈されるべきである。ここでの値の範囲の列挙は、ここに別段の記載がない限り、範囲内にある各個別の値を個別に参照する略記法として役立つことを単に意図し、各個別の値は、ここに個別に記載されているかのように仕様に組み込まれる。ここに記載されているすべての方法は、ここに別段の指示がない限り、又は文脈によって明らかに矛盾しない限り、任意の適切な順序で実行することができる。ここで提供されるありとあらゆる例、又は例示的な言語(例えば、「など」)の使用は、単に材料及び方法をより良好に明らかにすることを意図しており、別途、特許請求の範囲で規定しない限り、範囲に制限を課さない。本明細書のいかなる文言も、開示された材料及び方法の実施に必須であるとして特許請求されていない要素を示すとして解釈されるべきではない。
[0064]この細書全体での「一実施形態」、「特定の実施形態」、「1つ又は複数の実施形態」又は「実施形態」への言及は、実施形態に関連して説明された特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、この明細書全体の様々な場所での「1つ又は複数の実施形態において」、「特定の実施形態において」、「一実施形態において」又は「実施形態において」などの句の出現は、必ずしも本開示の同じ実施形態を指すとは限らない。1つ又は複数の実施形態では、特定の特徴、構造、材料、又は特性は、任意の適切な方法で組み合わされる。
[0065]ここでの開示は、特定の実施形態を参照して説明してきたが、これらの実施形態は、本開示の原理及び用途の単なる例示であることを理解されたい。本開示の趣旨及び範囲から逸脱することなく、本開示の方法及び装置に様々な修正並びに変形を加えることができることは、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲及びそれらの等価物の範囲内にある修正及び変形を含むことが意図される。

Claims (20)

  1. モリブデン(IV)又はモリブデン(III)を含み、ハロゲン及びカルボニルを実質的に含まない金属配位錯体。
  2. 式(I)、
    Figure 2024502998000006
    [式中、Lは、ピラゾロ、ピラゾラート、グアニジノ、及びイミナートからなる群から独立して選択される]の構造を有する、請求項1に記載の金属配位錯体。
  3. Lが、
    Figure 2024502998000007
    からなる群から独立して選択され、Rは、非置換又は置換のC~C10アルキル基である、請求項2に記載の金属配位錯体。
  4. 式(I)の前記構造が、
    Figure 2024502998000008
    からなる群から選択される、請求項2に記載の金属配位錯体。
  5. 基板をモリブデン(IV)前駆体又はモリブデン(III)前駆体に曝露することと、
    前記基板を反応物に曝露して、前記基板上にモリブデン含有膜を形成することと
    を含む、膜を堆積する方法。
  6. 前記モリブデン(IV)前駆体又はモリブデン(III)前駆体が、式(I)
    Figure 2024502998000009
    [式中、Lは、ピラゾロ、ピラゾラート、グアニジノ、及びイミナートからなる群から独立して選択される]の構造を有する、請求項5に記載の方法。
  7. Lが、
    Figure 2024502998000010
    からなる群から独立して選択され、Rは、非置換又は置換のC~C10アルキル基である、請求項6に記載の方法。
  8. 式(I)の前記構造が、
    Figure 2024502998000011
    からなる群から選択される、請求項6に記載の方法。
  9. 前記反応物が酸化剤及び還元剤のうちの1つ又は複数を含む、請求項5に記載の方法。
  10. 前記モリブデン膜が、モリブデン金属(元素のMo)膜、酸化モリブデン膜、炭化モリブデン膜、ケイ化モリブデン膜、及び窒化モリブデン膜のうちの1つ又は複数を含む、請求項5に記載の方法。
  11. 前記基板が、前記モリブデン(IV)前駆体又は前記モリブデン(III)前駆体及び前記反応物に順次的に曝露される、請求項5に記載の方法。
  12. 前記基板が、前記モリブデン(IV)前駆体又は前記モリブデン(III)前駆体及び前記反応物に同時に曝露される、請求項5に記載の方法。
  13. 前記基板を前記反応物に曝露する前に、前記基板から前記モリブデン(IV)前駆体又は前記モリブデン(III)前駆体をパージすることをさらに含む、請求項5に記載の方法。
  14. 前記パージすることが、減圧を適用すること、又は前記基板上にパージガスを流すことのうちの1つ又は複数を含む、請求項13に記載の方法。
  15. 前記パージガスが、窒素(N)、ヘリウム(He)、及びアルゴン(Ar)のうちの1つ又は複数を含む、請求項14に記載の方法。
  16. 約0.3~約100nmの厚さを有するモリブデン含有膜を提供するために前記方法を繰り返すことをさらに含む、請求項5に記載の方法。
  17. モリブデン(IV)前駆体又はモリブデン(III)前駆体、パージガス、反応物、及びパージガスへの基板の順次的曝露を含む処理サイクルでモリブデン含有膜を形成することを含む、膜を堆積する方法。
  18. 前記モリブデン(IV)前駆体又はモリブデン(III)前駆体が、式(I)
    Figure 2024502998000012
    [式中、Lは、ピラゾロ、ピラゾラート、グアニジノ、及びイミナートからなる群から独立して選択される]の構造を有する、請求項17に記載の方法。
  19. Lが、
    Figure 2024502998000013
    からなる群から独立して選択され、Rは、非置換又は置換のC~C10アルキル基である、請求項18に記載の方法。
  20. 式(I)の前記構造が、
    Figure 2024502998000014
    からなる群から選択される、請求項18に記載の方法。
JP2023541281A 2021-01-12 2022-01-10 モリブデン膜の堆積のためのモリブデン(iv)及びモリブデン(iii)前駆体 Pending JP2024502998A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/146,683 2021-01-12
US17/146,683 US11459347B2 (en) 2021-01-12 2021-01-12 Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
PCT/US2022/011753 WO2022155078A1 (en) 2021-01-12 2022-01-10 Molybdenum(iv) and molybdenum(iii) precursors for deposition of molybdenum films

Publications (1)

Publication Number Publication Date
JP2024502998A true JP2024502998A (ja) 2024-01-24

Family

ID=82323498

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023541281A Pending JP2024502998A (ja) 2021-01-12 2022-01-10 モリブデン膜の堆積のためのモリブデン(iv)及びモリブデン(iii)前駆体

Country Status (6)

Country Link
US (2) US11459347B2 (ja)
EP (1) EP4277910A1 (ja)
JP (1) JP2024502998A (ja)
KR (1) KR20230130092A (ja)
CN (1) CN116724144A (ja)
WO (1) WO2022155078A1 (ja)

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB832142A (en) 1958-05-16 1960-04-06 Geoffrey Wilkinson Cycloheptatrieneí¬group vib transition metal carbonyl complexes
US3103527A (en) 1959-09-14 1963-09-10 Process for preparing same
FR2314263A1 (fr) 1975-06-13 1977-01-07 Anvar Procede de realisation d'un depot de corps a base de metal ou metalloide
US4992305A (en) 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
US5130172A (en) 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
MA26663A1 (fr) 1998-07-23 2004-12-20 Hoffmann La Roche Nouvelles aerothricines et leurs applications antifongiques
US6620342B1 (en) 2000-10-23 2003-09-16 Atofina Chemicals, Inc. Narrow composition distribution polyvinylidene fluoride RECLT films, processes, articles of manufacture and compositions
US7244858B2 (en) 2004-03-25 2007-07-17 Praxair Technology, Inc. Organometallic precursor compounds
JP4592373B2 (ja) 2004-09-30 2010-12-01 株式会社トリケミカル研究所 導電性モリブデンナイトライドゲート電極膜の形成方法
US7390360B2 (en) 2004-10-05 2008-06-24 Rohm And Haas Electronic Materials Llc Organometallic compounds
US20070154637A1 (en) 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
WO2008028053A2 (en) 2006-08-30 2008-03-06 Wayne State University Compounds for forming metal nitrides
US7956207B2 (en) 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
GB201004092D0 (en) 2010-03-12 2010-04-28 Univ Leuven Kath Liquid metal salts
WO2012012026A2 (en) 2010-07-22 2012-01-26 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal film deposition
US9822446B2 (en) 2010-08-24 2017-11-21 Wayne State University Thermally stable volatile precursors
US9255327B2 (en) 2010-08-24 2016-02-09 Wayne State University Thermally stable volatile precursors
WO2012027575A1 (en) 2010-08-27 2012-03-01 Sigma-Aldrich Co. Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
JP5730670B2 (ja) 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
WO2013112383A1 (en) 2012-01-26 2013-08-01 Sigma-Aldrich Co. Llc Molybdenum allyl complexes and use thereof in thin film deposition
US9587117B2 (en) 2012-04-03 2017-03-07 Yissum Research Development Company Of The Hebrew University Of Jerusalem Ltd. Hybrid metal and metal oxide layers with enhanced activity
WO2014047544A1 (en) 2012-09-21 2014-03-27 Wayne State University Deposition of metal films based upon complementary reactions
CN104603327B (zh) 2012-11-19 2017-12-01 株式会社艾迪科 含有钼的薄膜的制造方法、薄膜形成用原料及钼酰亚胺化合物
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
JP6471371B2 (ja) 2014-03-13 2019-02-20 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung モリブデンシルシクロペンタジエニル錯体、シリルアリル錯体、及び、薄膜堆積におけるその使用
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
US20160133837A1 (en) 2014-11-12 2016-05-12 Intermolecular Inc. Low-Temperature Deposition of Metal Silicon Nitrides from Silicon Halide Precursors
US10079144B2 (en) 2015-04-22 2018-09-18 Samsung Electronics Co., Ltd. Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer
WO2017143246A1 (en) 2016-02-19 2017-08-24 Sigma-Aldrich Co., Llc Deposition of molybdenum thin films using a molybdenum carbonyl precursor
US10062568B2 (en) 2016-05-13 2018-08-28 Nanoco Technologies, Ltd. Chemical vapor deposition method for fabricating two-dimensional materials
TWI736631B (zh) 2016-06-06 2021-08-21 韋恩州立大學 二氮雜二烯錯合物與胺類的反應
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11560625B2 (en) 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
US10715252B2 (en) 2018-09-05 2020-07-14 Purdue Research Foundation System and method for using a solar cell in wireless communication
US11761081B2 (en) 2018-10-10 2023-09-19 Entegris, Inc. Methods for depositing tungsten or molybdenum films
KR102355507B1 (ko) 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
US11993845B2 (en) 2019-03-05 2024-05-28 Applied Materials, Inc. High selectivity atomic layer deposition process
CN111777649A (zh) 2020-07-16 2020-10-16 苏州欣溪源新材料科技有限公司 二烷基二茂钼类配合物及其制备方法与应用
CN111747994A (zh) 2020-07-16 2020-10-09 苏州欣溪源新材料科技有限公司 二胺基二茂钼类配合物及其制备方法与应用

Also Published As

Publication number Publication date
KR20230130092A (ko) 2023-09-11
CN116724144A (zh) 2023-09-08
US11459347B2 (en) 2022-10-04
EP4277910A1 (en) 2023-11-22
US20220220138A1 (en) 2022-07-14
US20220306662A1 (en) 2022-09-29
WO2022155078A1 (en) 2022-07-21

Similar Documents

Publication Publication Date Title
US11530477B2 (en) Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
US20220275012A1 (en) Dinuclear Molybdenum Precursors For Deposition Of Molybdenum-Containing Films
JP2024502994A (ja) モリブデン膜の堆積のためのモリブデン(0)前駆体
US20230151038A1 (en) Arene molybdenum (0) precursors for deposition of molybdenum films
US11459347B2 (en) Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11760768B2 (en) Molybdenum(0) precursors for deposition of molybdenum films
US11390638B1 (en) Molybdenum(VI) precursors for deposition of molybdenum films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230904