TW201835382A - 於含矽表面的選擇性沉積 - Google Patents

於含矽表面的選擇性沉積 Download PDF

Info

Publication number
TW201835382A
TW201835382A TW107109096A TW107109096A TW201835382A TW 201835382 A TW201835382 A TW 201835382A TW 107109096 A TW107109096 A TW 107109096A TW 107109096 A TW107109096 A TW 107109096A TW 201835382 A TW201835382 A TW 201835382A
Authority
TW
Taiwan
Prior art keywords
silane
silicon
substrate
group
temperature
Prior art date
Application number
TW107109096A
Other languages
English (en)
Other versions
TWI688673B (zh
Inventor
麥克A 托德
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW201835382A publication Critical patent/TW201835382A/zh
Application granted granted Critical
Publication of TWI688673B publication Critical patent/TWI688673B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Financial Or Insurance-Related Operations Such As Payment And Settlement (AREA)

Abstract

本發明揭示出一種用以選擇性在基材上沈積一材料的方法,其中該基材具有至少二種不同表面,其中一個表面係經鈍化,因此允許選擇性沈積在未鈍化的表面上。特別是,本發明揭示出一種用以製備用於選擇性膜沈積之基材表面的方法,其中該基材表面包括至少一包含SiO2與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;及將該經處理的基材加熱至溫度約200℃至約600℃,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團。

Description

於含矽表面的選擇性沈積
相關申請案之相互參照 本申請案在35 U.S.C §119(e)下主張2017年3月17日提出的美國臨時專利申請案案號62/472,724之優先權,其全文以參考之方式併入本文。
發明領域 本申請案係關於相對於在基材上的第二表面,選擇性於第一表面上沈積。此外,可使用進一步加工隨後相對於該第一表面在該第二表面上沈積不同材料。
選擇性沈積方法正博得許多契機,此大部分係因為現代微影蝕刻方法其能夠製造之以物理尺寸不斷減小為基礎的先進半導體裝置之極限。傳統上,微電子工業已經使用多種微影蝕刻及蝕刻方法來達成圖形化。但是,因為微影蝕刻成指數地變得更複雜及昂貴,使用選擇性沈積來形成自我對齊外形變得更更具吸引力。從可製造型選擇性沈積方法來製造自我對齊通路結構將明顯獲利。選擇性沈積之另一種有潛力的應用為間隙填充。在間隙填充時,該介電質「填充」膜係選擇性從溝渠底部朝向頂端生長。選擇性沈積可使用於其它應用,諸如在三維FIN-FET結構的曝露表面上選擇性沈積膜之選擇性側壁沈積。此將能夠不需要複雜的圖形化步驟而沈積出側壁間隔器。在半導體裝置製造中,選擇性沈積金屬及金屬氧化物膜以使用作為閘極介電質及電容器介電質之方法將亦係重大的用途。
在工藝文獻中,有許多與在已曝露出而具有多重不同化學表面的晶圓上選擇性形成表面鈍化塗層相關之先前實施例。此延遲或防止透過ALD方法在這些鈍化表面上沈積膜,但不防止ALD沈積方法在想要沈積膜的表面上沈積之目的已經完成。通常來說,該方法之選擇性尚由於表面未完全鈍化,及/或由於ALD前驅物分子的物理吸附及隨後在該鈍化層其自身內或在不想要沈積的表面上形成該材料之ALD膜而不足。本發明已進行探索而克服先述技藝的極限並提供使用ALD沈積方法來選擇性沈積薄膜材料之改良方法。
本揭示的一或多個具體實例係針對一種沈積膜之方法。提供一基材,其包含一包括羥基終端表面的第一基材表面及一包括氫終端表面的第二基材表面。將該基材曝露至多重加工步驟,以便相對於該氫終端表面選擇性改變該羥基終端表面,以便提供其在隨後選擇性於氫終端表面上沈積膜的膜沈積步驟期間不反應,或具有比未經處理的羥基終端表面較少之反應性。
在最寬廣的態樣中,本發明提供一種用以製備用於選擇性膜沈積的基材表面之方法,其中該基材的表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;及在溫度約200℃至約600℃下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團。
在另一個態樣中,本發明提供一種藉由氣相反應選擇性鈍化該基材表面的方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;在溫度約200℃至約600℃及壓力10-10 托耳至3000托耳下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團;在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物:(I)及(II), 其中R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基;R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11,其中該含矽化合物與該第一表面的表面羥基反應而形成矽甲基醚終端的表面,因此鈍化該表面。
在另一個態樣中,本發明提供一種選擇性在一基材表面上沈積一膜的方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;在溫度約200℃至約600℃及壓力10-10 托耳至3000托耳下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團;在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物:(I)及(II), 其中R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基;R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11,其中該含矽化合物與該第一表面的表面羥基反應而形成矽甲基醚終端的表面,因此鈍化該表面;及將該基材曝露至一或多種沈積氣體,以選擇性越過該第一表面在該第二表面上沈積一膜。
本發明的具體實例可單獨或彼此組合著使用。
於本文中所引用,包括公告、專利申請案及專利的全部參考資料藉此以參考方式併入本文,至如若每篇參考各別及特別指示出以參考方式併入本文及其全文於本文中提出般相同的程度。
在描述出本發明的上下文中(特別在下列申請專利範圍的上下文中),用語「一」及「一種」及「該」及類似指涉之使用欲推斷為涵蓋單數及複數二者,除非其它方面於本文中有指示出或上下文有明確矛盾。除非其它方面有提到,否則用語「包含(comprising)」、「具有(having)」、「包括(including)」及「包括(containing)」欲推斷為開放式用語(即,意謂著「包括但不限於」)。除非其它方面於本文中有指示出,否則於本文中所列舉的值範圍全然意欲提供作為各別指出落在該範圍內的每個分別值之速記方法,及每個分別值係併入本專利說明書中如若其各別於本文中敘述般。於本文中所描述的全部方法可以任何合適的順序進行,除非其它方面於本文中有指示出或其它方面上下文有明確矛盾。除非其它方面有主張,否則於本文中所提供的任何及全部實施例或範例性文字(例如,「諸如」)之使用全然意欲較好闡明本發明及不引起本發明範圍之限制。在本專利說明書中並無文字應該推斷為指示出任何未主張的元素作為實行本發明之基本。
於本文中描述出本發明的較佳具體實例,包括由發明家知曉用以進行本發明之最好模式。可由一般熟悉此技藝之人士在讀取前述描述後明瞭那些較佳具體實例的變化。本發明家預計熟練人士可如適當地使用此變化,及本發明家意欲與如於本文所特別描述不同般實行本發明。此外,本發明包括在到此為止所附加如由管轄法律所准許的申請專利範圍中所敘述之主題的全部改質及同等物。再者,本發明包括上述元素在其全部可能的變化內之任何組合,除非其它方面於本文中有指示出或其它方面上下文有明確矛盾。
有多種方法可使用來進行選擇性沈積。本揭示之具體實例係針對一種使用表面去活化,利用二種不同表面的表面化學性質之方法。因為二種不同表面將具有不同反應性處置,可利用該差異使用將與一種表面進行反應(以去活化該表面)而不與其它表面反應的分子。
如在本專利說明書及所附加的申請專利範圍中所使用,用語「基材」及「晶圓」可互換地使用而指為一方法將在其上進行作用的表面或部分表面二者。將亦由熟習該項技術者了解,除非其它方面上下文有明確指示出,否則對基材之參照亦可指為僅有該基材的一部分。額外地,對沈積在基材上之參照可意謂著未加工的基材及上面已沈積或形成有一或多種膜或外形的基材二者。
如於本文中所使用,「基材」指為在製造方法期間於其上進行膜加工之任何基材或已在基材上形成的材料表面。例如,該可進行加工的基材表面依應用而包括下列材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的氧化矽類、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石及任何其它材料,諸如金屬、金屬氮化物、金屬合金及其它傳導材料。該基材包括但不限於半導體晶圓。可將該基材曝露至預處理方法以研磨、蝕刻、還原、氧化、羥化、退火及/或烘烤該基材表面。除了直接在基材表面其自身上進行膜加工外,於本揭示中亦可在已於基材上形成之底層上進行任何所揭示的膜加工步驟,如在下列更詳細地揭示出,及用語「基材表面」意欲包括如上下文所指示出的此底層。因此,例如,若已經將一膜/層或部分膜/層沈積到基材表面上時,新沈積的膜/層之曝露表面將變成該基材表面。所提供的基材表面會包含什麼材料將依欲沈積何種膜和所使用的特別化學物質而定。在一或多個具體實例中,該第一基材表面將包含金屬,及該第二基材表面將包含介電質,或反之亦然。在某些具體實例中,一基材表面可包含某些官能基(例如,-OH、-NH等等)。
同樣地,可使用於本文所描述的方法中之膜有相當變化。在某些具體實例中,該膜可包含金屬或基本上由其組成。該金屬膜的實施例包括但不限於鈷(Co)、銅(Cu)、鎳(Ni)、鎢(W)等等。在某些具體實例中,該膜包含介電質。其實施例包括SiO2 、SiN、HfO2 等等。
如在本專利說明書及所附加的申請專利範圍中所使用,用語「反應性氣體」、「前驅物」、「反應物」及其類似用語可互換地使用,其意謂著一包括與基材表面反應的物種之氣體。例如,第一「反應性氣體」可簡單地吸附到基材表面上及係可獲得用以進一步與第二反應性氣體進行化學反應。
本揭示的具體實例提供一種將一膜諸如例如金屬膜越過第二表面選擇性沈積到相同基材之一個基材表面上的方法。如在本專利說明書及所附加的申請專利範圍中所使用,用語「越過另一個表面選擇性在一表面上沈積膜」及其類似用語意謂著鈍化該第一或第二表面之一,以防止在該鈍化層上實質上沈積一膜而沈積在第二(未鈍化的)表面上。就這一點而言,所使用的用語「越過」非意味著一個表面物理定向在另一個表面的頂端上,而是一個表面相對於其它表面進行化學反應之熱力學或動力學性質的關係。例如,鈷膜越過介電質表面選擇性沈積到銅表面上意謂著該鈷膜沈積在銅表面上及較少或無鈷膜沈積在介電質表面上;或相對於在介電質表面上形成鈷膜,於銅表面上形成鈷膜係熱力學或動力學有利。
在某些狀況中,想要相對於相同基材的第二不同表面選擇性在一基材表面上沈積一材料。例如,可使用選擇性沈積來形成覆蓋層、阻障層、蝕刻停止層、犧牲及/或保護層;或用於密封孔洞,諸如在多孔低k材料中。
在某些具體實例中,可使用於本文中描述的方法,選擇性在SiO2 基底的表面及如於本文中描述的其它表面上生長一包含Ni、Ti、Fe或Co的材料,諸如Ni金屬、氮化鎳或NiNx 、鈷、鐵或氧化鈦結構。如於本文中使用,氮化鎳或NiNx 指為包含至少一些Ni--N鍵的材料。
在某些具體實例中,可相對於第二不同表面選擇性在一表面上沈積一第一材料,諸如包含Ni、Ti、Fe或Co的材料,諸如鎳、氮化鎳或NiNx 、鈷、鐵或氧化鈦膜。例如,可相對於第二表面,諸如相同基材之H終端表面,在低k絕緣體表面,例如氧化物或氮化物表面上,諸如氧化矽或氮化矽形式,選擇性沈積鎳、氮化鎳、鈷、鐵或氧化鈦膜。
在某些具體實例中,發生選擇性沈積的表面包含AHx -終端,其中A係N、O或S之一或多種,及x係1至2。在某些具體實例中,該表面包含OH-終端。在某些具體實例中,該表面係NHx -終端的表面,諸如--NH或--NH2 終端的表面。在某些具體實例中,該表面係SHx-終端的表面。
在某些具體實例中,該第一表面係一介電質表面,諸如SiO2 表面或氧氮化矽表面。在某些具體實例中,該第一表面可包含氧化矽類、氮化矽類、氧氮化矽類、氟化的二氧化矽玻璃(FSG)、摻雜碳的氧化矽(SiOC)及/或包括多於約50%氧化矽的材料。在某些具體實例中,該第一表面包含OH-基團,及可包含例如具有--OH表面基團之氧化鋁(Al2 O3 )表面。
在某些具體實例中,該第二表面係--SiH3 、--SiH2 或--SiH表面。在某些具體實例中,該第二表面係藉由蝕刻矽的天然氧化物而形成及該第二表面包含Si--H鍵。在某些具體實例中,該第二表面係純矽表面或矽(100)定向的表面。
在最寬廣的態樣中,本發明提供一種用以製備用於選擇性膜沈積的基材表面之方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;及在溫度約200℃至約600℃下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團。如於本文中所使用,措辭「包含SiH的表面」包括如上述定義的AHx -終端。
在另一個態樣中,本發明提供一種藉由氣相反應選擇性鈍化該基材表面的方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;在溫度約200℃至約600℃及壓力10-10 托耳至3000托耳下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團;在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物:(I)及(II), 其中R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基;R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11,其中該含矽化合物與該第一表面的表面羥基反應而形成矽甲基醚終端的表面,因此鈍化該表面。
在另一個態樣中,本發明提供一種選擇性在一基材表面上沈積膜的方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括:讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;在溫度約200℃至約600℃及壓力10-10 托耳至3000托耳下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團;在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物:(I)及(II), 其中R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基;R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11,其中該含矽化合物與該第一表面的表面羥基反應而形成矽甲基醚終端的表面,因此鈍化該表面;及將該基材曝露至一或多種沈積前驅物,以選擇性越過該第一表面在該第二表面上沈積一膜。
在本文揭示的每種方法中,有提供一種藉由氣相反應來製備用於選擇性沈積的基材表面之方法,其中該表面包含SiO2 及SiH,其中該第一步驟典型但是選擇性讓該表面與一溼化學組成物接觸。
在某些具體實例中,該包含SiO2 的表面係一介電質表面,諸如SiO2 表面及/或氧氮化矽表面。在某些具體實例中,該包含SiO2 的表面可包含氧化矽類、氮化矽類、氧氮化矽類、氟化的二氧化矽玻璃(FSG)、摻雜碳的氧化矽(SiOC)及/或包括多於約50%氧化矽的材料。在某些具體實例中,該包含SiO2 的表面包含-OH基團,及可包含例如具有-OH表面基團的氧化鋁(Al2 O3 )表面。
在某些具體實例中,該包含SiH的表面係-SiH3 、-SiH2 或-SiH表面。在某些具體實例中,該包含SiH的表面係純矽表面或Si(100)表面。
如上述描述,該第一表面包含初始濃度的表面羥基。典型來說,該表面羥基濃度可藉由在技藝中熟知的技術定量,諸如例如,飛行時間二次離子質譜儀(TOF-SIMS)。在較佳的具體實例中,該表面羥基的初始濃度對質量45 amu之正離子分析來說係約1.4x106 經常態化的計數至對質量45 amu之正離子分析來說約2.2x106 經常態化的計數,如藉由TOF-SIMS決定。此與約4.2 OH/平方奈米至4.6 OH/平方奈米的羥基表面濃度相應,如藉由FTIR及由熟習該項技術者已知的其它技術測量。
本發明之方法包括選擇性讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材之步驟。雖然係選擇性,最好該基材表面首先以一溼式化學處理進行處理。範例性溼式化學處理包括已知的化學處理,諸如例如,RCA清潔化學物質SC-1及SC-2、HF、過氧化物、H2 SO4 /H2 O2 、NH4 OH、經緩衝的HF溶液及其混合物。
如在技藝中已知,「RCA清潔化學物質」指為包含氫氧化銨及過氧化氫混合物之組成物,其中該基礎清潔程序係由Radio Corporation of America在1960年代發展。RCA標準清潔-1(SC-1)程序係使用氫氧化銨與過氧化氫溶液及水並加熱至溫度約70℃。SC-1程序會溶解膜及移除第I及II族金屬。該第I及II族金屬係透過與在SC-1溶液中的試劑錯合而移除。RCA標準清潔-2(SC-2)程序係使用過氧化氫、鹽酸與水之混合物並加熱至溫度約70℃。SC-2程序係移除未由SC-1程序移除的金屬。
溼式化學清潔的效果為二倍。首先,該溼式化學步驟從表面移除雜質以移除在Si(100)表面上生長的薄氧化物並以氫終端置換其,同時在SiO2 表面上保有大程度的-OH表面終端。此方法在工業中常見及可使用由熟習該項技術者已知的方法最佳化以產生具有想要的性質之乾淨表面。其次,相對於在該表面與該溼化學物質接觸前之表面羥基濃度,該溼式化學清潔亦增加表面羥基濃度。較佳的是,該表面羥基濃度增加約初始濃度的1.1倍至約初始濃度的1.8倍,及最終到達接近約4.6 OH/平方奈米之表面羥基濃度。
可藉由熟習該項技術者已知的任何方法,諸如例如,浸泡或噴灑進行與該溼化學物質接觸。該接觸步驟可係一個分立的步驟或多於一個步驟。
在某些具體實例中,該溼化學物質於接觸步驟期間的溫度可係例如約50℃至約100℃。在其它具體實例中,該溼化學物質於接觸步驟期間的溫度可係例如約55℃至約95℃。在其它具體實例中,該溼化學物質於接觸步驟期間之溫度可係例如約60℃至約90℃。
可單獨使用任何方法或與溼化學物質相關連來將二種基材表面的表面羥基濃度增加至接近至少約3x1018 OH基團/平方公尺之範圍,可使用此來提供完全羥基化的表面,特別是同步提供氫終端的Si(100)表面那些。合適的方法包括電漿方法(氫電漿、NH3 /NF3 電漿、水電漿、水/過氧化氫電漿及其類似電漿)、溼式化學方法及/或前述之組合(以提供二者表面全羥基化,接著在Si(100)表面上形成SiH表面)。
本發明之方法亦包括在溫度約200℃至約600℃及較佳為約200℃至約500℃下加熱該經處理的基材之步驟,其中該加熱步驟將該在第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團。此經溼式化學清潔的表面之熱「處理」可包含一個步驟或多重步驟。在多重步驟具體實例中,該熱處理可在一或多種分立的製程溫度下進行一段預定時間長度。該熱處理可在溫度範圍約50-1200℃,壓力範圍10-10 托耳至3000托耳內,含或不含載劑/除氣氣體存在,進行10秒至12小時之時間範圍。在單一步驟熱處理期間或在多步驟熱處理之任何次步驟期間的任何製程參數可以預定方式改變一段預定時間長度。可透過與熱表面熱接觸、使用加熱的氣體流、透過輻射加熱(即,燈)或透過任何其它合適的方法達成加熱。可在多艙集束型工具(cluster tool)的一部分之專用、互相連接的艙中進行加熱。若使用多重、分立的加熱步驟時,它們可在包括負載鎖定及加熱艙的多重專用艙中進行,其中該專用艙亦可係較大、互相連接的集束型工具之一部分。
在一個具體實例中,該加熱步驟係於溫度約200℃至約600℃下進行。在另一個具體實例中,該加熱步驟係於溫度約300℃至約550℃下進行。在更另一個具體實例中,該加熱步驟係於溫度約400℃至約500℃下進行。在又另一個具體實例中,該加熱步驟係藉由下列進行:首先將該基材加熱至溫度低於約200℃ 5-10分鐘,接著將溫度增加至約400℃至約500℃。
雖然本文係以二步驟方法進行描述,下列可使用初始在第二步驟所揭示出的範圍內之較高溫度下進行單一步驟方法達成類似結果。在該熱方法的第一步驟中,從SiO2 表面移除所吸附之溼氣以防止在隨後步驟中於膜沈積期間形成不想要的界面相,及幫助在曝露出的SiO2 膜之表面上提供很重覆的羥基濃度。此第一、分立的熱處理可在約50至約250℃之溫度範圍內、於10-10 托耳至760托耳之壓力範圍內進行一段1秒至12小時的時間長度。較佳的是,該溫度係在約50至約240℃間,壓力在10-5 托耳至300托耳間及時間在30秒至8小時間。又更佳的是,該溫度在約50至約230℃間,壓力在10-4 至100托耳間及時間在1分鐘至6小時間。可例行地使用由熟習該項技術者已知的方法來最佳化該第一步驟移除已吸附的溼氣之條件。
不意欲由特別的理論界限,咸信在加熱步驟期間,該「已鍵結的」表面羥基之一部分係藉由在高溫下連續加熱,透過從表面移除水分子轉換成表面矽氧烷鍵(表面矽烷醇基團之縮合)。此第二、分立的熱處理可在約200至約1000℃之溫度範圍內,在10-10 托耳至760托耳之壓力範圍內進行一段10秒至12小時之時間長度。
在一個實施例中,該加熱步驟之溫度係於約280至約650℃間,壓力在10-5 托耳至300托耳間及時間於30秒至10小時間。在另一個實施例中,該溫度係於約300至約550℃間,壓力在10-4 至100托耳間及時間於1分鐘至8小時間。該方法可例行地使用由熟習該項技術者已知的方法最佳化以產生一熱處理後表面羥基覆蓋,此提供平均羥基間隔等於在約3至9埃的想要範圍內。
參照圖1,該熱處理的功能為至少在某種程度上從包含羥基之表面移除一部分的羥基。該熱處理步驟可以數種想要的方式之任何一種或透過其多於一種之組合來進行。這些包括動態加工條件,其中該樣品係連續曝露至真空,含或不含載劑/除氣氣體流;靜態加工條件,其中該樣品係與真空來源分隔開一段預定時間長度,含或不含載劑/除氣氣體存在;及抽泵除氣製程條件,其中將該樣品連續泵入一段預定時間量,然後與真空來源分隔開及以超高純度的載劑氣體回填包括其之艙空間至預定壓力一段預定時間長度,在此之後,將樣品體積抽空至基礎真空一段預定時間量。可進行此抽泵除氣或循環除氣方法如想要般的許多次,以達成想要的表面羥基濃度。可使用例行性實驗來決定能重覆產生想要的表面羥基濃度及平均羥基間隔之最理想的製程條件。
雖然已就單及二步驟方法來說明,在本發明的範圍內亦包括有許多如想要的分立步驟與預定製程條件及預定時間長度之多步驟方法。
上述之讓該基材與一溼化學組成物接觸以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材,及將該經處理的基材加熱至溫度約200℃至約600℃的步驟提供用於該方法之下一個步驟的基礎,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團來鈍化該第一表面,以便可選擇性在該第二表面上沈積一層。
雖然顯現在製造鈍化塗層的上下文中,於本文中之教導亦可容易地應用至膜沈積條件,此透過適當地選擇沈積前驅物分子及膜沈積製程條件(溫度、壓力、分壓及時間),且與除氣條件(P、T、除氣氣體及時間)耦合用於類ALD方法能夠改良用於含Si基材表面之沈積條件,其中該含Si基材包含Si、O、C、N、H及前述包括摻雜物之組合,諸如例如,硼、磷、砷及銻。這些方法可藉由併入於此的教導而相關於膜沈積及基材化學表面形貌而具選擇性或不具選擇性。藉由使用於本文中所揭示的方法提供經改良具有減低的立體障礙及較高反應性之起始SiO2 表面,此可改良許多膜沈積方法。雖然顯現出SiO2 的特定情況,亦可使用如於本文中所描述的類似技術來預處理其它表面以改良於低沈積溫度下在那些表面上之膜沈積。
雖然已就SiO2 /Si(100)系統來說明,該熱處理步驟可使用於許多額外材料,以便能夠選擇性鈍化及/或活化想要的表面,因此能夠進行選擇性膜沈積方法。類似地,可透過使用適當的前驅物化學物質及適當的加工,透過選擇性表面活化/鈍化存在於Si(100)、含Si介電質及其它材料上的化學表面終端而改變成能夠進行選擇性沈積。
在某些具體實例中,本發明之方法包括在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物之步驟:(I)及(II), 其中R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基;R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基;X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11,其中該含矽化合物與該第一表面的表面羥基反應而形成矽甲基醚終端的表面,因此鈍化該表面。
在另一個具體實例中,該使用於本發明的方法之化合物係選自於由式I及式II所組成之群:(I)及(II), 其中R1 、R2 及R4 各者各自獨立地選自於H、C1 至C18 線性烷基、分枝的C3 至C8 烷基、C3 至C18 環狀或雙環烷基、C3 至C18 雜環基團、C3 至C18 烯基、C4 至C8 芳基及C3 至C10 炔基;R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基;R5 係選自於鍵、C1 至C18 線性烷基、分枝的C3 至C18 烷基、C3 至C18 環狀或雙環烷基、C3 至C10 雜環基團、C3 至C18 烯基、C4 至C8 芳基及C3 至C10 炔基;X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11。
如上述顯示出,有二種一般種類可使用來鈍化SiO2 的含矽前驅物分子,其係由式I及式II之結構表示。如與先述技藝依賴多重反應性配位基的許多前驅物(即,三烷氧基或三氯物種)相反,將每種種類的化合物設計成透過單一反應性配位基(鹵基、胺基、烷氧基或矽烷醇)鍵結至表面羥基。目前咸信,特別按照將於此提供之在熱處理步驟後於SiO2 表面上的表面羥基分佈,本發明之單一反應性配位基物種將具有高傾向形成具有較高整體表面覆蓋的單層鈍化層。全部皆以下列概念為基準:對本說明之SiO2 /Si(100)系統來說,就將鈍化分子錨定至SiO2 表面,及因此,併入該反應性配位基的原子全部係Si來說,該Si-O-Si鍵將係最優良。
該二種一般「種類」的前驅物分子包括含有下列的那些: 式I -一個錨定原子鍵結至表面(單極(monopodal)分子);及 式II-二個錨定原子鍵結至表面(雙極(bipodal)分子)。
額外具體實例亦包括使用碳氟化合物配位基,要聲明的是,它們包括至少一個直接鍵結至矽原子的烴(CH2 )連結,因此將矽原子與該配位基的碳氟化合物部分分隔開不直接鍵結(即,於將形成表面鈍化層的分子內無Si-CFx 鍵存在)。特定非為限制的實施例包括碘三(3,3,3-三氟丙基)矽烷、二甲基胺基三(3,3,3-三氟丙基)矽烷、[(CF3 CF2 (CH2 )6 (CH3 )2 SiCl]及溴三(1,1,1-3,3,3-六氟-異丙基)矽烷。
根據式I之化合物的特定實施例包括但不限於下列:
A.氯化三甲基矽;溴化三甲基矽;碘化三甲基矽;二甲基胺基三甲基矽烷;乙基甲基胺基三甲基矽烷;二乙基胺基三甲基矽烷;乙基丙基胺基三甲基矽烷;二丙基胺基三甲基矽烷;乙基異丙基胺基三甲基矽烷;二異丙基胺基三甲基矽烷;二正丁基三甲基矽烷;二異丁基三甲基矽烷;二二級丁基三甲基矽烷;
B.氯化三乙基矽;溴化三乙基矽;碘化三乙基矽;二甲基胺基三乙基矽烷;乙基甲基胺基三乙基矽烷;二乙基胺基三乙基矽烷;乙基丙基胺基三乙基矽烷;二丙基胺基三乙基矽烷;乙基異丙基胺基三乙基矽烷;二異丙基胺基三乙基矽烷;二正丁基三乙基矽烷;二異丁基三乙基矽烷;二二級丁基三乙基矽烷;
C.氯化三正丙基矽;溴化三正丙基矽;碘化三正丙基矽;二甲基胺基三正丙基矽烷;乙基甲基胺基三正丙基矽烷;二乙基胺基三正丙基矽烷;乙基丙基胺基三正丙基矽烷;二丙基胺基三正丙基矽烷;乙基異丙基胺基三正丙基矽烷;二異丙基胺基三正丙基矽烷;
D.氯化三異丙基矽;溴化三異丙基矽;碘化三異丙基矽;二甲基胺基三異丙基矽烷;乙基甲基胺基三異丙基矽烷;二乙基胺基三異丙基矽烷;乙基丙基胺基三異丙基矽烷;二丙基胺基三異丙基矽烷;乙基異丙基胺基三異丙基矽烷;二異丙基胺基三異丙基矽烷;
E.氯化三正丁基矽;溴化三正丁基矽;碘化三正丁基矽;二甲基胺基三正丁基矽烷;乙基甲基胺基三正丁基矽烷;二乙基胺基三正丁基矽烷;
F.氯化三異丁基矽;溴化三異丁基矽;碘化三異丁基矽;二甲基胺基三異丁基矽烷;乙基甲基胺基三異丁基矽烷;二乙基胺基三異丁基矽烷;
G.氯化三二級丁基矽;溴化三二級丁基矽;碘化三二級丁基矽;二甲基胺基三二級丁基矽烷;乙基甲基胺基三二級丁基矽烷;二乙基胺基三二級丁基矽烷;
H.氯化三正戊基矽;溴化三正戊基矽;碘化三正戊基矽;二甲基胺基三正戊基矽烷;
I.氯-三(3,3,3-三氟丙基)矽烷;溴-三(3,3,3-三氟丙基)矽烷;碘-三(3,3,3-三氟丙基)矽烷;二甲基胺基-三(3,3,3-三氟丙基)矽烷;乙基甲基胺基-三(3,3,3-三氟丙基)矽烷;二乙基胺基-三(3,3,3-三氟丙基)矽烷;乙基丙基胺基-三(3,3,3-三氟丙基)矽烷;二丙基胺基-三(3,3,3-三氟丙基)矽烷;乙基異丙基胺基-三(3,3,3-三氟丙基)矽烷;二異丙基胺基-三(3,3,3-三氟丙基)矽烷;
J.氯-三(4,4,4-三氟丁基)矽烷;溴-三(4,4,4-三氟丁基)矽烷;碘-三(4,4,4-三氟丁基)矽烷;二甲基胺基-三(4,4,4-三氟丁基)矽烷;
K.氯化辛基二甲基矽;溴化辛基二甲基矽;碘化辛基二甲基矽;二甲基胺基辛基二甲基矽烷;
L.氯化癸基二甲基矽;溴化癸基二甲基矽;碘化癸基二甲基矽;二甲基胺基癸基二甲基矽烷;
M.氯化十二烷基二甲基矽;溴化十二烷基二甲基矽;碘化十二烷基二甲基矽;二甲基胺基十二烷基二甲基矽烷;
N.氯化十六烷基二甲基矽;溴化十六烷基二甲基矽;碘化十六烷基二甲基矽;二甲基胺基十六烷基二甲基矽烷;
O.氯化十八烷基二甲基矽;溴化十八烷基二甲基矽;碘化十八烷基二甲基矽;二甲基胺基-十八烷基二甲基矽烷;
P.氯二甲基(1H,1H-2H,2H-全氟辛基)矽烷;溴二甲基(1H,1H-2H,2H-全氟辛基)矽烷;碘二甲基(1H,1H-2H,2H-全氟辛基)矽烷;二甲基胺基二甲基(1H,1H-2H,2H-全氟辛基)矽烷;
Q.氯二甲基(1H,1H-2H,2H-全氟癸基)矽烷;溴二甲基(1H,1H-2H,2H-全氟癸基)矽烷;碘二甲基(1H,1H-2H,2H-全氟癸基)矽烷;二甲基胺基-二甲基(1H,1H-2H,2H-全氟癸基)矽烷;及
R.氯二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;溴二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;碘二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;二甲基胺基-二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷。
根據式II及II(a)之化合物的特定實施例包括但不限於下列:1,3-雙-氯二甲基矽甲基(乙烷);1,3-雙-溴二甲基矽甲基(乙烷);1,3-雙-碘二甲基矽甲基(乙烷);1,3-雙-二甲基胺基-二甲基矽甲基(乙烷);1,3-雙-氯二甲基矽甲基(丙烷);1,3-雙-溴二甲基矽甲基(丙烷);1,3-雙-碘二甲基矽甲基(丙烷);1,3-雙-二甲基胺基-二甲基矽甲基(丙烷);1,3-雙-氯二甲基矽甲基(丁烷);1,3-雙-溴二甲基矽甲基(丁烷);1,3-雙-碘二甲基矽甲基(丁烷);及1,3-雙-二甲基胺基-二甲基矽甲基(丁烷)。
額外的具體實例亦包括在任何想要的R基團位置中使用碳氟化合物配位基,要聲明的是,它們包括至少一個直接鍵結至矽原子的烴(CH2 )連結,因此矽原子與該配位基的碳氟化合物部分分隔開而不直接鍵結(即,於將形成表面鈍化層的分子內無Si-CFx 鍵存在)。
本發明之方法的利益為可藉由在溼式化學處理後如上述詳述般加熱該表面來控制-OH基團之表面密度。該熱將趕走一部分的-OH基團。此表面密度可藉由例如低能量離子散射、TOF-SIMS或FTIR(表面模式)測量,及可根據該前驅物之尺寸從該訊息來選擇該前驅物以最理想化該鈍化。例如,若在-OH基團間之距離係約6.5埃時,則例如具有乙基(「(CH2 )2 」)或丙基(「(CH2 )3 」)鏈結之雙極前驅物可有好的「勝任」,因為丙基長度係約6.9埃。
不意欲由任何特別的理論界限,咸信可透過熱處理加工與鈍化分子設計之組合達成SiO2 介電質表面的最理想鈍化結果。特別是,目前咸信從SiO2 表面移除已吸附的溼氣及緊緊叢聚的表面羥基將產生大大無氫鍵的表面羥基群及增加反應性遠比羥基低(及亦具有比-OH表面鍵少的極性)表面類矽氧烷(Si-O-Si)鍵數目,及其可透過預處理製程條件來控制進行裁修而具有一平均分開距離。咸信透過使用具有分子內長度能讓其反應性基團距離與該羥基表面間隔相配的特定鈍化分子,將允許此表面基本上完成反應性化學位置之鈍化。
從立體障礙及反應性配位基空間透視二者設計出具有想要/經設計的基團間隔之分子的能力將提供超過先述技藝的顯著改良。此可導致更快的氣相表面鈍化塗層形成反應,及同步改良表面覆蓋(其僅由併入該母鈍化分子中的有機配位基之選擇所授予的固有「立體擁擠」限制)。對相對於Si(100)表面SiO2 表面之選擇性鈍化的特定具體實例來說,該熱預處理溫度較佳為在約375至約450℃間以提供平均表面羥基間隔大約6.5埃,同時留下由初始溼式清潔步驟所提供之完整及基本上未改變之H終端Si(100)表面。用於此表面的較佳前驅物分子與它們是否具有1或2個表面鍵結點埋入該分子內相依(即,1或2個反應性配位基,且在一前驅物分子內具有不超過1個反應性配位基接附至任何所提供的原子)。對1個表面鍵結點分子來說,該間隔大部分由立體障礙限制主導,及該非反應性配位基係經選擇以便它們將不會防礙在鄰接的「自由態」羥基位置處之反應,但是它們將由有機官能基提供最大表面覆蓋。對雙極表面鍵結點分子來說,將二個(單一反應性配位基)原子間之間隔係製成稍微大於在表面羥基間的平均間隔。
該經控制的「自由態」表面羥基間隔與該分子設計耦合能夠快速及完全地氣相形成表面鈍化塗層。此代表潛在超過先述技藝的顯著改良及可產生商業可行用於SiO2 /Si表面的選擇性ALD方法。
額外的優點包括:(1)相對於未如此製備之SiO2 表面,增加該「自由態」羥基之反應性能夠使用較寬範圍的反應性配位基來將該表面鈍化部分接附至該SiO2 表面(即,能夠使用較寬範圍的前驅物化學);(2)相對於相關技藝之未如此製備的表面,將在高於最小反應溫度之反應溫度下更快速及更完全地形成所形成的鈍化層且具有較好(最大化)的表面覆蓋;(3)藉由最密堆積鈍化層所形成的SiO2 鈍化表面在隨後的ALD膜沈積方法中具有經改良的抗前驅物成核性,及減少殘餘的表面羥基鍵數目(對先述技藝的SiO2 表面來說,其存在於表面鈍化層下)能夠改良在隨後的製程步驟中之選擇性膜沈積。
最好在熱處理後儘可能快地形成該鈍化層以避免該經處理的表面曝露至溼氣或氧。
當使用作為共反應物或觸媒時,胺類意謂著包括下列的分子種類,其包含NR3 ,其中R各自獨立地係H、烷基、芳基、烯烴等等;及吡啶及吡啶衍生物。在技藝中已知,可對在相關技藝之經羥基化的表面上之反應一起使用胺類與包括鹵素反應性基團的分子,以達成較低的整體反應溫度。若與本發明之教導一起使用時,此胺類甚至能夠使用較低的溫度反應來形成想要的鈍化層,和減少反應時間。缺乏本發明的教導,使用這些分子能夠在比缺乏其時還低之溫度下形成想要的鈍化層。在使用胺共反應物的全部情況中,會有透過形成凝結的吡錠或銨鹵化物鹽而污染該經羥基化的表面及讓其中毒之風險。在方法進展時必需小心,以最小化因使用由熟習該項技術者已知的方法讓該經羥基化的表面受這些鹽污染。
氣相反應意欲指示出透過引進呈氣相的前驅物將該前驅物曝露至經熱及預處理的基材(其係包括在密封的容器中),但是若該基材溫度係低於該前驅物及/或共反應物之沸點時,此不排除前驅物或共反應物在表面基材上凝結(即,可允許形成液體層以促進該表面羥基與該前驅物及/或反應物反應)。
液體(溶液)相反應意欲指示出將該前驅物及/或共反應物以液體狀態直接引進到表面基材上,其中該液體狀態係「純淨」或與合適的液體溶劑一起。若使用溶劑時,其應該具超高純度及與該基材或前驅物及/或共反應物不具反應性。
該氣相或氣相反應包括在由該鈍化步驟所提供的表面羥基與在該前驅物分子上的單一反應性配位基間之那些。它們包括在合適的艙中讓該經加熱的基材曝露至該前驅物分子及/或共反應物,其中該艙必需能提供所需要的壓力控制及亦可將熱供應至該基材及/或艙壁;該艙亦應該提供合適於將發生的反應之純度,此通常透過高洩漏完整性及使用超高純度載劑及反應性氣體達成。本發明的另一個具體實例係關於在隨後加熱該基材以讓該前驅物及/或共反應物與該表面羥基反應前,使用冷卻該基材來促進於基材表面上形成前驅物及/或共反應物液體層。
用語「前驅物」意欲意謂著先前於本文中所描述的單反應性配位基、單錨定點及/或二個錨定點分子。它們可與超高純度載劑氣體(如先前定義)一起及呈任何彼此想要的混合物(即,可一起或以分立、各自獨立的步驟使用多於一種型式之前驅物來形成想要的鈍化層,而不管想要的前驅物之引進順序)使用。共反應物意欲意謂著先前揭示之催化性胺反應物(若欲使用它們時)。
可使用質流控制器(或許含有加熱線)、液體注射蒸發器(或許含有加熱線)或不含計量供給的裝置(即,使用簡單的閥,從與該反應器分開之容器單純引進蒸氣及或氣體),將該前驅物及/或共反應物輸送至反應器。亦可彼此組合著使用前述之任何。可使用能提供足夠純度及再現能力且將該氣體及/或蒸氣提供至反應艙之任何工具。
可將該前驅物及/或共反應物各自獨立地、在引進至反應器前混合、在反應器中混合或在前述多重、各自獨立的步驟之任何組合中引進至反應器,其包括於步驟間如何引進前驅物的差異。
對直接氣相反應來說,咸信應該對反應的溫度範圍進行選擇,使其接近所提供的表面鈍化層之最大穩定性溫度(如先前提到)。但是,該反應的溫度範圍可於室溫至700℃間,要聲明的是,該溫度應該等於或低於預處理溫度。該壓力範圍可係10-10 托耳至3000托耳及可維持在動態流動條件下(即,含有閥及蝴蝶閥型式安排),或可維持在靜態條件下(即,將排空的艙曝露至想要的前驅物及/或共反應物直到達成想要的總壓力,然後將該艙與前驅物及/或共反應物來源及真空泵二者分開)。如需要時,可將反應器完全排空及再曝露至新鮮的前驅物及/或共反應物許多次。可使用想要的任何混合物及/或濃度引進該前驅物及/或共反應物。
一旦該SiO2 表面經鈍化,活化該包含SiH的表面用於進一步選擇性反應,諸如例如,在Si-H表面上選擇性ALD沈積SiCN。可選擇性沈積在包含SiH的表面上之額外材料包括包含氧、氮、氫及碳的矽膜(即,SiOx 、SiNx 、SiOx Ny 、SiCx Ny 、SiOx Cy ,同樣全部可併入H)、金屬、金屬氮化物及金屬氧化物。
在某些具體實例中,選擇性於該第二表面上沈積一金屬氧化物膜。在一個實施例中,該金屬氧化物膜可提供在第二表面上作為覆蓋層。例如,該金屬氧化物膜可藉由原子層沈積法(ALD)、電漿輔助ALD(PEALD)、化學氣相沈積法(CVD)、電漿輔助CVD(PECVD)或脈衝CVD進行沈積。根據一個具體實例,該金屬氧化物膜可選自於由下列所組成之群:HfO2 、ZrO2 、TiO2 、Al2 O3 及其組合。在某些實施例中,該金屬氧化物膜可使用交替曝露的金屬有機前驅物與氧化劑(例如,H2 O、H2 O2 、電漿激發的O2 或O3 ),藉由ALD進行沈積。
例如,根據本發明之選擇性沈積可係金屬及金屬氧化物層,其係揭示在Hamalainen等人之「Atomic Layer Deposition of Noble Metals and Their Oxides」,Chem. Mater. 2014,26,786-801;及Johnson等人之「A Brief review of Atomic layer Deposition: From Fundamentals to Applications」,Materials Today,Volume 17,Number 5,June 2014,此二者其全文以參考之方式併入本文。
在某些具體實例中,該金屬膜係選擇性沈積在第二表面上。在一個實施例中,該金屬膜可提供在第二表面上作為覆蓋層。在另一個實施例中,該金屬膜可提供在第二表面上作為傳導路徑(即,線、墊或栓)。在另一個實施例中,該金屬膜可例如藉由原子層沈積法(ALD)、電漿輔助ALD(PEALD)、化學氣相沈積法(CVD)、電漿輔助CVD(PECVD)或脈衝CVD進行沈積。根據一個具體實例,該金屬膜可選自於由下列所組成之群:Al、Ti、Co、Rh、Ir、Fe、Ru、Os、Mn、Tc、Re、Cu、Ag、Au、Ni、Pd或Pt及其組合。
在某些具體實例中,該金屬或金屬氮化物膜係選擇性沈積在第二表面上。在一個實施例中,該金屬或金屬氮化物膜可提供在第二表面上作為覆蓋層。在另一個實施例中,該金屬或金屬氮化物膜可提供作為擴散障敝層。例如,該金屬或金屬氮化物膜可藉由原子層沈積法(ALD)、電漿輔助ALD(PEALD)、化學氣相沈積法(CVD)、電漿輔助CVD(PECVD)或脈衝CVD進行沈積。例如,該實施例係在IBM Research Report之「Atomic Layer Deposition of Metal and Metal Nitride Thin Films: Current Research Efforts and Applications for Semiconductor Device Processing」,RC22737(W0303-012),March 5,2003中找到。
在某些具體實例中,相對於該基材之第二H終端表面,沈積在如於本文中所描述的第一基材表面諸如基材的SiO2 表面上係具有至少約90%選擇性,至少約95%選擇性,至少約96%、97%、98%或99%或較大的選擇性。在某些具體實例中,僅於第一表面上發生而不在第二表面上發生沈積。在某些具體實例中,相對於第二基材表面,沈積在第一基材表面上係具有至少約70%選擇性或至少約80%選擇性,此選擇性對某些特別應用可足夠。在某些具體實例中,相對於第二基材表面,沈積在第一基材表面上係具有至少約50%選擇性,此選擇性對某些特別應用可足夠。 實施例
下列實施例將闡明所主張的每個製程步驟。本發明及已經進行的實驗之目標為製造出幾乎無羥基,及額外地,具有單層至次單層覆蓋的有機矽烷鈍化塗層之SiO2 表面。在較佳具體實例中,該鈍化分子於SiO2 表面上之「立體」障礙係與在已經完成熱處理步驟後所遺留下之幾乎均勻的平均表面羥基間隔緊密地相配(參見圖2之闡明)。 步驟1:讓該基材與一溼化學組成物接觸以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材 實施例1:以SC-1溼式化學曝露增加表面羥基濃度
透過下列步驟程序同步加工各自獨立的SiO2 及Si(100)試料:
首先,在新鮮製備包含過氧化氫(28-30%)、氫氧化銨(28%)及蒸餾的去離子水且呈200毫升:100毫升:1000毫升之比率的溶液中清潔二者基材表面,該清潔包括首先在石英燒杯中一起混合該等化學物質,將在該燒杯中的溶液加熱至70℃+/-5℃,將該基材表面完全沈浸在經預熱的清潔溶液中10分鐘,將該基材移出該清潔溶液,及將其沈浸在填充新鮮的蒸餾去離子水之容器中並沖洗該基材直到在該基材上的清潔溶液濃度已經稀釋至低於偵測極限。
可使用與包含水或任何其它合適流體之液體的接觸角測量(測角器測量)來度量該清潔步驟的效率及完整性。顯現於此的資料係針對具有體積2微升之蒸餾去離子水滴。
對起始表面(呈如所接收的狀態)進行多次測量及其實測值係在顯示於下列的範圍內: SiO2 :32-43° Si(100):26-35°
咸信在如所接收的接觸角測量中觀察到之變化性係大氣溼氣吸附到氧化物表面上的直接結果,此在呈如所接收狀態的二者基材中常見。
藉由測角器測量及TOF-SIMS測量來度量SiO2 及Si(100)二者基材的表面,其係已羥基化成具有比起始樣品更高的羥基程度。測量該已完全羥基化的表面之水接觸角及其實測值係在顯示於下列的範圍內: SiO2 :5-10° Si(100):5-10°
在已闡明該表面係經潤溼及具親水性後,該第一步驟完成。雖然透過溼式清潔步驟進行闡明,但本發明不限於此。
亦透過SiO2 基材之TOF-SIMS測量反映出在如所接收及清潔後狀態下的SiO2 表面狀態。這些測量允許「半定量」存在於基材上的羥基、氫化物及其它物種之表面濃度特徵。如所接收及清潔後狀態的典型TOF-SIMS光譜係顯現在圖3中,其闡明清潔後的羥基表面濃度清楚增加,如透過在二個樣品之二個SiOH波峰間的相對強度差異顯示出。此清潔後羥基表面濃度增加係能夠讓表面羥基濃度進行想要地經控制地減少的關鍵。 實施例2:在Si(100)表面上的Si-OH鍵轉換成Si-H鍵
然後,使用具有濃度在2.0-3.0%間(0.1%-5.0%範圍)的HF溶液同步處理由先前實施例所提供之完全羥基化的SiO2 及Si(100)表面一段足以於Si(100)上產生完全疏水的表面之時間,然後以水沖洗及使用超高純度的氮氣流吹乾。典型來說,在室溫下形成Si(100)-H終端表面將花費80至110秒(範圍:20秒-600秒)。使用接觸角測量標出SiO2 及Si(100)表面特徵。通常來說,咸信在SiO2 表面上的接觸角愈低及在Si(100)-H表面上的接觸角愈接近至90°,該HF蝕刻步驟用於本發明之目的之結果愈好。二種基材表面的HF蝕刻步驟後所測量之典型值包括: SiO2 :4-8° Si(100):80°-90°
由於高程度的表面羥基化,這些型式的SiO2 基材甚至比如所接收的樣品更易受大氣污染及溼氣吸附影響,如此在負載進反應器系統前,於其儲存及處理期間應該倍加小心。對如所意欲般進行本發明來說,需要在進行該熱處理步驟前,從該樣品表面完全移除任何此型式的過多溼氣。此係藉由在減壓條件下於溫度約200℃下加熱1-10分鐘時期達成。週圍可係壓力10-5 托耳至740托耳之真空(或在超高純度惰性氣體流(N2 、He、Ne、Ar等等…)下之減壓)。
類似地,已証明Si(100)-H表面對空氣曝露顯示出有限的穩定性,其有氧化傾向,因此將打消與SiO2 表面之化學差異,其中此差異係達成選擇性鈍化層形成所需要。對如所意欲般進行本發明來說,需要在HF蝕刻後儘可能快速地將樣品負載進反應器系統中以避免表面再氧化。任擇地,可將基材貯存在相對於空氣氧化速率呈非常慢之化學惰性環境中,然後快速負載進反應器系統中。
雖然透過溼式清潔進行闡明,可使用能同步產生完全羥基化的SiO2 表面[αOH(s)=9.5微莫耳/平方公尺]及完全氫終端化的Si(100)表面之任何方法或方法組合來實行本發明。可使用電漿方法(如先前提到)、溼式方法或二種之組合來提供具有已經描述的性質之所需要的化學終端。
亦已透過TOF-SIMS測量標出Si(100)及SiO2 表面在清潔後狀態(SC-1及HF)中之狀態特徵。這些測量允許半定量存在於基材上的表面羥基、氫化物及其它物種濃度特徵。經SC-1清潔及HF蝕刻後之Si(100)及SiO2 表面的典型TOF-SIMS光譜係顯示在圖6中。咸信顯示在圖6中的小羥基波峰係由於該樣品在運輸用於測量時發生某些氧化。
參照圖6,雖然Si(100)樣品在空氣曝露期間有發生氧化,很清楚已經在SiO2 與Si(100)表面間達成想要的化學表面終端差異。也就是說,相對於彼此,該SiO2 表面具有非常高的羥基濃度及Si(100)表面具有非常高的氫化物基團濃度;甚至伴隨著已在Si(100)表面上發生空氣氧化,很清楚在清潔步驟後,二種基材具有非常不同的羥基及氫化物表面終端濃度。亦已由熟悉此技藝之人士所熟知,單晶矽表面之HF蝕刻將導致疏水性氫表面終端,其易受延長空氣曝露後之氧化影響。 步驟2:將該經處理的基材加熱至溫度約200℃至約600℃,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團 實施例3:溼式清潔接著熱處理
該熱預處理的目的為最大量減低表面羥基濃度,理想上僅留下經分隔開的羥基,然後,使用氣相方法,使用有機矽烷前驅物之一對其進行鈍化。為了實現使用熱處理來最大化減少表面羥基濃度,首先需要製造出完全羥基化的表面(使用溼式清潔、氣相曝露、電漿處理等等…)。也就是說,首先需要在熱處理前將表面羥基濃度增加至超過正常對如所接收或如所加工的二氧化矽表面所觀察到者,以實現本發明之表面羥基終端。
該熱處理以減少表面羥基背後的機制為根據下式之矽烷醇縮合反應與消除水: Si(OH)(表面)+Si(OH)(表面)àSi-O-Si(表面)+H2 O(g)
已經使用TOF-SIMS標出三種型式樣品的特徵來定量在熱處理前及熱處理後狀態下之表面羥基濃度: (1)如所接收的熱二氧化矽「如所接收」; (2)已經使用(NH4 OH+H2 O2 )清潔的二氧化矽「SC-1」; (3)已經使用(NH4 OH+H2 O2 )「SC-1」清潔接著HF蝕刻之二氧化矽「SC-1+HF」。
使用於每種型式的樣品之熱處理程序皆相同及包括下列主要步驟: (1)透過適當的溼式化學步驟製備表面羥基覆蓋(若有的話); (2)將樣品負載進將於此加熱其之反應器系統中; (3)循環除氣該樣品直到該反應器系統達成基礎壓力; (4)在超高純度N2 流下除氣該反應器系統足夠的時間,以減低在該反應器系統中因打開反應器系統及該樣品本身所引起之水分含量; (5)使用已預程式化而貯存在爐溫控制器中的方法來執行該熱處理; (6)在超高純度N2 流下將該樣品冷卻至室溫; (7)從該反應器系統卸載樣品及在N2 下包裝其用以裝運至用於分析。 實施例4:經SC-1清潔的二氧化矽樣品之熱處理(在Si(100)上1,000埃熱SiO2 )
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴之鐵弗龍(Teflon)船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃的SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將樣品移出該清潔浴及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,將已清潔的1000埃SiO2 樣品之一負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力10毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到在該反應器系統內達成基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時以減低於該系統中之背景溼氣濃度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐的溫度控制器上之加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度-「樣品」)之熱痕跡係顯示在圖4中,其闡明該樣品之溫度如為時間的函數。
圖4亦顯示出在熱處理步驟期間發生之來自樣品的溼氣排放痕跡(如藉由現場四極質譜儀(QMS)測量)。此溼氣排放係與先前描述的矽烷醇縮合反應一致。
在完成該熱處理方法後,於20 sccm超高純度N2 流下(在壓力2.3托耳下)將該樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝於容器中,然後貯存在N2 下用以裝運至供應商用於TOF-SIMS。
現在參照圖5,透過熱處理,使用與上述對經SC-1清潔的樣品所描述般相同之方式,對三種先前描述的樣品型式每種進行加工。這些型式的樣品每種皆使用TOF-SIMS及AFM和藉由測角器測量(水接觸角測量)標出在熱處理前及熱處理後狀態下之特徵。這些樣品的TOF-SIMS資料係顯示在圖5中。該TOF-SIMS測量顯示出對每個樣品在熱處理前及熱處理後狀態下所觀察之已常態化的SiOH+ 離子強度(於質量45 amu下)。從此資料組推演出下列關鍵觀察:
1.如所接收的樣品(其不具有表面羥基濃度增加超過其正常狀態)顯示出其表面羥基濃度在熱處理步驟後之變化非常小。此樣品熱處理後之表面羥基濃度無重大減少;
2.相對於未接受增加其表面羥基濃度步驟之如所接收的樣品(如「預計」),SC-1及SC-1+HF樣品二者皆已增加表面羥基濃度;及
3. SC-1及SC-1+HF樣品二者顯示出熱處理後的表面羥基濃度戲劇性減少至濃度相當低於對如所接收的樣品熱處理後所測量的那些。此重要的區別強調出整體製程流對獲得本發明之想要的低表面羥基濃度之重要性。沒有首先在熱處理前增加表面羥基濃度則無法實現透過熱處理而戲劇性減低表面羥基濃度。此意謂著在工業中所遭遇到的大部分氧化矽表面將不具有在本發明中想要之行為,即使它們使用於本文中所揭示的熱處理曲線進行加熱。 實施例5:熱處理(以經控制與對在Si(100)表面上之氫化物表面終端具有最小衝擊的方式來減低SiO2 表面羥基濃度)
其次,將藉由實施例1及2所製備之具有想要的化學表面終端之Si(100)及SiO2 基材負載進反應器系統中,於此讓其接受數個抽泵-除氣循環以移除大氣及物理吸附的污染物。該第一循環包括將樣品抽泵至壓力大於基礎壓力在5至10毫托耳間,接著於壓力2-4托耳下減壓N2 除氣(10-20 sccm超高純度N2 ) 3分鐘,接著抽泵步驟至壓力大於基礎壓力在1至3毫托耳間,接著於壓力2-4托耳下減壓N2 除氣(10-20 sccm超高純度N2 ) 3分鐘,接著最後泵出步驟至基礎壓力。對此實驗來說,在該反應器管其自身中進行如此循環除氣,無法獲得經加熱的負載鎖定。
然後,使用該熱處理來加工該樣品以減低在SiO2 表面上的羥基表面濃度,同時於Si(100)氫化物表面上具有最小衝擊。該熱處理曲線係顯示於圖7中,其中x軸係時間以分鐘計及y軸係溫度以℃計。
顯示在圖7中的溫度係二個各自獨立的溫度計(一個埋入爐其自身內及與反應器管接觸,及一個緊密地接近實際的樣品溫度)。就是使用此實際曲線,透過下列反應來減低在SiO2 表面上之羥基濃度: Si-OH(s)+Si-OH(s)àSi-O-Si+H2 O(g)
圖8顯示出使用現場質譜儀與覆加的熱處理溫度曲線所收集之資料及其明確顯示出從該樣品放出水。該熱處理可在一定條件範圍內進行,但是目前已經闡明其係在具有壓力約2.5托耳之超高純度N2 流下,以流速約20 sccm N2 之減壓進行操作。
SiO2 樣品之SC-1清潔後、HF蝕刻後及熱處理後的測角器測量提供下列水接觸角度量: SiO2 :29.1°(參考:SiO2 +SC-1+熱處理=30.5°) Si(100):56.3(顯示出在空氣曝露後氧化;參考Si(100)+無熱處理空運轉樣品:57.9;貯存在空氣中的Si(100)樣品:顯示出在曝露及儲存於空氣後持續氧化,最終達到接近~41.9°的穩定狀態,其非常接近由熟習該項二氧化矽技術者所接受的值)。
亦已透過TOF-SIMS測量標出該呈清潔後/熱處理後狀態之Si(100)及SiO2 表面狀態特徵。這些測量允許半定量存在於基材上之羥基、氫化物及其它物種的表面濃度特徵。SC-1清潔及HF蝕刻後的Si(100)及SiO2 表面之典型TOF-SIMS光譜係顯示在圖9中。要注意的是,於此再次於Si(100)光譜中出現由於設備/實驗極限之空氣曝露的氧化波峰。 實施例6:熱處理如所接收的1,000埃SiO2 /Si(100)
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)試料,以高純度氮氣流吹拭移除粒子,然後在250 sccm超高純度N2 氣體流下,於室溫下,將其負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力10毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳) 1小時,以減低於該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,於超高純度N2 氣體(於2.3托耳下)之減壓除氣下,使用已預程式化貯存在用於爐的溫度控制器上之加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖10中,如為時間的函數。
在完成該熱處理方法後,於20 sccm超高純度N2 流下(在壓力2.3托耳下),將「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝於容器中,然後貯存在N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出「如所接收的」1,000埃SiO2 樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
在圖11中描出用於比較之含及不含熱處理之「如所接收的」1,000埃SiO2 /Si(100)樣品之TOF-SIMS光譜。參照圖11,亦可在「如所接收的」1,000埃SiO2 /Si(100)熱處理後光譜中看見某些呈鈉(Na)及鉀(K)形式的環境污染物,及此可能係在TOF-SIMS測量前之取樣處理的結果。
圖11的二個TOF-SIMS光譜之比較顯露出二種樣品的表面羥基濃度大部分類似。也就是說,「如所接收的」1,000埃SiO2 /Si(100)樣品之熱處理未明顯減低羥基濃度量。
二種樣品之經常態化的SiOH+ 正離子訊號強度(質量45 amu)及SiH+ 正離子訊號強度(質量29 amu)的典型表面濃度之定量資料係顯現在下列表中: 「如所接收的」1,000埃SiO2 /Si(100)之經常態化的SiOH+ 及SiH+ 強度 實施例7:熱處理實施例2(經SC-1清潔的二氧化矽樣品(在Si(100)上1,000埃熱SiO2 ))
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃的SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子的超高純度N2 氣體來源完全乾燥樣品。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,將數個經SC-1清潔的1000埃SiO2 樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力50毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳) 1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖12中。
在圖12中的覆加圖係於熱處理步驟期間發生之來自樣品的溼氣排放痕跡(如藉由現場四極質譜儀(QMS)測量)。此排放溼氣係與先前描述的矽烷醇縮合反應一致。
在完成該熱處理方法後,於20 sccm超高純度N2 流下(在壓力2.3托耳下),將該「經SC-1清潔的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝於容器中,然後貯存在N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔的」1,000埃SiO2 樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
用於比較的含及不含熱處理之「經SC-1清潔的」1,000埃SiO2 /Si(100)樣品之TOF-SIMS光譜係顯現在圖13中。可在圖13中看見,某些呈鈉(Na)及鉀(K)形式的環境污染物係呈現在熱處理後之「經SC-1清潔的」1,000埃SiO2 /Si(100)之光譜中,及其可能是在TOF-SIMS測量前之取樣處理的結果。
圖13之二個TOF-SIMS光譜的比較顯露出在二種樣品間之表面羥基濃度非常不同。也就是說,相對於未經熱處理加工的樣品,該熱處理已大大對該「經SC-1清潔的」1,000埃SiO2 /Si(100)樣品減低明顯量之羥基濃度。
二種樣品之經常態化的SiOH及SiH表面濃度之定量資料係顯現在下列表中: 「經SC-1清潔的」1,000埃SiO2 /Si(100)之經常態化的SiOH+ 及SiH+ 強度 實施例8:在Si(100)樣品上之「經SC-1清潔,HF蝕刻的」1,000埃熱SiO2 之熱處理
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)樣品移出該HF溶液及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體流完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下) 2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減低循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方,在超高純度N2 氣體之減壓除氣下(於2.3托耳下)進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖14中。
在完成該熱處理方法後,於20 sccm超高純度N2 流下(在壓力2.3托耳下),將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝於容器中,然後貯存在N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
該用於比較的含及不含熱處理之「經SC-1清潔的」1,000埃SiO2 /Si(100)樣品之TOF-SIMS光譜係顯示在圖15中。參照圖15,可在該熱處理後之「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)光譜中看見存在有某些呈鈉(Na)及鉀(K)形式的環境污染物,及其可能係在TOF-SIMS測量前之取樣處理的結果。
二個TOF-SIMS光譜的比較顯露出在二種樣品間之表面羥基濃度非常不同。也就是說,相對於未經熱處理加工的樣品,該熱處理已大大對該「經SC-1清潔的」1,000埃SiO2 /Si(100)樣品減低明顯量之羥基濃度。二種樣品之經常態化的SiOH及SiH表面濃度之定量資料係顯現在下列表及圖16中。 「經SC-1清潔的」1,000埃SiO2 /Si(100)之經常態化的SiOH+ 及SiH+ 強度
該TOF-SIMS測量顯示出對在實施例6-8中所描述的每個樣品其於熱處理前及熱處理後狀態下所觀察之經常態化的SiOH+ 離子強度。從此資料組推演出下列關鍵觀察。
首先,如所接收的樣品(其不具有表面羥基濃度增加超過其正常狀態)顯示出表面羥基濃度在熱處理步驟後之變化非常小。此樣品熱處理後之表面羥基濃度無重大減低。
其次,相對於未接受增加其表面羥基濃度步驟之如所接收的樣品,SC-1及SC-1+HF樣品二者的表面羥基濃度皆已增加。
最後,經SC-1清潔及經SC-1清潔+HF蝕刻的樣品二者顯示出熱處理後之表面羥基濃度戲劇性減少至濃度相當低於對如所接收的樣品其熱處理後所測量之那些。 步驟3:在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物 實施例9:在由上述步驟提供的SiO2 表面上,但不在Si(100)表面上選擇性形成有機矽甲基底的鈍化層
然後,使用氣相反應進一步加工由上述步驟所提供的表面,以讓在SiO2 表面上的殘餘羥基與有機矽烷前驅物分子反應,同時避免在Si(100)表面上之氫化物基團間反應。因此,藉由消除(至非常大的程度)可獲得的羥基來儘可能完全地鈍化所產生的SiO2 表面,其中該羥基係提供作為在隨後的膜沈積方法期間之反應性成核位置。
在這些實施例中所測試的特定分子包括: ISi(CH3 )3 ;BrSi(CH3 )3 ;ClSi(CH3 )3 ;(CH3 )2 NSi(CH3 )3 ClSi(CH2 CH2 CH3 )3 [ClSi(CH3 )2 ]2 (CH2 )2 (CH3 )2 NSi(CH2 CH2 CH3 )3 [ClSi(CH3 )2 ]2 (CH2 )2 及(CH3 )2 NSi(CH3 )3 (組合著使用)
可使用有許多有潛力的氣相方法,使用上述揭示之分子型式來選擇性形成鈍化層。在下列章節中提供少數特定實施例。 實施例A:單極前驅物
在20 sccm超高純度N2 流下,於壓力2.5托耳及溫度420℃下之熱處理結束時,將已經如上述詳述般加工的SiO2 及Si(100)樣品之混合物配置在該反應器系統中。當在減壓下維持超高純度N2 流時,將該樣品冷卻至270℃及讓其在該溫度下平衡10分鐘。然後,藉由將該SiO2 樣品曝露至下列反應程序來選擇性鈍化其: (1)停止N2 流至該系統,排空該反應器管及氣體面板直到達成該系統基礎壓力。 (2)分隔開該氣體面板與該反應器系統(靜態真空條件),及將氣相(CH3 )2 NSi(CH3 )3 充入該線至~72托耳的化合物室溫蒸氣壓,同時仍然對包括該樣品的管進行抽泵。 (3)然後,分隔開該管與真空泵,並打開分隔開該管與氣相(CH3 )2 NSi(CH3 )3 的閥以將(CH3 )2 NSi(CH3 )3 引進該已加熱的管中。 (4)以20托耳之工作壓力充入該管(其包含(CH3 )2 NSi(CH3 )3 ),在此時,關閉至該包括液體(CH3 )2 NSi(CH3 )3 的起泡器之閥及分隔開該氣體面板與該管的閥二者。 (5)讓該化學充入物(CH3 )2 NSi(CH3 )3 與該SiO2 基材表面在該已加熱的管中反應二十分鐘時期。於此時間期間,移除殘餘在氣體面板中的(CH3 )2 NSi(CH3 )3 以準備下一次的化學劑量。 (6)在已經允許該反應20分鐘後,於該氣體面板中啟動20 sccm超高純度N2 流,然後將其導向該反應器系統,其中在打開該分隔閥及於連續N2 流下從該管排空該化學物質/超高純度N2 混合物前,將壓力增加至200托耳。 (7)將該N2 流維持在壓力2.5托耳下1分鐘,然後終止。然後,將該管及氣體面板排空至基礎壓力(排空時間強烈與所使用的前驅物相依,但是典型需要1-3分鐘)。 (8)然後重覆步驟(2)-(7)二次,以選擇性在SiO2 表面上形成三甲基矽甲基鈍化表面,同時不在Si(100)-H表面上形成鈍化。 (9)於完成三個化學曝露循環後,在透過該管於壓力2.5托耳下引進~20 sccm N2 流前,將該管及氣體面板排空至基礎壓力。維持此條件,同時將該樣品冷卻至室溫。
在已將該樣品冷卻至室溫後,將其移出反應器系統,以便可標出其特徵。來自此實施例所描述的實驗之典型資料係顯現在圖17中,其包含下列資料組: (a)二個實驗(「空運轉」及「現場」進行)每個的對照樣品;如所接收;SC-1清潔後;SC-1清潔+HF蝕刻後; (b)「空運轉」樣品(透過步驟I-III,然後透過在步驟(1)-(9)中概述的實施例加工,但是於實驗期間僅引進N2 -無(CH3 )2 NSi(CH3 )3 ):此定義出全部預處理步驟(步驟I-III)在開始表面上(即,於SiO2 表面上的羥基表面終端及於Si(100)表面上的氫化物表面終端)之衝擊。
「現場進行」樣品係透過上述步驟,然後透過在實施例A的步驟(1)-(9)中概述之實施例進行加工。
參照圖17,來自二者實驗的對照樣品之度量非常類似及反映出所發展的清潔方法之高再現程度。最重要的資料組係現場進行樣品的資料組。它們具有的性質非常與已經選擇性在SiO2 表面上,但不在Si(100)表面上形成三甲基矽甲基鈍化表面之結論相符。換句話說,該SiO2 表面在加工後具有高水接觸角(接近100°),此建議已經在SiO2 表面上形成想要的鈍化層,同時Si(100)表面具有明顯減低的水接觸角(此建議已經不在Si(100)表面上形成鈍化層)。
該Si(100)的接觸角減小係與該Si(100)樣品表面在將其從反應器系統移出後之空氣氧化相關。此已經藉由追踪來自空運轉及現場進行二者的Si(100)樣品之水接觸角如為時間的函數,和藉由產生與經清潔及蝕刻但是不放到反應器系統中之樣品(即,允許在HF蝕刻步驟後保持於空氣中)相關的資料而証明。在全部情況中,該接觸角持續減小直到其接近~41.9°,該值係由熟習該項技術者對二氧化矽的水接觸角所接受者。再者,未接受HF蝕刻的Si(100)樣品具有水接觸角>96°,其非常類似於SiO2 表面(如將由熟習該項技術者所預計,因為它們將在缺乏HF蝕刻步驟下羥基化SiO2 表面)。此行為係與在Si(100)表面上缺乏形成任何三甲基矽甲基鈍化表面一致。 實施例B:雙極前驅物
在20 sccm超高純度N2 流下,於壓力2.5托耳及溫度420℃下之熱處理步驟結束時,將已經透過上述詳述的步驟加工之SiO2 及Si(100)樣品的混合物配置在該反應器系統中。當在減壓下維持超高純度N2 流時,將該樣品冷卻至405℃及於此溫度下平衡10分鐘。然後,藉由將該SiO2 樣品曝露至下列反應程序來選擇性鈍化其: (1)停止N2 流至系統,及排空該反應器管與氣體面板直到達成該系統基礎壓力。 (2)將該氣體面板與該反應器系統分隔開(靜態真空條件),及使用氣相[ClSi(CH3 )2 ]2 (CH2 )2 充入該線至0.4托耳的化合物室溫蒸氣壓,同時仍然對包括該樣品的管進行抽泵。 (3)然後,將該管與真空泵分隔開,及打開分隔開該管與氣相[ClSi(CH3 )2 ]2 (CH2 )2 的閥以開始允許[ClSi(CH3 )2 ]2 (CH2 )2 進入該已加熱的管中。 (4)以0.200至0.245托耳之工作壓力(包含[ClSi(CH3 )2 ]2 (CH2 )2 )充入該管,在此時間,關閉至該包括液體[ClSi(CH3 )2 ]2 (CH2 )2 的起泡器之閥與分隔開該氣體面板與管的閥二者。 (5)讓該化學充入物[ClSi(CH3 )2 ]2 (CH2 )2 與該SiO2 基材表面在該已加熱的管中反應二十分鐘時期。於此時間期間,使用真空分流歧管排空殘餘在該氣體面板中之[ClSi(CH3 )2 ]2 (CH2 )2 以準備下一次的化學劑量。 (6)在已經允許該反應10分鐘後,於該氣體面板中啟動20 sccm超高純度N2 流,然後將其導向該反應器系統,其中在打開該分隔閥及於連續N2 流下從該管排空該化學物質/超高純度N2 混合物前,將該壓力增加至200托耳。 (7)將該N2 流維持在壓力2.5托耳下1分鐘,然後終止。然後,將該管及氣體面板排空至基礎壓力(排空時間強烈與所使用的前驅物相依,但是典型需要1-3分鐘)。 (8)然後,重覆步驟(2)-(7)九次以選擇性在SiO2 表面上形成雙-二甲基矽甲基乙烷鈍化表面,同時不在Si(100)-H表面上形成鈍化。 (9)在已經完成想要的循環數目後,將該管及氣體面板排空至基礎壓力,然後,當將該含樣品的管冷卻至室溫時,於壓力2.5托耳下經由該氣體面板將~20 sccm N2 流導向該管及維持。
在該樣品已冷卻至室溫後,將其移出反應器系統以便可標出其特徵。來自僅所描述的實驗之典型資料係顯現在圖18中。其包含下列資料組: (a)二個實驗(「空運轉」及「現場」進行)每個的對照樣品;如所接收;SC-1清潔後;SC-1清潔+HF蝕刻後; (b)「現場進行」樣品(透過步驟I-III,然後透過在實施例B的步驟(1)-(9)中概述之實施例進行加工)。
此實施例所顯現及顯示在圖18中的資料相當類似於實施例1所分享者,其中來自對照樣品之測量幾乎與實施例A所分享的那些相同,該Si(100)現場進行樣品之接觸角與對在實施例A中的Si(100)樣品所觀察的那些幾乎相同(再一次,於此情況中建議空氣氧化),及該SiO2 樣品在曝露至鈍化前驅物後之接觸角有大及正變化。 實施例10:於270℃下使用熱處理加工,使用(CH3 )2 NSi(CH3 )3 (二甲基胺基三甲基矽烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成三甲基矽甲基鈍化表面
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃的SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液,及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,在儘可能最少的延遲下將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及Si(100)樣品負載進管式爐反應器系統之管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減低循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖19中。
在完成該熱處理後,於壓力2.5托耳下維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至270℃。讓該樣品在270℃下平衡10分鐘,終止N2 流及完全排空該管至壓力約1毫托耳。然後,將二甲基胺基三甲基矽烷[(CH3 )2 NSi(CH3 )3 ]的第一化學劑量充入該管至壓力19.9托耳,然後於此壓力下分隔開20分鐘。然後,使用減壓的N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與第一劑量相同的方式引進(CH3 )2 NSi(CH3 )3 的第二化學劑量,除了該第二劑量之壓力係20.8托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第三化學劑量,除了該第三劑量之壓力係20.5托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,完成在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上之選擇性形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝於容器中,然後貯存在N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖20中。在圖20中之Si(100) TOF-SIMS光譜無觀察到與三甲基矽甲基鈍化表面相關的波峰,此係該鈍化層之形成係限制至該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)基材之證據。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。此結論亦由該樣品的水接觸角測量及該樣品之AFM表面粗糙度測量支持。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。
所觀察到與在Si(100)樣品上的三甲基矽甲基鈍化表面相關之某些離子痕跡訊號係與在對照樣品(其無將二甲基胺基三甲基矽烷引進反應器系統中)上所觀察到的程度一致,如可在下列表中觀察到。 實施例11(比較用):在270℃下沒有熱處理加工,使用(CH3 )2 NSi(CH3 )3 (二甲基胺基三甲基矽烷),於「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及不於Si(100)上選擇性形成三甲基矽甲基鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)「1,000埃SiO2 」及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃的SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液,及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,於250 sccm超高純度N2 氣體流下,在室溫下,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
讓該樣品在270℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將二甲基胺基三甲基矽烷[(CH3 )2 NSi(CH3 )3 ]的第一化學劑量充入該管至壓力20.8托耳,然後在此壓力下分隔開20分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )2 NSi(CH3 )3 的第二化學劑量,除了該第二劑量之壓力係21.0托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )2 NSi(CH3 )3 的第三化學劑量,除了該第三劑量之壓力係21.4托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上完成選擇性形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)來標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖21中。參照圖21,在Si(100) TOF-SIMS光譜中無觀察到與三甲基矽甲基鈍化表面相關的波峰,此係該鈍化層之形成係限制至該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)基材之證明。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。與實施例1之樣品的比較顯露出,在未接受熱處理的樣品表面上之殘餘羥基濃度明顯高於確實接受根據本發明之教導的熱處理之樣品。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例12:在370℃下,使用熱處理加工,使用[Cl(CH3 )2 Si]2 (CH2 )2 (1,2-雙-氯二甲基矽甲基乙烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成雙-二甲基矽甲基乙烷鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔之樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖22中。
在完成該熱處理後,於壓力2.5托耳下維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至370℃。讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將1,2-雙-氯二甲基矽甲基乙烷[[Cl(CH3 )2 Si]2 (CH2 )2 ]的第一化學劑量充入該管至壓力0.24托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第二化學劑量,除了該第二劑量的壓力係0.25托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第三至第十二化學劑量,除了這些劑量之壓力在0.24托耳至0.26托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十二劑量,在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上完成選擇性形成雙-二甲基矽甲基乙烷鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝於容器中,然後貯存在N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品特徵。這些分析的結果係顯現在下列表中: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖23中。參照圖23,在Si(100) TOF-SIMS光譜中無觀察到與雙-二甲基矽甲基乙烷鈍化表面相關的波峰,此係該鈍化層之形成係限制至「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)基材之證明。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例13(比較用):在370℃下沒有熱處理加工,使用[Cl(CH3 )2 Si]2 (CH2 )2 (1,2-雙-氯二甲基矽甲基乙烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成雙-二甲基矽甲基乙烷鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下) 2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將1,2-雙-氯二甲基矽甲基乙烷[[Cl(CH3 )2 Si]2 (CH2 )2 ]的第一化學劑量充入該管至壓力0.24托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第二化學劑量,除了該第二劑量的壓力係0.23托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第三至第十二化學劑量,除了這些劑量的壓力在0.23托耳至0.25托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十二劑量,在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上完成選擇性形成雙-二甲基矽甲基乙烷鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,於500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖24中。參照圖24,在Si(100)TOF-SIMS光譜中無觀察到與雙-二甲基矽甲基乙烷鈍化表面相關的波峰,此係該鈍化層之形成係限制至「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)基材之證明。也就是說,在想要的表面上及不在Si(100)表面上選擇性形成該鈍化。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例14(比較用):在370℃下使用熱處理加工,使用[Cl(CH3 )2 Si]2 (CH2 )2 (1,2-雙-氯二甲基矽甲基乙烷),選擇性在「如所接收的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成雙-二甲基矽甲基乙烷鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個這些「如所接收的」1000埃SiO2 及「經SC-1清潔,HF蝕刻的」Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖25中。
在完成該熱處理後,於壓力2.5托耳下維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至370℃。讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將1,2-雙-氯二甲基矽甲基乙烷[[Cl(CH3 )2 Si]2 (CH2 )2 ]的第一化學劑量充入該管至壓力0.24托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第二化學劑量,除了該第二劑量的壓力係0.25托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第三至第十二化學劑量,除了這些劑量的壓力係在0.24托耳至0.26托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十二劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上形成雙-二甲基矽甲基乙烷鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將「如所接收的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「如所接收的」1,000埃SiO2 及Si(100)樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
該「如所接收的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖26中。參照圖26,在Si(100) TOF-SIMS光譜中無觀察到與雙-二甲基矽甲基乙烷鈍化表面相關的波峰,此係該鈍化層之形成係限制至「如所接收的」1,000埃SiO2 /Si(100)基材之證明。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。
該「如所接收的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例15(比較用):在370℃下沒有熱處理加工,使用[Cl(CH3 )2 Si]2 (CH2 )2 (1,2-雙-氯二甲基矽甲基乙烷),選擇性於「如所接收的」1,000埃SiO2 /Si(100)上及不於Si(100)上形成雙-二甲基矽甲基乙烷鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子。
然後,在250 sccm超高純度N2 氣體流下,於室溫中,以儘可能最少的延遲將數個這些「如所接收的」1000埃SiO2 及「經SC-1清潔,HF蝕刻的」Si(100)樣品負載進管式爐反應器系統之管中。然後,密封該管及將其慢慢排空至壓力40毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將1,2-雙-氯二甲基矽甲基乙烷[[Cl(CH3 )2 Si]2 (CH2 )2 ]的第一化學劑量充入該管至壓力0.23托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第二化學劑量,除了該第二劑量的壓力係0.23托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第三至第十二化學劑量,除了這些劑量的壓力係在0.23托耳至0.25托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十二劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上形成雙-二甲基矽甲基乙烷鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下將「如所接收的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「如所接收的」1,000埃SiO2 及Si(100)樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中。
該「如所接收的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖27中。參照圖27,在Si(100)TOF-SIMS光譜中無觀察到與雙-二甲基矽甲基乙烷鈍化表面相關的波峰,此係該鈍化層之形成係限制至「如所接收的」1,000埃SiO2 /Si(100)基材之證明。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。
該「如所接收的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例16(比較用):在270℃下使用515℃熱處理,使用(CH3 )2 NSi(CH3 )3 (二甲基胺基三甲基矽烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成三甲基矽甲基鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫中,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),藉由以該爐的最大跳躍速率(約20℃/分鐘)將該樣品跳躍至515℃來進行515℃熱處理。
在完成該熱處理後,於壓力2.5托耳下維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至270℃。讓該樣品在270℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將二甲基胺基三甲基矽烷[(CH3 )2 NSi(CH3 )3 ]之第一化學劑量充入該管至壓力20.0托耳,然後在此壓力下分隔開20分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第二化學劑量,除了該第二劑量的壓力係20.8托耳外。然後,在引進第三化學劑量前,以與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第三化學劑量,除了該第三劑量之壓力係20.0托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品特徵。為了比較,亦以類似方式標出「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 、「如所接收的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯現在圖28至30中。參照圖28至30,在Si(100)TOF-SIMS光譜中無觀察到與三甲基矽甲基鈍化表面相關之波峰,此係該鈍化層之形成係限制至「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)基材之證明。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例17:在370℃下使用熱處理加工,使用[Cl(CH3 )2 Si]2 (CH2 )2 (1,2-雙-氯二甲基矽甲基乙烷)及(CH3 )2 NSi(CH3 )3 (二甲基胺基三甲基矽烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及在「如所接收的」1,000埃SiO2 /Si(100)上形成雙-二甲基矽甲基乙烷/三甲基矽甲基鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後,將其某些負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫中,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80-60毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖31中。
在完成該熱處理後,於壓力2.5托耳下維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至370℃。讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將1,2-雙-氯二甲基矽甲基乙烷[[Cl(CH3 )2 Si]2 (CH2 )2 ]的第一化學劑量充入該管至壓力0.25托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第二化學劑量,除了該第二劑量的壓力係0.25托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第三至第十二化學劑量,除了這些劑量的壓力係在0.25托耳至0.26托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十二劑量。然後,在15分鐘時期內,於開始注入二甲基胺基三甲基矽烷化學物質前,將該反應器管排空至基礎壓力。然後,將二甲基胺基三甲基矽烷[(CH3 )2 NSi(CH3 )3 ]之第一化學劑量充入該管至壓力20.4托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第二化學劑量,除了該第二劑量的壓力係20.3托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第三化學劑量,除了該第三劑量的壓力係19.8托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表及圖32中。 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
參照圖32,從該光譜清楚看見,相對於「如所接收的」1,000埃SiO2 樣品,在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)樣品上有更高的鈍化物種表面覆蓋。每個樣品的正離子分佈與雙-二甲基矽甲基乙烷及三甲基矽甲基鈍化物種二者之存在一致,如可從與以雙-二甲基矽甲基乙烷及三甲基矽甲基前驅物化合物處理的樣品(實施例10及15)之TOF-SIMS光譜比較來推論。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 樣品之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯現在下列表中。 實施例18:在370℃下沒有熱處理加工,使用[Cl(CH3 )2 Si]2 (CH2 )2 (1,2-雙-氯二甲基矽甲基乙烷)及(CH3 )2 NSi(CH3 )3 (二甲基胺基三甲基矽烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成雙-二甲基矽甲基乙烷/三甲基矽甲基鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)及Si(100)試料,以高純度氮氣流吹拭移除粒子,然後,將其某些負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,將該已乾燥經SC-1清潔的樣品放進合適於沈浸在HF蝕刻配料的鐵弗龍船中。然後,將該船及樣品沈浸在21+/-2℃之HF蝕刻浴(51毫升超高純度HF(48-49%);1000毫升蒸餾的去離子化H2 O)中,於此蝕刻其90秒。然後,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)樣品移出該HF溶液及快速沈浸在蒸餾的去離子水中,然後使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力8060毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統之基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
在壓力2.5托耳下,使用20 sccm超高純度N2 流通過該管,同時將該樣品溫度提高至370℃。讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將1,2-雙-氯二甲基矽甲基乙烷[[Cl(CH3 )2 Si]2 (CH2 )2 ]的第一化學劑量充入該管至壓力0.25托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第二化學劑量,除了該第二劑量的壓力係0.26托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[Cl(CH3 )2 Si]2 (CH2 )2 之第三至第十二化學劑量,除了這些劑量的壓力係在0.25托耳至0.26托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十二劑量。然後,在開始注入二甲基胺基三甲基矽烷化學物質前,於15分鐘時期內排空該反應器管至基礎壓力。然後,將二甲基胺基三甲基矽烷[(CH3 )2 NSi(CH3 )3 ]的第一化學劑量充入該管至壓力20.4托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第二化學劑量,除了該第二劑量的壓力係20.3托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第三化學劑量,除了該第三劑量的壓力係19.8托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表及圖33中。 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中。
參照圖33,從該光譜清楚看見,相對於「如所接收的」1,000埃SiO2 樣品,在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)樣品上有更高的鈍化物種表面覆蓋。每個樣品的正離子分佈與雙-二甲基矽甲基乙烷及三甲基矽甲基鈍化物種二者之存在一致,如可從與以雙-二甲基矽甲基乙烷及三甲基矽甲基前驅物化合物處理的樣品(實施例10-15)之TOF-SIMS光譜比較來推論。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 樣品之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯現在下列表中。 實施例19:在270℃下使用熱處理加工,使用(CH3 )2 NSi(CH2 CH2 CH3 )3 (二甲基胺基三正丙基矽烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)、「如所接收的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成三正丙基矽甲基鈍化表面。
在形成該鈍化層前,讓該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品接受如與對在實施例12中之樣品所描述者相同的方法。然後,使用下列方法,選擇性在該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在「經SC-1清潔,HF蝕刻的」Si(100)樣品上形成三正丙基矽甲基鈍化層。
在完成該熱處理後,於壓力2.5托耳下,維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至270℃。讓該樣品在270℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將二甲基胺基(三正丙基)矽烷(CH3 CH2 CH2 )3 SiN(CH3 )2 的第一化學劑量充入該管至壓力0.089托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進(CH3 CH2 CH2 )3 SiN(CH3 )2 之第二化學劑量,除了該第二劑量的壓力係0.073托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 CH2 CH2 )3 SiN(CH3 )2 之第三至第十一化學劑量,除了這些劑量的壓力係在0.070托耳至0.090托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十一劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三正丙基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中及圖34: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品之TOF-SIMS光譜係顯示在圖34中。從該光譜清楚看見,相對於「如所接收的」1,000埃SiO2 樣品,在該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)樣品上有更高的鈍化物種表面覆蓋。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 樣品之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯現在下列表中。 實施例20:在270℃下沒有熱處理加工,使用(CH3 )2 NSi(CH2 CH2 CH3 )3 (二甲基胺基三正丙基矽烷),選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)、「如所接收的」1,000埃SiO2 /Si(100)上及不在Si(100)上形成三正丙基矽甲基鈍化表面。
在形成該鈍化層前,讓該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品接受如與在實施例13中之樣品所描述者相同的方法。然後,使用下列方法,選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在「經SC-1清潔,HF蝕刻的」Si(100)樣品上形成三正丙基矽甲基鈍化層。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔,HF蝕刻的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力60毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
在壓力2.5托耳下,於20 sccm超高純度N2 流下通過該管,同時將該樣品溫度提高至270℃。讓該樣品在270℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將二甲基胺基(三正丙基)矽烷(CH3 CH2 CH2 )3 SiN(CH3 )2 的第一化學劑量充入該管至壓力0.085托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進 (CH3 CH2 CH2 )3 SiN(CH3 )2 之第二化學劑量,除了該第二劑量的壓力係0.079托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 CH2 CH2 )3 SiN(CH3 )2 之第三至第十一化學劑量,除了這些劑量的壓力係在0.081托耳至0.084托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十一劑量,完成選擇性在「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三正丙基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中: 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果係顯現在下列表中。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品之TOF-SIMS光譜係顯示在圖35中。從在圖35中的光譜清楚看見,相對於「如所接收的」1,000埃SiO2 樣品,在該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)樣品上有更高的鈍化物種表面覆蓋。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 樣品之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯現在下列表中。 實施例21(比較用):在445℃下,使用ClSi(CH2 CH2 CH3 )3 (三正丙基氯矽烷),在「經SC-1清潔的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 /Si(100)上形成三正丙基矽甲基鈍化表面。
在形成該鈍化層前,讓該「經SC-1清潔的」1,000埃SiO2 樣品接受先前描述之「SC-1」清潔方法。然後,使用下列方法,選擇性在「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 /Si(100)樣品上形成三正丙基矽甲基鈍化層。該「如所接收的」樣品不進行清潔。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力30毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
在壓力2.5托耳下,於20 sccm超高純度N2 流下通過該管,同時將該樣品溫度提高至445℃。讓該樣品在445℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將三正丙基氯矽烷(CH3 CH2 CH2 )3 SiCl的第一化學劑量充入該管至壓力0.296托耳,然後在此壓力下分隔開6分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳一分鐘。然後,使用與該第一劑量相同的方式引進(CH3 CH2 CH2 )3 SiCl之第二化學劑量,除了該第二劑量的壓力係0.320托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 CH2 CH2 )3 SiCl之第三至第十化學劑量,除了這些劑量的壓力係在0.300托耳至0.350托耳間稍微變化外。然後,使用與該第一及第二化學劑量相同的方式移除該第三至第十一劑量,完成選擇性在「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三正丙基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下。
使用水接觸角測量標出該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 水接觸角測量 實施例22(比較用):在220℃下,使用BrSi(CH3 )3 (三甲基溴矽烷),在「經SC-1清潔的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 /Si(100)上形成三甲基矽甲基鈍化表面。
在形成該鈍化層前,讓該「經SC-1清潔的」1,000埃SiO2 樣品接受先前描述之「SC-1」清潔方法。然後,使用下列方法,選擇性在該「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三甲基矽甲基鈍化層。該「如所接收的」樣品不進行清潔。
在250 sccm超高純度N2 氣體流下,於室溫中,以儘可能最少的延遲將數個「經SC-1清潔的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力40毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
在壓力2.5托耳下,於20 sccm超高純度N2 流下通過該管,同時將該樣品溫度提高至220℃。讓該樣品在220℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將三甲基溴矽烷(CH3 )3 SiBr的第一化學劑量充入該管至壓力20.5托耳,然後在此壓力下分隔開10分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳一分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )3 SiBr之第二化學劑量,除了該第二劑量的壓力係20.5托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )3 SiBr之第三劑量,除了此劑量的壓力係19.5托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三劑量,完成選擇性在該「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下。
使用水接觸角測量標出該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 水接觸角測量 實施例23(比較用):在405℃下,使用ClSi(CH3 )3 (三甲基氯矽烷),在「經SC-1清潔的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 /Si(100)上形成三甲基矽甲基鈍化表面。
在形成該鈍化層前,讓該「經SC-1清潔的」1,000埃SiO2 樣品接受先前描述之「SC-1」清潔方法。然後,使用下列方法,選擇性在「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三甲基矽甲基鈍化層。該「如所接收的」樣品不進行清潔。
在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力40毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
在壓力2.5托耳下,於20 sccm超高純度N2 流下通過該管,同時將該樣品溫度提高至405℃。讓該樣品在405℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將三甲基氯矽烷(CH3 )3 SiCl的第一化學劑量充入該管至壓力3.35托耳,然後在此壓力下分隔開5分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳一分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )3 SiCl之第二化學劑量,除了該第二劑量的壓力係24.7托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )3 SiBr之第三劑量,除了此劑量的壓力係1.9托耳及曝露時間係10分鐘外。然後,使用與該第一及第二化學劑量相同的方式移除該第三劑量,完成選擇性在「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1,000埃SiO2 樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下。
使用水接觸角測量標出該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 水接觸角測量 實施例24:在370℃下使用熱處理加工,使用ISi(CH3 )3 (碘三甲基矽烷),在「經SC-1清潔的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 /Si(100)上形成三甲基矽甲基鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,在250 sccm超高純度N2 氣體流下,於室溫中下,以儘可能最少的延遲將數個「經SC-1清潔的」1000埃SiO2 及「如所接收的」1,000埃SiO2 /Si(100)樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中的背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
然後,在超高純度N2 氣體之減壓除氣下(於2.3托耳下),使用已預程式化貯存在用於爐之溫度控制器上的加熱製程配方進行熱處理。二個各自獨立的溫度計(一個表示出外管溫度-「壁」及一個表示出樣品溫度)之熱痕跡係顯示在圖36中。
在完成熱處理後,於壓力2.5托耳下維持20 sccm超高純度N2 流通過該管,同時將該樣品溫度降低至370℃。讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力約1毫托耳。然後,將碘三甲基矽烷[ISi(CH3 )3 ]的第一化學劑量充入該管至壓力20.1托耳,然後在此壓力下分隔開20分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳一分鐘。然後,使用與該第一劑量相同的方式引進[ISi(CH3 )3 ]之第二化學劑量,除了該第二劑量的壓力係19.8托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進[ISi(CH3 )3 ]之第三化學劑量,除了該第三劑量的壓力係20.2托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,完成選擇性在該「經SC-1清潔的」1,000埃SiO2 樣品及「如所接收的」1000埃SiO2 樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果顯現在下列表中:
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品之TOF-SIMS光譜係顯示在圖37中。參照圖37,在Si(100) TOF-SIMS光譜中無觀察到與三甲基矽甲基鈍化表面相關的波峰,此係該鈍化層之形成係限制至「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)基材之證明。也就是說,該鈍化係選擇性在想要的表面上及不在Si(100)表面上形成。此結論亦由對樣品的水接觸角測量及對樣品的AFM表面粗糙度測量支持。
該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係顯示在下列表中。 實施例25:在370℃下沒有熱處理加工,使用ISi(CH3 )3 (碘三甲基矽烷),在「經SC-1清潔的」1,000埃SiO2 /Si(100)及「如所接收的」1,000埃SiO2 /Si(100)形成三甲基矽甲基鈍化表面。
從4”晶圓切割出數個1.5”x1.5”之1,000埃熱SiO2 /Si(100)(「1,000埃SiO2 」)試料,以高純度氮氣流吹拭移除粒子,然後將其負載進合適於沈浸在SC-1清潔浴的鐵弗龍船中。然後,將該船及樣品沈浸在已預熱至溫度70+/-5℃之SC-1清潔溶液(100毫升超高純度NH4 OH(28%-30%);200毫升超高純度H2 O2 (28-30%);1000毫升蒸餾的去離子化H2 O)中,於此清潔其10分鐘。然後,將該經SC-1清潔的1,000埃SiO2 /Si(100)及Si(100)樣品移出該清潔浴,及使用三個蒸餾的去離子水傾洗循環沖洗掉化學物質。然後,使用已過濾掉粒子之超高純度N2 氣體來源完全乾燥樣品。
然後,在250 sccm超高純度N2 氣體流下,於室溫下,以儘可能最少的延遲將數個「經SC-1清潔的」1000埃SiO2 及「如所接收的」1000埃SiO2 樣品負載進管式爐反應器系統的管中。然後,密封該管及將其慢慢排空至壓力80毫托耳。然後,將20 sccm N2 流引進該反應器管中及進行減壓N2 除氣(在壓力2.3托耳下)2分鐘。然後,停止N2 流及將該管排空至壓力≤5毫托耳。重覆先前描述的循環除氣步驟直到達成該系統的基礎壓力。
在達成基礎壓力後,於初始熱處理前,將20 sccm超高純度N2 流引進該反應器系統中及進行減壓N2 除氣(在2.3托耳下)1小時,以減低在該系統中之背景溼氣濃度。如由熟習該項技術者已知,使用負載鎖定系統將能夠大大減少循環時間,同時仍然提供用於本文所描述的方法之操作所需要的系統純度。
在壓力2.5托耳下,於20 sccm超高純度N2 流下通過該管,同時將該樣品溫度提高至370℃。讓該樣品在370℃下平衡10分鐘,終止N2 流及將該管完全排空至壓力不超過1毫托耳。然後,將二甲基胺基三甲基矽烷[(CH3 )2 NSi(CH3 )3 ]的第一化學劑量充入該管至壓力20.8托耳,然後在此壓力下分隔開20分鐘。然後,使用減壓N2 除氣與排空之組合從該艙移除該第一化學劑量,其中該排空包括首先在壓力2.5托耳下動態引進20 sccm N2 流一分鐘,接著將該管排空至壓力不大於10毫托耳二分鐘。然後,使用與該第一劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第二化學劑量,除了該第二劑量的壓力係21.0托耳外。然後,在引進第三化學劑量前,使用與該第一化學劑量相同的方式移除該第二劑量。然後,使用與該第一及第二劑量相同的方式引進(CH3 )2 NSi(CH3 )3 之第三化學劑量,除了該第三劑量的壓力係21.4托耳外。然後,使用與該第一及第二化學劑量相同的方式移除該第三化學劑量,完成選擇性在該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品上,但不在Si(100)樣品上形成三甲基矽甲基鈍化表面。
在完成該選擇性形成鈍化後,於20 sccm超高純度N2 流下,在壓力2.3托耳下,將該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品冷卻至室溫。然後,在500 sccm N2 流下卸載該樣品,快速封裝在容器中,然後貯存於N2 下用以裝運至供應商用於其性質之特徵分析。
使用水接觸角測量、原子力顯微鏡(AFM)及飛行時間二次離子質譜儀(TOF-SIMS)標出該「經SC-1清潔,HF蝕刻的」1,000埃SiO2 及Si(100)樣品特徵。為了比較,亦以類似方式標出未接受熱處理加工之「經SC-1清潔,HF蝕刻的」1,000埃SiO2 樣品特徵。這些分析的結果係顯現在下列表中。 接觸角測量及表面粗糙度測量
亦藉由X射線光電子光譜(XPS)分析樣品及結果係顯現在下列表中。
該「經SC-1清潔的」1,000埃SiO2 及「如所接收的」1,000埃SiO2 樣品之TOF-SIMS光譜係顯示在圖38中。
該「經SC-1清潔的」1,000埃SiO2 /Si(100)及Si(100)之具有質量45 amu、29 amu、43 amu、59 amu及73 amu的正離子之經常態化的離子強度係列在下列表中。
雖然上述已經與較佳具體實例連接描述出本發明之原理,要明確了解的是,此描述僅以實施例說明及不作為本發明之範圍的限制。
(無)
圖1闡明本發明之熱處理步驟在基材表面上的效應;
圖2闡明根據本發明之鈍化的表面;
圖3係在根據本發明的具體實例之溼式化學曝露步驟後的基材表面之TOF-SIMS光譜;
圖4係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線,和顯示出H2 O訊號遺失的相應QMS光譜;
圖5係一曲線圖,其闡明一基材表面之熱曝露前及後藉由TOF-SIMS測量之經常態化的SiOH強度;
圖6係在根據本發明的具體實例之溼式化學曝露步驟後的基材表面之TOF-SIMS光譜;
圖7係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖8係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線,和顯示出H2 O訊號遺失的相應QMS光譜;
圖9係一系列根據本發明的具體實例在溼式化學曝露步驟後及在熱處理後的基材表面之TOF-SIMS光譜;
圖10係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖11係一系列根據本發明的具體實例之如所接收及在熱處理後的基材表面之TOF-SIMS光譜;
圖12係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線,和顯示出H2 O訊號遺失的相應QMS光譜;
圖13係一系列根據本發明的具體實例在溼式化學曝露步驟後及在熱處理後的基材表面之TOF-SIMS光譜;
圖14係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖15係一系列根據本發明的具體實例在溼式化學曝露步驟後及在熱處理後的基材表面之TOF-SIMS光譜;
圖16係一曲線圖,其闡明一基材表面在熱曝露前及後藉由TOF-SIMS測量之經常態化的SiOH強度;
圖17係一曲線圖,其繪製出在本發明的具體實例中多種基材表面於清潔、熱及鈍化步驟後之平均接觸角;
圖18係一曲線圖,其繪製出在本發明的具體實例中多種基材表面於清潔、熱及鈍化步驟後之平均接觸角;
圖19係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖20係一系列根據本發明的具體實例在溼式化學曝露步驟後及在熱處理後的基材表面之TOF-SIMS光譜;
圖21係一系列根據本發明的具體實例在溼式化學曝露步驟(沒有熱處理)後的基材表面之TOF-SIMS光譜;
圖22係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖23係一系列根據本發明的具體實例在溼式化學曝露步驟後及在熱處理後的基材表面之TOF-SIMS光譜;
圖24係一系列根據本發明的具體實例在溼式化學曝露步驟(沒有熱處理)後的基材表面之TOF-SIMS光譜;
圖25係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖26係一系列根據本發明的具體實例之如所接收在熱處理後的基材表面及在溼式化學處理加上熱處理後的基材之TOF-SIMS光譜;
圖27係一系列根據本發明的具體實例之如所接收在熱處理後的基材表面及在溼式化學處理加上熱處理後的基材之TOF-SIMS光譜;
圖28係根據本發明的具體實例在溼式化學及熱處理後的基材表面之TOF-SIMS光譜;
圖29係根據本發明的具體實例之如所接收加上熱處理(無溼式化學曝露)的基材表面之TOF-SIMS光譜;
圖30係根據本發明的具體實例在溼式化學曝露及熱處理後的基材表面之TOF-SIMS光譜;
圖31係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖32係一系列根據本發明的具體實例之如所接收在熱處理後的基材表面及在溼式化學處理加上熱處理後的基材之TOF-SIMS光譜;
圖33係一系列根據本發明的具體實例之如所接收沒有熱處理的基材表面及在溼式化學處理後亦沒有熱處理的基材之TOF-SIMS光譜;
圖34係一系列根據本發明的具體實例之如所接收含有熱處理的基材表面及在溼式化學處理後亦含有熱處理的基材之TOF-SIMS光譜;
圖35係一系列根據本發明的具體實例之如所接收沒有熱處理的基材表面及在溼式化學處理後亦沒有熱處理的基材之TOF-SIMS光譜;
圖36係一曲線圖,其闡明根據本發明的具體實例之熱處理步驟的溫度對時間曲線;
圖37係一系列根據本發明的具體實例之如所接收含有熱處理的基材表面及在溼式化學處理後亦含有熱處理的基材之TOF-SIMS光譜;及
圖38係一系列根據本發明的具體實例之如所接收沒有熱處理的基材表面及在溼式化學處理後亦沒有熱處理的基材之TOF-SIMS光譜。

Claims (75)

  1. 一種用以製備用於選擇性膜沈積的基材表面之方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括: 讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材;及 將該經處理的基材加熱至溫度約200℃至約600℃,其中該加熱步驟將該在第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團。
  2. 如請求項1之方法,其中該接觸步驟係在溫度約50℃至約100℃下進行。
  3. 如請求項2之方法,其中該接觸步驟係在溫度約55℃至約95℃下進行。
  4. 如請求項3之方法,其中該接觸步驟係在溫度約60℃至約90℃下進行。
  5. 如請求項1之方法,其中該加熱步驟係在溫度約200℃至約550℃下進行。
  6. 如請求項5之方法,其中該加熱步驟係在溫度約300℃至約500℃下進行。
  7. 如請求項5之方法,其中該加熱步驟係在溫度約400℃至約450℃下進行。
  8. 如請求項1之方法,其中該加熱步驟係藉由首先將該基材加熱至溫度低於200℃ 5-10分鐘,接著將該溫度增加至約400℃至約500℃而進行。
  9. 如請求項1之方法,其中該溼化學組成物包含選自於由下列所組成之群的至少一種:包含H2 O2 (28%aq)、NH4 O4 (28-30%)及H2 O的組成物、HF(0.01%-5%(aq))、過氧化物及H2 SO4 /H2 O2 之混合物。
  10. 如請求項1之方法,其中該包含SiH的第二表面包含選自於由-SiH3 、-SiH2 及-SiH所組成之群的至少一種。
  11. 如請求項1之方法,其中該包含SiH的第二表面包含Si(100)。
  12. 如請求項1之方法,其中該包含SiO2 的第一表面包含選自於由-SiH3 、-SiH2 及-SiH所組成之群的至少一種。
  13. 如請求項1之方法,其中該包含SiH的第二表面包含SiN。
  14. 如請求項1之方法,其中該包含SiH的第二表面包含金屬或金屬氧化物。
  15. 一種藉由氣相反應選擇性鈍化該基材表面的方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括: 讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材; 在溫度約200℃至約600℃及壓力10-10 托耳至3000托耳下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團; 在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物:(I)及(II), 其中 R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基; R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基; R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基; X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及 n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11; 其中該含矽化合物與該第一表面之表面羥基反應而形成一矽甲基醚終端的表面,因此鈍化該表面。
  16. 如請求項15之方法,其中該含矽化合物係至少一種由式I表示的化合物。
  17. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:碘三(3,3,3-三氟丙基)矽烷、二甲基胺基三(3,3,3-三氟丙基)矽烷、[(CF3 CF2 (CH2 )6(CH3 )2 SiCl]及溴三(1,1,1-3,3,3-六氟-異丙基)矽烷。
  18. 如請求項15之方法,其中該含矽化合物係由式II表示的化合物。
  19. 如請求項18之方法,其中該由式II表示的化合物係選自於由下列所組成之群:1,3-雙-氯二甲基矽甲基(乙烷);1,3-雙-溴二甲基矽甲基(乙烷);1,3-雙-碘二甲基矽甲基(乙烷);1,3-雙-二甲基胺基-二甲基矽甲基(乙烷);1,3-雙-氯二甲基矽甲基(丙烷);1,3-雙-溴二甲基矽甲基(丙烷);1,3-雙-碘二甲基矽甲基(丙烷);1,3-雙-二甲基胺基-二甲基矽甲基(丙烷);1,3-雙-氯二甲基矽甲基(丁烷);1,3-雙-溴二甲基矽甲基(丁烷);1,3-雙-碘二甲基矽甲基(丁烷);及1,3-雙-二甲基胺基-二甲基矽甲基(丁烷)。
  20. 如請求項15之方法,其中該接觸步驟係在溫度約50℃至約100℃下進行。
  21. 如請求項20之方法,其中該接觸步驟係在溫度約55℃至約95℃下進行。
  22. 如請求項21之方法,其中該接觸步驟係在溫度約60℃至約90℃下進行。
  23. 如請求項15之方法,其中該加熱步驟係在溫度約200℃至約650℃下進行。
  24. 如請求項23之方法,其中該加熱步驟係在溫度約300℃至約550℃下進行。
  25. 如請求項24之方法,其中該加熱步驟係在溫度約400℃至約500℃下進行。
  26. 如請求項15之方法,其中該加熱步驟係藉由首先將該基材加熱至溫度低於200℃ 5-10分鐘,接著將該溫度增加至約400℃至約500℃來進行。
  27. 如請求項15之方法,其中該溼化學組成物包含選自於由下列所組成之群的至少一種:包含H2 O2 (28%aq)、NH4 O4 (28-30%)及H2 O之組成物、HF(0.01%-5%(aq))、過氧化物及H2 SO4 /H2 O2 之混合物。
  28. 如請求項15之方法,其中該包含SiH的第二表面包含選自於由-SiH3 、-SiH2 及-SiH所組成之群的至少一種。
  29. 如請求項15之方法,其中該包含SiH的第二表面包含Si(100)。
  30. 如請求項15之方法,其中該包含SiO2 的第一表面包含選自於由-SiH3 、-SiH2 及-SiH所組成之群的至少一種。
  31. 如請求項15之方法,其中該包含SiH的第二表面包含SiN。
  32. 如請求項15之方法,其中該包含SiH的第二表面包含金屬或金屬氧化物。
  33. 如請求項15之方法,其中該曝露步驟係在溫度150℃至500℃間進行。
  34. 如請求項15之方法,其中該曝露步驟係在溫度150℃至450℃間進行。
  35. 一種在一基材表面上選擇性沈積一膜的方法,其中該基材表面包括至少一包含SiO2 與初始表面羥基濃度的第一表面及一包含SiH的第二表面,該方法其步驟包括: 讓該基材與一溼化學組成物接觸,以相對於該初始表面羥基濃度獲得一包含增加的表面羥基濃度之經處理的基材; 在溫度約200℃至約600℃及壓力10-10 托耳至3000托耳下加熱該經處理的基材,其中該加熱步驟將該於第一表面上的表面羥基之至少一部分轉換成在該基材表面上的表面矽氧烷基團; 在溫度等於或低於該加熱步驟下,將該基材曝露至選自於由式I及式II所組成之群的含矽化合物:(I)及(II), 其中 R1 、R2 及R4 各者各自獨立地選自於H、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基; R3 係選自於C1 至C18 烷基、分枝的C3 至C10 烷基、C4 至C10 雜環基團及C4 至C10 芳基; R5 係選自於鍵、C1 至C8 線性烷基、分枝的C3 至C8 烷基、C3 至C8 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C4 至C8 芳基及C3 至C10 炔基; X係選自於NRa Rb 、Cl、F、Br、I、-OCH3 及-OH,其中Ra 及Rb 各者各自獨立地選自於H、C1 至C4 線性烷基及C1 -C4 分枝的烷基;及 n及n’各者各自獨立地選自於數字0至5,其中n+n’>1及<11; 其中該含矽化合物與該第一表面的表面羥基反應而形成一矽甲基醚終端的表面,因此鈍化該表面;及 將該基材曝露至一或多種沈積前驅物以選擇性越過該第一表面在該第二表面上沈積一膜。
  36. 如請求項35之方法,其中該含矽化合物係一由式I表示的化合物。
  37. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群:碘三(3,3,3-三氟丙基)矽烷、二甲基胺基三(3,3,3-三氟丙基)矽烷、[(CF3 CF2 (CH2 )6(CH3 )2 SiCl]及溴三(1,1,1-3,3,3-六氟-異丙基)矽烷。
  38. 如請求項35之方法,其中該含矽化合物係一由式II表示的化合物。
  39. 如請求項38之方法,其中該由式II表示的化合物係選自於由下列所組成之群:1,3-雙-氯二甲基矽甲基(乙烷);1,3-雙-溴二甲基矽甲基(乙烷);1,3-雙-碘二甲基矽甲基(乙烷);1,3-雙-二甲基胺基-二甲基矽甲基(乙烷);1,3-雙-氯二甲基矽甲基(丙烷);1,3-雙-溴二甲基矽甲基(丙烷);1,3-雙-碘二甲基矽甲基(丙烷);1,3-雙-二甲基胺基-二甲基矽甲基(丙烷);1,3-雙-氯二甲基矽甲基(丁烷);1,3-雙-溴二甲基矽甲基(丁烷);1,3-雙-碘二甲基矽甲基(丁烷);及1,3-雙-二甲基胺基-二甲基矽甲基(丁烷)。
  40. 如請求項35之方法,其中該接觸步驟係在溫度約50℃至約100℃下進行。
  41. 如請求項40之方法,其中該接觸步驟係在溫度約55℃至約95℃下進行。
  42. 如請求項41之方法,其中該接觸步驟係在溫度約60℃至約90℃下進行。
  43. 如請求項35之方法,其中該加熱步驟係在溫度約200℃至約650℃下進行。
  44. 如請求項35之方法,其中該加熱步驟係在溫度約300℃至約550℃下進行。
  45. 如請求項44之方法,其中該加熱步驟係在溫度約400℃至約500℃下進行。
  46. 如請求項35之方法,其中該加熱步驟係藉由首先將該基材加熱至溫度低於200℃ 5-10分鐘,接著將該溫度增加至約400℃至約500℃來進行。
  47. 如請求項35之方法,其中該溼化學組成物包含選自於由下列所組成之群的至少一種:包含H2 O2 (28%aq)、NH4 O4 (28-30%)及H2 O的組成物、HF(0.01%-5%(aq))、過氧化物及H2 SO4 /H2 O2 之混合物。
  48. 如請求項35之方法,其中該包含SiH的第二表面包含選自於由-SiH3 、-SiH2 及-SiH所組成之群的至少一種。
  49. 如請求項35之方法,其中該包含SiH的第二表面包含Si(100)。
  50. 如請求項35之方法,其中該包含SiO2 的第一表面包含選自於由-SiH3 、-SiH2 及-SiH所組成之群的至少一種。
  51. 如請求項35之方法,其中該包含SiH的第二表面包含SiN。
  52. 如請求項35之方法,其中該包含SiH的第二表面包含金屬或金屬氧化物。
  53. 如請求項35之方法,其中該曝露步驟係在溫度150℃至500℃間進行。
  54. 如請求項35之方法,其中該曝露步驟係在溫度150℃至450℃間進行。
  55. 如請求項1之方法,其中該經處理的基材之加熱係以至少二個分別的加熱步驟達成。
  56. 如請求項15之方法,其中該經處理的基材之加熱係以至少二個分別的加熱步驟達成。
  57. 如請求項35之方法,其中該經處理的基材之加熱係以至少二個分別的加熱步驟達成。
  58. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三甲基矽;溴化三甲基矽;碘化三甲基矽;二甲基胺基三甲基矽烷;乙基甲基胺基三甲基矽烷;二乙基胺基三甲基矽烷;乙基丙基胺基三甲基矽烷;二丙基胺基三甲基矽烷;乙基異丙基胺基三甲基矽烷;二異丙基胺基三甲基矽烷;二正丁基三甲基矽烷;二異丁基三甲基矽烷;及二二級丁基三甲基矽烷。
  59. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三乙基矽;溴化三乙基矽;碘化三乙基矽;二甲基胺基三乙基矽烷;乙基甲基胺基三乙基矽烷;二乙基胺基三乙基矽烷;乙基丙基胺基三乙基矽烷;二丙基胺基三乙基矽烷;乙基異丙基胺基三乙基矽烷;二異丙基胺基三乙基矽烷;二正丁基三乙基矽烷;二異丁基三乙基矽烷;及二二級丁基三乙基矽烷。
  60. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三正丙基矽;溴化三正丙基矽;碘化三正丙基矽;二甲基胺基三正丙基矽烷;乙基甲基胺基三正丙基矽烷;二乙基胺基三正丙基矽烷;乙基丙基胺基三正丙基矽烷;二丙基胺基三正丙基矽烷;乙基異丙基胺基三正丙基矽烷;及二異丙基胺基三正丙基矽烷。
  61. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三異丙基矽;溴化三異丙基矽;碘化三異丙基矽;二甲基胺基三異丙基矽烷;乙基甲基胺基三異丙基矽烷;二乙基胺基三異丙基矽烷;乙基丙基胺基三異丙基矽烷;二丙基胺基三異丙基矽烷;乙基異丙基胺基三異丙基矽烷;及二異丙基胺基三異丙基矽烷。
  62. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三正丁基矽;溴化三正丁基矽;碘化三正丁基矽;二甲基胺基三正丁基矽烷;乙基甲基胺基三正丁基矽烷;及二乙基胺基三正丁基矽烷。
  63. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三異丁基矽;溴化三異丁基矽;碘化三異丁基矽;二甲基胺基三異丁基矽烷;乙基甲基胺基三異丁基矽烷;及二乙基胺基三異丁基矽烷。
  64. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三二級丁基矽;溴化三二級丁基矽;碘化三二級丁基矽;二甲基胺基三二級丁基矽烷;乙基甲基胺基三二級丁基矽烷;二乙基胺基三二級丁基矽烷;氯化三正戊基矽;溴化三正戊基矽;碘化三正戊基矽;及二甲基胺基三正戊基矽烷。
  65. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯-三(3,3,3-三氟丙基)矽烷;溴-三(3,3,3-三氟丙基)矽烷;碘-三(3,3,3-三氟丙基)矽烷;二甲基胺基-三(3,3,3-三氟丙基)矽烷;乙基甲基胺基-三(3,3,3-三氟丙基)矽烷;二乙基胺基-三(3,3,3-三氟丙基)矽烷;乙基丙基胺基-三(3,3,3-三氟丙基)矽烷;二丙基胺基-三(3,3,3-三氟丙基)矽烷;乙基異丙基胺基-三(3,3,3-三氟丙基)矽烷;二異丙基胺基-三(3,3,3-三氟丙基)矽烷;氯-三(4,4,4-三氟丁基)矽烷;溴-三(4,4,4-三氟丁基)矽烷;碘-三(4,4,4-三氟丁基)矽烷;及二甲基胺基-三(4,4,4-三氟丁基)矽烷。
  66. 如請求項16之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化辛基二甲基矽;溴化辛基二甲基矽;碘化辛基二甲基矽;二甲基胺基辛基二甲基矽烷;氯化癸基二甲基矽;溴化癸基二甲基矽;碘化癸基二甲基矽;二甲基胺基癸基二甲基矽烷;氯化十二烷基二甲基矽;溴化十二烷基二甲基矽;碘化十二烷基二甲基矽;二甲基胺基十二烷基二甲基矽烷;氯化十六烷基二甲基矽;溴化十六烷基二甲基矽;碘化十六烷基二甲基矽;二甲基胺基十六烷基二甲基矽烷;氯化十八烷基二甲基矽;溴化十八烷基二甲基矽;碘化十八烷基二甲基矽;二甲基胺基-十八烷基二甲基矽烷;氯二甲基(1H,1H-2H,2H-全氟辛基)矽烷;溴二甲基(1H,1H-2H,2H-全氟辛基)矽烷;碘二甲基(1H,1H-2H,2H-全氟辛基)矽烷;二甲基胺基二甲基(1H,1H-2H,2H-全氟辛基)矽烷;氯二甲基(1H,1H-2H,2H-全氟癸基)矽烷;溴二甲基(1H,1H-2H,2H-全氟癸基)矽烷;碘二甲基(1H,1H-2H,2H-全氟癸基)矽烷;二甲基胺基-二甲基(1H,1H-2H,2H-全氟癸基)矽烷;氯二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;溴二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;碘二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;及二甲基胺基-二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷。
  67. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三甲基矽;溴化三甲基矽;碘化三甲基矽;二甲基胺基三甲基矽烷;乙基甲基胺基三甲基矽烷;二乙基胺基三甲基矽烷;乙基丙基胺基三甲基矽烷;二丙基胺基三甲基矽烷;乙基異丙基胺基三甲基矽烷;二異丙基胺基三甲基矽烷;二正丁基三甲基矽烷;二異丁基三甲基矽烷;及二二級丁基三甲基矽烷。
  68. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三乙基矽;溴化三乙基矽;碘化三乙基矽;二甲基胺基三乙基矽烷;乙基甲基胺基三乙基矽烷;二乙基胺基三乙基矽烷;乙基丙基胺基三乙基矽烷;二丙基胺基三乙基矽烷;乙基異丙基胺基三乙基矽烷;二異丙基胺基三乙基矽烷;二正丁基三乙基矽烷;二異丁基三乙基矽烷;及二二級丁基三乙基矽烷。
  69. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三正丙基矽;溴化三正丙基矽;碘化三正丙基矽;二甲基胺基三正丙基矽烷;乙基甲基胺基三正丙基矽烷;二乙基胺基三正丙基矽烷;乙基丙基胺基三正丙基矽烷;二丙基胺基三正丙基矽烷;乙基異丙基胺基三正丙基矽烷;及二異丙基胺基三正丙基矽烷。
  70. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三異丙基矽;溴化三異丙基矽;碘化三異丙基矽;二甲基胺基三異丙基矽烷;乙基甲基胺基三異丙基矽烷;二乙基胺基三異丙基矽烷;乙基丙基胺基三異丙基矽烷;二丙基胺基三異丙基矽烷;乙基異丙基胺基三異丙基矽烷;及二異丙基胺基三異丙基矽烷。
  71. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三正丁基矽;溴化三正丁基矽;碘化三正丁基矽;二甲基胺基三正丁基矽烷;乙基甲基胺基三正丁基矽烷;及二乙基胺基三正丁基矽烷。
  72. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三異丁基矽;溴化三異丁基矽;碘化三異丁基矽;二甲基胺基三異丁基矽烷;乙基甲基胺基三異丁基矽烷;及二乙基胺基三異丁基矽烷。
  73. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化三二級丁基矽;溴化三二級丁基矽;碘化三二級丁基矽;二甲基胺基三二級丁基矽烷;乙基甲基胺基三二級丁基矽烷;二乙基胺基三二級丁基矽烷;氯化三正戊基矽;溴化三正戊基矽;碘化三正戊基矽;及二甲基胺基三正戊基矽烷。
  74. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯-三(3,3,3-三氟丙基)矽烷;溴-三(3,3,3-三氟丙基)矽烷;碘-三(3,3,3-三氟丙基)矽烷;二甲基胺基-三(3,3,3-三氟丙基)矽烷;乙基甲基胺基-三(3,3,3-三氟丙基)矽烷;二乙基胺基-三(3,3,3-三氟丙基)矽烷;乙基丙基胺基-三(3,3,3-三氟丙基)矽烷;二丙基胺基-三(3,3,3-三氟丙基)矽烷;乙基異丙基胺基-三(3,3,3-三氟丙基)矽烷;二異丙基胺基-三(3,3,3-三氟丙基)矽烷;氯-三(4,4,4-三氟丁基)矽烷;溴-三(4,4,4-三氟丁基)矽烷;碘-三(4,4,4-三氟丁基)矽烷;及二甲基胺基-三(4,4,4-三氟丁基)矽烷。
  75. 如請求項36之方法,其中該由式I表示的化合物係選自於由下列所組成之群的至少一種:氯化辛基二甲基矽;溴化辛基二甲基矽;碘化辛基二甲基矽;二甲基胺基辛基二甲基矽烷;氯化癸基二甲基矽;溴化癸基二甲基矽;碘化癸基二甲基矽;二甲基胺基癸基二甲基矽烷;氯化十二烷基二甲基矽;溴化十二烷基二甲基矽;碘化十二烷基二甲基矽;二甲基胺基十二烷基二甲基矽烷;氯化十六烷基二甲基矽;溴化十六烷基二甲基矽;碘化十六烷基二甲基矽;二甲基胺基十六烷基二甲基矽烷;氯化十八烷基二甲基矽;溴化十八烷基二甲基矽;碘化十八烷基二甲基矽;二甲基胺基-十八烷基二甲基矽烷;氯二甲基(1H,1H-2H,2H-全氟辛基)矽烷;溴二甲基(1H,1H-2H,2H-全氟辛基)矽烷;碘二甲基(1H,1H-2H,2H-全氟辛基)矽烷;二甲基胺基二甲基(1H,1H-2H,2H-全氟辛基)矽烷;氯二甲基(1H,1H-2H,2H-全氟癸基)矽烷;溴二甲基(1H,1H-2H,2H-全氟癸基)矽烷;碘二甲基(1H,1H-2H,2H-全氟癸基)矽烷;二甲基胺基-二甲基(1H,1H-2H,2H-全氟癸基)矽烷;氯二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;溴二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;碘二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷;及二甲基胺基-二甲基(1H,1H-2H,2H-全氟十二烷基)矽烷。
TW107109096A 2017-03-17 2018-03-16 於一基材表面選擇性沈積一膜的方法、製備該表面的方法及選擇性鈍化該表面的方法 TWI688673B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762472724P 2017-03-17 2017-03-17
US62/472724 2017-03-17

Publications (2)

Publication Number Publication Date
TW201835382A true TW201835382A (zh) 2018-10-01
TWI688673B TWI688673B (zh) 2020-03-21

Family

ID=63523711

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107109096A TWI688673B (zh) 2017-03-17 2018-03-16 於一基材表面選擇性沈積一膜的方法、製備該表面的方法及選擇性鈍化該表面的方法

Country Status (8)

Country Link
US (1) US11670512B2 (zh)
EP (1) EP3596254A4 (zh)
JP (1) JP7085561B2 (zh)
KR (1) KR102338066B1 (zh)
CN (1) CN110612364B (zh)
SG (1) SG11201908486UA (zh)
TW (1) TWI688673B (zh)
WO (1) WO2018170382A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI781824B (zh) * 2020-11-16 2022-10-21 美商慧盛材料美國責任有限公司 於介電質上選擇性沉積含矽及氧介電膜
TWI835874B (zh) 2018-10-02 2024-03-21 美商蘭姆研究公司 使用鹼催化抑制劑之選擇性沉積方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110582576B (zh) 2017-05-05 2024-04-12 宽腾矽公司 在生物学反应中具有改性的表面反应性和抗污性的基板
JP6860605B2 (ja) 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20220050922A (ko) * 2019-08-21 2022-04-25 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 처리 조성물 및 방법
WO2021072245A1 (en) 2019-10-11 2021-04-15 Quantum-Si Incorporated Surface modification in the vapor phase
KR20220109843A (ko) 2021-01-29 2022-08-05 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007025565A1 (en) * 2005-09-01 2007-03-08 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
JP5326086B2 (ja) 2007-10-02 2013-10-30 国立大学法人 香川大学 太陽エネルギー利用装置及びその製造方法
US7838425B2 (en) 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835874B (zh) 2018-10-02 2024-03-21 美商蘭姆研究公司 使用鹼催化抑制劑之選擇性沉積方法
TWI781824B (zh) * 2020-11-16 2022-10-21 美商慧盛材料美國責任有限公司 於介電質上選擇性沉積含矽及氧介電膜

Also Published As

Publication number Publication date
US11670512B2 (en) 2023-06-06
SG11201908486UA (en) 2019-10-30
JP7085561B2 (ja) 2022-06-16
CN110612364A (zh) 2019-12-24
EP3596254A4 (en) 2020-12-30
WO2018170382A1 (en) 2018-09-20
TWI688673B (zh) 2020-03-21
EP3596254A1 (en) 2020-01-22
CN110612364B (zh) 2022-04-05
KR20190120425A (ko) 2019-10-23
JP2020515713A (ja) 2020-05-28
KR102338066B1 (ko) 2021-12-10
US20210118684A1 (en) 2021-04-22

Similar Documents

Publication Publication Date Title
TWI688673B (zh) 於一基材表面選擇性沈積一膜的方法、製備該表面的方法及選擇性鈍化該表面的方法
US10580644B2 (en) Method and apparatus for selective film deposition using a cyclic treatment
TWI810617B (zh) 形成及沈積碳氧化矽薄膜的方法
TWI722301B (zh) 在金屬材料表面上沉積阻擋層的方法
TWI634229B (zh) 於多孔低介電常數膜上提供孔密封層的方法和組合物
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
KR20230132760A (ko) 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
KR100956468B1 (ko) 실리콘 질화막을 형성하는 cvd 방법
US8778816B2 (en) In situ vapor phase surface activation of SiO2
KR102380197B1 (ko) SiCON의 저온 분자층 증착
CN117334560A (zh) 利用间歇性空气-水暴露的改良自组装单层阻挡
JP7406684B2 (ja) 半導体デバイス内の凹状特徴部を低抵抗率金属で充填する方法
KR102415780B1 (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
KR20180045040A (ko) 하이드로실릴화 부동태화를 사용한 표면 선택적 원자 층 증착
JP2020528670A (ja) 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
US20180308685A1 (en) Low temperature selective epitaxial silicon deposition
KR102431745B1 (ko) 실리콘 함유 표면 상의 선택적 증착
KR20110125651A (ko) 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium
TWI835883B (zh) 以低電阻率金屬填充半導體元件中之凹陷特徵部的方法
Sato et al. In situ vapor phase surface activation of SiO 2