KR20190120425A - 규소 포함 표면 상에의 선택적 증착 - Google Patents

규소 포함 표면 상에의 선택적 증착 Download PDF

Info

Publication number
KR20190120425A
KR20190120425A KR1020197030288A KR20197030288A KR20190120425A KR 20190120425 A KR20190120425 A KR 20190120425A KR 1020197030288 A KR1020197030288 A KR 1020197030288A KR 20197030288 A KR20197030288 A KR 20197030288A KR 20190120425 A KR20190120425 A KR 20190120425A
Authority
KR
South Korea
Prior art keywords
silane
tri
sio
group
compound
Prior art date
Application number
KR1020197030288A
Other languages
English (en)
Other versions
KR102338066B1 (ko
Inventor
마이클 에이. 토드
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20190120425A publication Critical patent/KR20190120425A/ko
Application granted granted Critical
Publication of KR102338066B1 publication Critical patent/KR102338066B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

기판 상에의 물질의 선택적 증착 방법으로서, 상기 기판은 적어도 2개의 상이한 표면을 가지고, 여기서, 한쪽 표면이 부동태화됨으로써, 이에 의해 부동태화되지 않은 표면 상에서의 선택적 증착을 허용하는 방법이 개시된다. 특히, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 선택적 필름 증착을 위한 기판의 표면의 제조 방법으로서, 상기 방법은 기판을 습식 화학 조성물과 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 및 처리된 기판을 약 200℃ 내지 약 600℃의 온도로 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계를 포함하는 방법이 개시된다.

Description

규소 포함 표면 상에의 선택적 증착
관련 출원에 대한 상호 참조
본원은 35 U.S.C. § 119(e) 하에 2017년 3월 17일 출원된 미국 가특허 출원 제62/472,724호에 대하여 우선권을 주장하고, 상기 가특허 출원은 그 전문이 본원에서 참조로 포함된다.
배경
본원은 기판의 제2 표면에 비한 기판의 제1 표면 상에의 선택적 증착에 관한 것이다. 추가로, 추가 프로세싱을 이용하여 이어서, 제1 표면에 비해 제2 표면 상에 상이한 물질을 증착시킬 수 있다.
대개는 늘 물리적 크기 축소에 기초하여 첨단 반도체 장치의 제조를 가능하게 하는 현대 리소그래픽 프로세스의 한계 때문에 선택적 증착 프로세스가 더 크게 가속화되고 있다. 전통적으로, 마이크로전자 산업에서 패터닝은 다양한 리소그래피 및 에칭 프로세스를 사용하여 수행되어 왔다. 그러나, 리소그래피가 기하급수적으로 점점 더 복잡해지고, 비용이 증가하고 있기 때문에, 자기 정렬된 피처를 형성하기 위해 선택적 증착을 사용하는 것은 점점 더 관심의 대상이 되어 가고 있다. 자기 정렬된 비아 구조 제조는 제조가능한 선택적 증착 프로세스로부터 상당한 이익을 얻게 될 것이다. 선택적 증착에 대한 또 다른 잠재적 적용은 갭 충전이다. 갭 충전에서, 유전체 "충전" 필름은 트렌치 바닥에서부터 상부 방향으로 선택적으로 성장하게 된다. 선택적 증착은 예컨대, 필름이 3차원 FIN-FET 구조의 노출된 표면 상에 선택적으로 증착되는 선택적 측벽 증착과 같은 다른 적용에도 사용될 수 있다. 이를 통해 복잡한 패터닝 단계를 필요로 하지 않으면서, 측벽 스페이서의 증착이 이루어질 수 있다. 게이트 유전체 및 커패시터 유전체로서 사용되는 금속 및 금속 산화물 필름에 대한 선택적 증착 프로세스 또한 반도체 장치 제조에서 매우 유용할 것이다.
노출된 다중의 상이한 화학적 표면을 가지는 웨이퍼 상의 표면 부동태화 코팅의 선택적 형성과 관련된 기술적 문헌 내에 이전의 선례들이 다수 존재한다. 이는 필름 증착을 위해 ALD 증착 프로세스가 요구된 표면 상의 증착을 방지하는 것이 아니라, 상기 부동태화된 표면 상의 ALD 프로세스를 통한 필름의 증착을 지연시키거나, 또는 그를 방지하기 위한 목적으로 수행되어 왔다. 일반적으로, 프로세스의 선택성은 표면의 불완전한 부동태화에 기인하여 및/또는 ALD 전구체 분자의 물리적 흡착, 및 이어서, 부동태화 층 그 자체 내에서의 또는 증착이 요구되지 않는 표면 상에서의 ALD 필름 물질의 형성에 기인하여 적절하지 못했다. 본 발명은 선행 기술의 한계를 극복하고, ALD 증착 프로세스를 사용하여 얇은 필름 물질을 선택적으로 증착시키기 위한 개선된 방법을 제공하고자 한다.
개요
본 개시내용의 하나 이상의 실시양태는 필름을 증착시키는 방법에 관한 것이다. 하이드록실 말단 표면을 포함하는 제1 기판 표면, 및 수소 말단 표면을 포함하는 제2 기판 표면을 포함하는 기판을 제공한다. 필름이 수소 말단 표면 상에 선택적으로 증착되는 후속되는 필름 증착 단계 동안 비처리된 하이드록실 말단 표면과 비교하여 하이드록실 말단 표면을 비반응성이 되도록, 또는 더 작은 반응성을 띠도록 만들기 위해 기판을 다중 프로세싱 단계에 노출시켜 수소 말단 표면에 비해 하이드록실 말단 표면을 선택적으로 변경시킨다.
가장 광범위한 측면에서, 본 발명은, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 선택적 필름 증착을 위한 기판의 표면의 제조 방법으로서, 방법은 기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 및 처리된 기판을 약 200℃ 내지 약 600℃의 온도에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계를 포함하는 방법을 제공한다.
또 다른 측면에서, 본 발명은, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 기상 반응에 의한 기판의 표면의 선택적 부동태화 방법으로서, 방법은 기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 처리된 기판을 약 200℃ 내지 약 600℃의 온도 및 10-10 Torr 내지 3,000 Torr 압력에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계; 기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서, 규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계를 포함하는 방법을 제공한다:
Figure pct00001
상기 식에서, R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고; R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고; n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
또 다른 측면에서, 본 발명은, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 기판의 표면 상에의 필름의 선택적 증착 방법으로서, 방법은 기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 처리된 기판을 약 200℃ 내지 약 600℃의 온도 및 10-10 Torr 내지 3,000 Torr 압력에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계; 기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서, 규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계; 및 기판을 1종 이상의 증착 가스에 노출시켜 선택적으로 제1 표면보다는 제2 표면 상에 필름을 증착시키는 단계를 포함하는 방법을 제공한다:
Figure pct00002
상기 식에서, R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고; R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고; n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
본 발명의 실시양태는 단독으로, 또는 서로 조합하여 사용될 수 있다.
도 1은 본 발명의 가열 처리 단계가 기판 표면에 미치는 효과를 나타낸 도해이고;
도 2는 본 발명에 따른 부동태화된 표면을 나타낸 도해이고;
도 3은 본 발명의 한 실시양태에 따른 습식 화학적 노출 단계 후의 기판 표면의 TOF-SIMS 스펙트럼이고;
도 4는 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프 뿐만 아니라, H2O 신호 손실을 보여주는 상응하는 QMS 스펙트럼이고;
도 5는 열 노출 전후의 기판 표면에 대해 TOF-SIMS에 의해 측정된, SiOH의 정규화된 강도를 도시한 그래프이고;
도 6은 본 발명의 한 실시양태에 따른 습식 화학적 노출 단계 후의 기판 표면의 TOF-SIMS 스펙트럼이고;
도 7은 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 8은 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프 뿐만 아니라, H2O 신호 손실을 보여주는 상응하는 QMS 스펙트럼이고;
도 9는 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 10은 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 11은 수취(as received) 기판 표면, 및 본 발명의 한 실시양태에 따른 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 12는 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프 뿐만 아니라, H2O 신호 손실을 보여주는 상응하는 QMS 스펙트럼이고;
도 13은 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 14는 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 15는 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 16은 열 노출 전후의 기판 표면에 대해 TOF-SIMS에 의해 측정된, SiOH의 정규화된 강도를 도시한 그래프이고;
도 17은 본 발명의 실시양태에서의 세정, 열 처리, 및 부동태화 단계 후의 다양한 기판 표면의 평균 접촉각을 플롯팅한 그래프이고;
도 18은 본 발명의 실시양태에서의 세정, 열 처리, 및 부동태화 단계 후의 다양한 기판 표면의 평균 접촉각을 플롯팅한 그래프이고;
도 19는 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 20은 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 21은 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후의 (열 처리 비수행) 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 22는 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 23은 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 24는 본 발명의 한 실시양태에 따른, 습식 화학적 노출 단계 후의 (열 처리 비수행) 기판 표면의 TOF-SIMS 스펙트럼 시리즈이고;
도 25는 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 26은 본 발명의 한 실시양태에 따른, 열 처리 후의 수취 기판 표면의, 및 습식 화학적 처리 + 열 처리 후의 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 27은 본 발명의 한 실시양태에 따른, 열 처리 후의 수취 기판 표면의, 및 습식 화학적 처리 + 열 처리 후의 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 28은 본 발명의 한 실시양태에 따른 습식 화학적 처리 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼이고;
도 29는 본 발명의 한 실시양태에 따른 수취 + 열 처리된 (습식 화학적 노출 비수행) 기판 표면의 TOF-SIMS 스펙트럼이고;
도 30은 본 발명의 한 실시양태에 따른 습식 화학적 노출 및 열 처리 후의 기판 표면의 TOF-SIMS 스펙트럼이고;
도 31은 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 32는 본 발명의 한 실시양태에 따른, 열 처리 후의 수취 기판 표면의, 및 습식 화학적 처리 + 열 처리 후의 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 33은 본 발명의 한 실시양태에 따른, 열 처리되지 않은 수취 기판 표면의, 및 습식 화학적 처리 후의, 이 역시 열 처리되지 않은 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 34는 본 발명의 한 실시양태에 따른, 열 처리된 수취 기판 표면의, 및 습식 화학적 처리 후의, 이 역시 열 처리된 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 35는 본 발명의 한 실시양태에 따른, 열 처리되지 않은 수취 기판 표면의, 및 습식 화학적 처리 후의, 이 역시 열 처리되지 않은 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 36은 본 발명의 한 실시양태에 따른 열 처리 단계에 대한 온도 프로파일 대 시간을 도시한 그래프이고;
도 37은 본 발명의 한 실시양태에 따른, 열 처리된 수취 기판 표면의, 및 습식 화학적 처리 후의, 이 역시 열 처리된 기판의 TOF-SIMS 스펙트럼 시리즈이고;
도 38은 본 발명의 한 실시양태에 따른, 열 처리되지 않은 수취 기판 표면의, 및 습식 화학적 처리 후의, 이 역시 열 처리되지 않은 기판의 TOF-SIMS 스펙트럼 시리즈이다.
상세한 설명
공개문헌, 특허 출원, 및 특허를 비롯한, 본원에서 인용된 모든 참고 문헌은 마치 각 참고 문헌이 참조로 포함된다고 개별적으로 및 구체적으로 명시되고, 그 전문이 본원에 기술된 것과 같은 정도로 본원에서 참조로 포함된다.
본 발명을 기술하는 문맥에서(특히 하기 청구범위의 문맥에서) "하나"("a" 및 "an") 및 "그"라는 용어 및 유사 지시 대상은 본원에서 달리 명시되지 않는 한, 또는 맥락상 명백하게 상충되지 않는 한, 단수 및 복수, 둘 모두를 포함하는 것으로 해석되어야 한다. "포함하는(comprising)," "가지는," "포함하는(including)," 및 "함유하는"이라는 용어는 달리 언급되지 않는 한, 개방형 용어 (즉, "~을 포함하나, 이에 제한되지 않는~"이라는 것을 의미하는 용어)로서 해석되어야 한다. 본원에서 값을 범위로 언급하는 것은 단지, 본원에서 달리 명시되지 않는 한, 상기 범위 내에 포함되는 각각의 개별 값을 개별적으로 언급하는 간단한 방법으로서의 역할을 하는 것으로 의도되며, 마치 각각의 개별 값은 그가 본원에서 개별적으로 언급된 것과 같이, 본 명세서 내로 도입된다. 본원에 기술된 모든 방법은 본원에서 달리 명시되지 않는 한, 또는 맥락상 명백하게 상충되지 않는 한, 임의의 적합한 순서로 수행될 수 있다. 본원에서 제공되는 임의의 모든 예, 또는 예시적인 표현(예컨대, "예컨대"라는 표현)의 사용은 단지 본 발명을 더욱 잘 이해하기 쉽게 설명하고자 하는 것이며, 달리 청구되지 않는 한, 본 발명의 범주를 제한하는 것이 아니다. 본 명세서에서는 어떤 표현도 임의의 비청구된 요소를 본 발명의 실시에 필수적인 것으로 명시하는 것으로 해석되지 않아야 한다.
본 발명을 수행하기 위한 것으로서, 본 발명자들에게 공지된 최상의 모드를 비롯한, 본 발명의 바람직한 실시양태가 본원에 기술되어 있다. 상기 바람직한 실시양태의 변형은 상기 기술내용의 판독시 본 분야의 숙련가에게 자명해질 수 있다. 본 발명자들은 당업자가 상기와 같은 변형을 적절히 사용할 수 있을 것으로 기대하고 있으며, 본 발명자들은 본 발명이 본원에 구체적으로 기술된 것과 다른 방식으로 실시될 수 있다고 의도한다. 따라서, 본 발명은 준거법에 의해 허용되는 바와 같이, 본원에 첨부된 청구범위에서 언급된 본 주제의 모든 변형 및 등가물을 포함한다. 또한, 상기 기술된 요소의 임의 조합은 그의 모든 가능한 변형으로, 본원에서 달리 명시되지 않는 한, 또는 맥락상 명백하게 상충되지 않는 한, 본 발명에 의해 포함된다.
선택적 증착을 위해 사용될 수 있는 방법은 다양하게 존재한다. 본 개시내용의 실시양태는 2개의 상이한 표면의 계면 화학을 이용함으로써 표면 비활성화를 사용하는 방법에 관한 것이다. 2개의 상이한 표면은 상이한 반응성 핸들을 가지게 되는 바, 이러한 차이는 한 표면과는 반응하(여 그 표면을 비활성화시키)고, 나머지 다른 한 표면과는 반응하지 않는 분자를 이용함으로써 사용될 수 있다.
본 명세서 및 첨부된 청구범위에서 사용되는 바, "기판" 및 "웨이퍼"라는 용어는 상호교환적으로 사용되며, 이 둘 모두는 프로세스가 그 위에서 실행되는 표면, 또는 표면의 일부분을 지칭한다. 또한, 기판이라고 언급하는 것은 또한 문맥상 달리 명백하게 명시되지 않는 한, 오직 그 기판의 일부분만을 지칭할 수 있다는 것도 당업자는 이해할 것이다. 추가로, 기판 상에의 증착이라고 언급하는 것은 베어 기판 및 하나 이상의 필름 또는 피처가 그 위에 증착 또는 형성된 기판, 둘 모두를 의미할 수 있다.
본원에서 사용되는 바, "기판"이란, 제조 프로세스 동안 필름 프로세싱이 그 위에서 수행되는 임의의 기판, 또는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 그 위에서 필름 프로세싱이 수행될 수 있는 기판 표면은 적용에 의존하여, 물질, 예컨대, 규소, 산화규소, 변형된 실리콘, 실리콘 온 인슐레이터(SOI: silicon on insulator), 탄소 도핑된 산화규소, 질화규소, 도핑된 실리콘, 게르마늄, 비화갈륨, 유리, 사파이어, 및 임의의 다른 물질, 예컨대, 금속, 금속 질화물, 금속 합금, 및 다른 전도성 물질을 포함한다. 기판은 제한 없이, 반도체 웨이퍼를 포함한다. 기판은 기판 표면을 폴리싱, 에칭, 환원, 산화, 하이드록실화, 어닐링 및/또는 베이킹하는 전처리 프로세스에 노출될 수 있다. 기판의 표면 그 자체 위에서 직접 수행되는 필름 프로세싱 이외에도, 본 개시내용에서, 개시된 필름 프로세싱 중 임의의 것은 또한 하기에서 더욱 상세하게 개시되는 바와 같이 기판 상에 형성된 하부층 상에서 수행될 수 있고, "기판 표면"이라는 용어는 문맥상 명시되는 것과 같은 하부층을 포함하는 것으로 의도된다. 따라서, 예를 들어, 한 필름/층 또는 필름/층의 일부분이 기판 표면 상에 증착되고, 새롭게 증착된 필름/층의 노출된 표면이 기판 표면이 된다. 주어진 기판 표면이 포함하는 것은 필름의 증착이 이루어지는 대상 뿐만 아니라, 사용되는 특정 화학법에 의존하게 될 것이다. 하나 이상의 실시양태에서, 제1 기판 표면은 금속을 포함할 것이고, 제2 기판 표면은 유전체를 포함할 것이거나, 또는 그 반대일 수 있다. 일부 실시양태에서, 기판 표면은 특정 작용기(예컨대, -OH, -NH 등)를 포함할 수 있다.
유사하게, 본원에 기술된 방법에서 사용될 수 있는 필름은 매우 다양하다. 일부 실시양태에서, 필름은 금속을 포함하거나, 또는 본질적으로 그로 구성될 수 있다. 금속 필름의 예로는 코발트(Co), 구리(Cu), 니켈(Ni), 텅스텐(W) 등을 포함하나, 이에 제한되지 않는다. 일부 실시양태에서, 필름은 유전체를 포함한다. 예로는 SiO2, SiN, HfO2 등을 포함한다.
본 명세서 및 첨부된 청구범위에서 사용되는 바, "반응성 가스," "전구체," "반응물"이라는 용어는 상호교환적으로 사용되며, 기판 표면과 반응성인 종을 포함하는 가스를 의미한다. 예를 들어, 제1 "반응성 가스"는 간단하게 기판의 표면 상에 흡착될 수 있고, 제2 반응성 가스와의 추가의 화학 반응을 위해 이용될 수 있다.
본 개시내용의 실시양태는 필름, 예컨대, 예를 들어, 금속 필름을 기판의 제2 표면보다는 동일한 기판의 한 표면 상에 선택적으로 증착시키는 방법을 제공한다. 본 명세서 및 첨부된 청구범위에서 사용되는 바, "필름을 또 다른 표면보다는 한 표면 상에 선택적으로 증착시키는"이라는 용어 등은 제1 또는 제2 표면 중 하나는 부동태화되어 부동태화된 층 상의 증착을 실질적으로 막고, 필름은 제2 (부동태화되지 않은) 표면 상에 증착된다는 것을 의미한다. 이와 관련하여 사용되는 "보다는(over)"이라는 용어는 또 다른 표면 위의 한 표면의 물리적 배향을 의미한다기보다는, 나머지 다른 한 표면과 비교하여 한 표면과의 화학적 반응의 열역학적 또는 동적 특성의 관계를 내포한다. 예를 들어, 코발트 필름을 유전체 표면보다는 구리 표면 상에 선택적으로 증착시키는이라는 것은 코발트 필름이 구리 표면 상에 증착되고, 유전체 표면 상에는 코발트 필름이 덜 증착되거나, 또는 증착되지 않는다는 것; 또는 구리 표면 상의 코발트 필름의 형성이 유전체 표면 상의 코발트 필름의 형성에 비하여 열역학적으로 또는 동적으로 바람직하다는 것을 의미한다.
일부 상황하에서는, 물질을 한 기판의 제2의 상이한 표면에 비해 동일한 기판의 한 표면 상에 선택적으로 증착시키는 것이 바람직할 수 있다. 예를 들어, 선택적 증착은 캡핑 층, 장벽 층, 에칭 정지 층, 희생 및/또는 보호 층을 형성하는 데, 또는 예컨대, 다공성 저 k 물질에서 공극을 실링하는 데 사용될 수 있다.
본원에 기술된 프로세스를 사용하여, 일부 실시양태에서, Ni, Ti, Fe, 또는 Co를 포함하는 물질, 예컨대, Ni 금속, 질화니켈 또는 NiNx, 산화코발트, 산화철 또는 산화티타늄 구조물을 SiO2 기반 표면, 및 본원에 기술된 바와 같은 다른 표면 상에서 선택적으로 성장시킬 수 있다. 본원에서 사용되는 바, 질화니켈 또는 NiNx는 적어도 일부 Ni--N 결합을 포함하는 물질을 지칭한다.
일부 실시양태에서, 제1 물질, 예컨대, Ni, Ti, Fe, 또는 Co를 포함하는 물질, 예컨대, 니켈, 질화니켈 또는 NiNx, 산화코발트, 산화철 또는 산화티타늄 필름이 제2의 상이한 표면에 비해 한 표면 상에 선택적으로 증착될 수 있다. 예를 들어, 니켈, 질화니켈, 산화코발트, 산화철 또는 산화티타늄 필름은 예컨대, 동일한 기판의 H 말단 표면과 같은 제2 표면에 비해 저 k 인슐레이터 표면, 예를 들어, 산화물 또는 질화물 표면, 예컨대, 산화규소 또는 질화규소 형태 상에 선택적으로 증착될 수 있다.
일부 실시양태에서, 그 위에서 선택적 증착이 진행되는 표면은 AHx-말단부를 포함하고, 여기서, A는 N, O 또는 S 중 하나 이상의 것이고, x는 1 내지 2이다. 일부 실시양태에서, 표면은 OH-말단부를 포함한다. 일부 실시양태에서, 표면은 NHx 말단 표면, 예컨대, --NH 또는 --NH2 말단 표면이다. 일부 실시양태에서, 표면은 SHx 말단 표면이다.
일부 실시양태에서, 제1 표면은 유전체 표면, 예컨대, SiO2 표면 또는 산질화규소 표면이다. 일부 실시양태에서, 제1 표면은 산화규소, 질화규소, 산질화규소, 플루오르화된 실리카 유리(FSG: fluorinated silica glass), 탄소 도핑된 산화규소(SiOC) 및/또는 약 50% 초과의 산화규소를 함유하는 물질을 포함할 수 있다. 일부 실시양태에서, 제1 표면은 OH 기를 포함하고, 예를 들어, --OH 표면 기를 가지는 알루미나(Al2O3) 표면을 포함할 수 있다.
일부 실시양태에서 제2 표면은 --SiH3, --SiH2, 또는 --SiH 표면이다. 일부 실시양태에서, 제2 표면은 규소의 자연 산화물을 에칭시킴으로써 형성되고, 제2 표면은 Si--H 결합을 포함한다. 일부 실시양태에서 제2 표면은 순수 규소 표면 또는 규소(100) 배향 표면이다.
가장 광범위한 측면에서, 본 발명은, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 선택적 필름 증착을 위한 기판의 표면의 제조 방법으로서, 방법은 기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 및 처리된 기판을 약 200℃ 내지 약 600℃의 온도에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계를 포함하는 방법을 제공한다. 본원에서 사용되는 바, "SiH를 포함하는 표면"이라는 어구는 상기 정의된 바와 같은 AHx-말단부를 포함한다.
또 다른 측면에서, 본 발명은, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 기상 반응에 의한 기판의 표면의 선택적 부동태화 방법으로서, 방법은 기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 처리된 기판을 약 200℃ 내지 약 600℃의 온도 및 10-10 Torr 내지 3,000 Torr 압력에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계; 기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서, 규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계를 포함하는 방법을 제공한다:
Figure pct00003
상기 식에서, R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고; R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고; n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
또 다른 측면에서, 본 발명은, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하는 것인, 기판의 표면 상에의 필름의 선택적 증착 방법으로서, 방법은 기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 처리된 기판을 약 200℃ 내지 약 600℃의 온도 및 10-10 Torr 내지 3,000 Torr 압력에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계; 기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서, 규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계; 및 기판을 하나 이상의 1종 이상의 증착 전구체에 노출시켜 선택적으로 제1 표면보다는 제2 표면 상에 필름을 증착시키는 단계를 포함하는 방법을 제공한다:
Figure pct00004
상기 식에서, R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고; R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기; n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
본원에 개시된 각 방법에서, 기판의 표면이 SiO2 및 SiH를 포함하고, 여기서, 제1 단계는 전형적으로, 그러나, 임의적으로, 표면을 습식 화학 조성물과 접촉시키는 단계인 것인, 기상 반응에 의해 선택적 증착을 위한 기판의 표면의 제조 방법을 제공한다.
일부 실시양태에서, SiO2를 포함하는 표면은 유전체 표면, 예컨대, SiO2 표면 및/또는 산질화규소 표면이다. 일부 실시양태에서, SiO2를 포함하는 표면은 산화규소, 질화규소, 산질화규소, 플루오르화된 실리카 유리(FSG), 탄소 도핑된 산화규소(SiOC) 및/또는 약 50% 초과의 산화규소를 함유하는 물질을 포함할 수 있다. 일부 실시양태에서, SiO2를 포함하는 표면은 -OH 기를 포함하고, 예를 들어, -OH 표면 기를 가지는 알루미나(Al2O3) 표면을 포함할 수 있다.
일부 실시양태에서, SiH를 포함하는 표면은 -SiH3, -SiH2, 또는 -SiH 표면이다. 일부 실시양태에서, SiH를 포함하는 표면은 순수 규소 표면 또는 Si(100) 표면이다.
상기 언급된 바와 같이, 제1 표면은 초기 농도의 표면 하이드록실 기를 포함한다. 전형적으로, 표면 하이드록실 기의 초기 농도는 예컨대, 예를 들어, 비행 시간 2차 이온 질량 분석법(TOF-SIMS: Time-of-Flight Secondary Ion Mass Spectrometry)와 같이 당업계에 널리 공지된 기술에 의해 정량화될 수 있다. 바람직한 실시양태에서, 표면 하이드록실 기의 초기 농도는 TOF-SIMS에 의해 측정된 바, 질량 45 amu에 대한 양이온 분석에 대해 약 1.4 X 106 정규화된 계수 내지 질량 45 amu에 대한 양이온 분석에 대해 약 2.2 X 106 정규화된 계수이다. 이는 FTIR, 및 당업자에게 공지된 다른 기술에 의해 측정된, 약 4.2 OH/nm2 내지 4.6 OH/nm2인 하이드록실 표면 농도에 상응한다.
본 발명의 방법은 기판을 습식 화학 조성물과 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 임의적 단계를 포함한다. 비록 임의적이기는 하지만, 기판의 표면을 먼저 습식 화학적 처리로 처리하는 것이 바람직하다. 예시적인 습식 화학적 처리는 공지된 화학적 처리, 예컨대, 예를 들어, RCA 세정 화학물질 SC-1 및 SC-2, HF, 퍼옥시드, H2SO4/H2O2, NH4OH, 완충처리된 HF 용액, 및 그의 혼합물을 포함한다.
당업계에 공지된 바와 같이, "RCA 세정 화학물질"이란, 1960대 라디오 코포레이션 오브 아메리카(Radio Corporation of America)에 의해 개발된, 기본 세정 절차에서의 수산화암모늄 및 과산화수소 혼합물을 포함하는 조성물을 지칭한다. RCA 스탠다드-클린-1(SC-1: Standard-Clean-1) 절차는 수산화암모늄 및 과산화수소 용액 및 약 70℃의 온도로 가열된 물을 이용한다. SC-1 절차는 필름을 용해시키고, I족 및 II족 금속을 제거한다. I족 및 II족 금속은 SC-1 용액 중의 시약과의 착체 형성을 통해 제거된다. RCA 스탠다드-클린-2(SC-2: Standard-Clean-2) 절차는 과산화수소, 염산, 약 70℃의 온도로 가열된 물의 혼합물을 이용한다. SC-2 절차는 SC-1 절차에 의해 제거되지 않은 금속을 제거한다.
습식 화학적 세정의 목적은 2가지이다. 첫째, 습식 화학적 단계는 표면으로부터 불순물을 제거하여 Si(100) 표면 상에서 성장한 얇은 산화물을 제거하고, SiO2 표면 상의 -OH 표면 말단부는 대체로 보존하면서, 상기 산화물을 수소 말단부로 대체한다. 상기 프로세스는 산업 내에서는 일반적이며, 당업자에게 공지된 방법을 이용하여 원하는 특성을 가진 세정 표면을 수득하도록 최적화될 수 있다. 그 다음으로, 습식 화학적 세정은 또한 습식 화학물질과 표면을 접촉시키기 이전의 표면 하이드록실 기의 농도와 비교하여 표면 하이드록실 기의 농도를 증가시킨다. 바람직하게, 표면 하이드록실 기의 농도는 초기 농도의 약 1.1배 내지 초기 농도의 약 1.8배만큼 증가되고, 최종적으로 표면 하이드록실 농도는 약 4.6 OH/nm2에 도달하게 된다.
습식 화학물질과의 접촉은 예컨대, 예를 들어, 딥핑 또는 분무와 같은, 당업자에게 공지된 임의의 방법에 의해 이루어질 수 있다. 접촉 단계는 1회의 개별 단계이거나, 1회 초과의 단계일 수 있다.
일부 실시양태에서, 접촉 단계 동안의 습식 화학물질의 온도는 예를 들어, 약 50℃ 내지 약 100℃일 수 있다. 다른 실시양태에서, 접촉 단계 동안의 습식 화학물질의 온도는 예를 들어, 약 55℃ 내지 약 95℃일 수 있다. 접촉 단계 동안의 습식 화학물질의 온도는 예를 들어, 약 60℃ 내지 약 90℃일 수 있다.
단독으로, 또는 두 기판 표면의 표면 하이드록실 농도를 적어도 약 3 X 1018 OH 기/㎡에 이르는 범위로 증가시키기 위해 습식 화학물질과 조합하여 사용될 수 있는 임의의 프로세스는 완전히 하이드록실화된 표면, 특히, 동시에 수소 말단 Si(100) 표면을 제공하는 것을 제공하기 위해 사용될 수 있다. 적합한 프로세스는 플라스마 프로세스(수소 플라스마, NH3/NF3 플라스마, 물 플라스마, 물/과산화수소 플라스마 등), 습식 화학물질 프로세스 및/또는 (두 표면 모두를 완전히 하이드록실화시킨 후, Si(100) 표면 상에서 SiH 표면을 형성하기 위한) 상기의 조합을 포함한다.
본 발명의 방법은 또한 처리된 기판을 약 200℃ 내지 약 600℃, 및 바람직하게, 약 200℃ 내지 약 500℃의 온도에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계를 포함한다. 습식 화학물질로 세정된 표면의 상기 열 "처리"는 단일 단계 또는 다단계를 포함할 수 있다. 다단계 실시양태에서, 열 처리는 미리 결정된 기간 동안 하나 이상의 개별 프로세스 온도에서 수행될 수 있다. 열 처리는 10 s 내지 12시간 범위의 시간 동안 캐리어/퍼지 가스의 존재 또는 부재하에 압력 범위 10-10 Torr 내지 3,000 Torr에서 약 50-1,200℃의 온도 범위에 걸쳐 수행될 수 있다. 프로세스 파라미터 중 임의의 것은 단일 단계 열 처리 동안 또는 다단계 열 처리 중의 서브단계 중 임의의 단계 동안 미리 결정된 기간에 미리 결정된 방식으로 변경될 수 있다. 가열은 가열된 표면과의 열 접촉을 통해, 가열된 가스 스트림 사용으로, 방사 가열(즉, 램프)을 통해, 또는 임의의 다른 적합한 수단을 통해 달성될 수 있다. 가열은 다중 챔버 클러스터 도구의 일부인 전용의 상호연결된 챔버에서 수행될 수 있다. 다중의 개별 가열 단계가 사용될 때, 로드 락을 포함하는 다중의 전용 챔버 및 더욱 큰 상호연결된 클러스터 도구의 일부분일 수도 있는 가열 챔버에서 수행될 수 있다.
한 실시양태에서, 가열 단계는 약 200℃ 내지 약 600℃의 온도에서 수행된다. 또 다른 실시양태에서, 가열 단계는 약 300℃ 내지 약 550℃의 온도에서 수행된다. 추가의 또 다른 실시양태에서, 가열 단계는 약 400℃ 내지 약 500℃의 온도에서 수행된다. 추가의 또 다른 실시양태에서, 가열 단계는 먼저 기판을 5-10분 동안 약 200℃ 미만의 온도로 가열한 후, 이어서, 온도를 약 400℃ 내지 약 500℃의 온도로 증가시킴으로써 수행된다.
비록 본원에서 2 단계 프로세스로서 기술되기는 하였지만, 하기 제2 단계에 개시된 범위 내의 더 높은 온도에서 수행되는 단일 단계 프로세스를 이용하여 유사한 결과를 달성할 수 있다. 열 프로세스의 제1 단계에서, 흡착된 수분을 SiO2의 표면으로부터 제거하여 후속 단계의 필름 증착 동안 바람직하지 못한 계면 상의 형성을 막고, 노출된 SiO2 필름 상의 표면 상에 매우 반복가능한 하이드록실 농도를 제공하는 데 도움을 준다. 상기 제1, 개별 열 처리는 1 s 내지 12시간으로 다양한 기간 동안 압력 범위 10-10 Torr 내지 760 Torr에 걸쳐 약 50 내지 약 250℃의 온도 범위에 걸쳐 수행될 수 있다. 바람직하게, 온도는 약 50 내지 약 240℃이고, 압력은 10-5 Torr 내지 300 Torr이고, 기간은 30 s 내지 8시간이다. 더욱더 바람직하게, 온도는 약 50 내지 약 230℃이고, 압력은 10-4 내지 100 Torr이고, 기간은 1분 내지 6시간이다. 흡착된 수분을 제거하기 위한 제1 단계의 조건은 당업자에게 공지된 방법을 사용하여 통상적으로 최적화될 수 있다.
특정 이론에 의해 제한하고자 하지 않으면서, 가열 단계 동안 "결합된" 표면 하이드록실 기 중 일부는 승온에서 계속되는 가열에 의해 표면으로부터의 물 분자 제거(표면 실라놀 기의 축합)를 통하여 표면 실록산 결합으로 전환되는 것으로 여겨진다. 이러한 제2, 개별 열 처리는 10 s 내지 12시간으로 다양한 기간 동안 압력 범위 10-10 Torr 내지 760 Torr에 걸쳐 약 200 내지 약 1,000℃의 온도 범위에 걸쳐 수행될 수 있다.
한 예에서, 가열 단계의 온도는 약 280 내지 약 650℃이고, 압력은 10-5 Torr 내지 300 Torr이고, 기간은 30 s 내지 10시간이다. 또 다른 예에서, 온도는 약 300 내지 약 550℃이고, 압력은 10-4 내지 100 Torr이고, 기간은 1분 내지 8시간이다. 프로세스는 약 3 내지 9 Å 범위 내에서 원하는 것과 동일한 평균 하이드록실 간격을 제공하는 열 처리 후 표면 하이드록실 커버리지를 수득할 수 있도록 당업자에게 공지된 방법을 사용하여 통상적으로 최적화될 수 있다.
도 1을 참조하면, 열 처리의 기능은 적어도 부분적으로는 하이드록실 기를 포함하는 표면으로부터 하이드록실 기 중 일부를 제거하는 것이다. 열 처리 단계(들)는 수개의 바람직한 방식 중 어느 하나로, 또는 상기 중 1개 초과의 방식의 조합을 통해 수행될 수 있다. 이는 샘플을 캐리어/퍼지 가스의 유동하에, 또는 그의 부재하에 연속하여 진공에 노출시키는 역학적 프로세싱 조건; 샘플을 캐리어/퍼지 가스의 존재 또는 부재하에 미리 결정된 기간 동안 진공 공급원으로부터 격리시키는 정적 프로세싱 조건; 및 샘플을 미리 결정된 기간 동안 연속하여 펌핑시킨(pumped-on) 후, 진공 공급원으로부터 격리시키고, 그를 함유하는 챔버 부피는 미리 결정된 기간 동안 미리 결정된 압력까지 초고순도 캐리어 가스로 백필링하고, 그 이후, 샘플 부피를 미리 결정된 기간 동안 기저 진공으로 펌핑 다운시키는 펌프-퍼지 프로세스 조건을 포함한다. 상기 펌프-퍼지 또는 사이클 퍼지 프로세스는 원하는 표면 하이드록실 농도를 달성하기 위해 원하는 만큼 다회에 걸쳐 완료될 수 있다. 원하는 표면 하이드록실 농도 및 평균 하이드록실 기 간격을 반복가능하게 얻을 수 있도록 최적의 프로세스 조건을 결정하는 데 통상의 실험이 사용될 수 있다.
비록 단일 및 2 단계 프로세스로 기술되기는 하였지만, 미리 결정된 프로세스 조건 및 미리 결정된 기간과 함께 원하는 만큼 다수의 개별 단계를 포함하는 다단계 프로세스 또한 본 발명의 범주 내에 포함된다.
상기 기술된, 기판을 습식 화학 조성물과 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 및 처리된 기판을 약 200℃ 내지 약 600℃의 온도로 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계는 프로세스에서 제1 표면을 부동태화하여 층이 제2 표면 상에 선택적으로 증착될 수 있도록 하는 다음 단계를 위한 기초를 제공한다.
비록 부동태화 코팅을 제조하는 것과 관련하여 제시되기는 하였지만, 본원의 교시는 또한, 예컨대, 예를 들어, 붕소, 인, 비소 및 안티모니와 같은 도펀트를 비롯하여, Si, O, C, N, H 및 상기의 조합을 포함하는 Si 함유 기판 표면에 대한 증착 조건을 개선시킬 수 있는 증착 전구체 분자 및 필름 증착 프로세스 조건 (ALD 유사 프로세스의 경우, 퍼지 조건(P, T, 퍼지 가스 및 지속 기간)과 커플링된 온도, 압력, 부분압(들) 및 지속 기간)의 적절한 선택을 통해 필름 증착 조건에도 쉽게 적용될 수 있다. 상기 프로세스는 본원의 교시를 도입함으로써 선택적일 수 있거나, 또는 필름 증착 및 기판 화학적 지형과 관련해서는 비선택적일 수 있다. 본원에 개시된 방법을 이용하여 입체 장애가 감소되고, 반응성은 더 높은, 개선된 출발 SiO2 표면을 제공함으로써, 다수의 필름 증착 프로세스는 개선될 수 있다. 비록 SiO2의 구체적인 경우를 통해 제시되기는 하였지만, 본원에 기술된 것과 유사한 기술을 이용하여 다른 표면을 전처리하는 것 또한 저온 증착 온도에서 상기 표면 상의 필름 증착을 개선시킬 수 있다.
비록 SiO2/Si (100) 시스템에 의해 기술되기는 하였지만, 열 처리 단계는 원하는 표면의 선택적 부동태화 및/또는 활성화를 가능함으로써 선택적 필름 증착 프로세스가 이루어질 수 있도록 하는 다수의 추가의 물질에 대해서도 사용될 수 있다. Si(100), Si 함유 유전체 및 다른 물질 상에 존재하는 화학적 표면 말단부는 선택적 표면 활성화/부동태화를 통해 선택적 증착이 이루어질 수 있도록 하는 적절한 전구체 화학물질 및 적절한 프로세싱의 사용을 통해 유사하게 변경될 수 있다.
일부 실시양태에서, 본 발명의 방법은 기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서, 규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계를 포함한다:
Figure pct00005
상기 식에서, R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고; R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고; n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
또 다른 실시양태에서, 본 발명의 방법에서 사용하기 위한 화합물은 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택된다:
Figure pct00006
상기 식에서, R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C18 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C18 사이클릭 또는 비사이클릭 알킬 기, C3 내지 C18 헤테로사이클릭 기, C3 내지 C18 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고; R5는 결합, C1 내지 C18 선형 알킬 기, 분지형 C3 내지 C18 알킬 기, C3 내지 C18 사이클릭 또는 비사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C18 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고; X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고; n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
상기 제시된 바와 같이, SiO2의 부동태화를 위해 사용될 수 있는 것으로서, 화학식 I 및 화학식 II의 구조식으로 표시되는 두 일반 부류의 규소 함유 전구체 분자가 존재한다. 다중 반응성 리간드(즉, 트리알콕시 또는 트리클로로 종)에 의존하는 선행 기술의 다수의 전구체들과 달리, 각 부류의 화합물은 단일 반응성 리간드(할로게노, 아미노, 알콕시 또는 실라놀)를 통해 표면 하이드록실 기에 결합시키도록 디자인된다. 이제, 본 발명의 단일 반응성 리간드 종은 특히 열 처리 단계 후의 본원에서 제공되는 SiO2 표면 상의 표면 하이드록실 분포에 비추어, 전체 표면 커버리지가 더 높은 단층 부동태화 층을 형성할 수 있는 높은 성향을 가질 것으로 여겨지고 있다. 모든 것은, Si-O-Si 결합은 부동태화 분자를 SiO2의 표면에 앵커링한다는 점에서 가장 유익할 것이라는 개념을 토대로 하며, 따라서, 본 SiO2/Si(100) 시스템의 설명의 경우, 반응성 리간드를 도입하는 원자는 모두 Si이다.
두 일반 '부류'의 전구체 분자는
화학식 I - 표면에 결합시키는 1개의 앵커 원자(모노포달(monopoda) 분자); 및
화학식 II - 표면에 결합시키는 2개의 앵커 원자(바이포달(bipodal) 분자)를 포함하는 것을 포함한다.
추가의 실시양태는 또한 플루오로카본 리간드의 사용을 포함하되, 단, 이는 규소 원자에 직접 결합된 적어도 하나의 탄화수소(CH2) 연결부를 포함함으로써 리간드의 플루오로카본 부분과의 직접적인 결합으로부터 규소 원자를 분리시키는 것(즉, 표면 부동태화 층을 형성하게 되는 분자 내에서 Si-CFx 결합이 존재하지 않는다)을 조건으로 한다. 구체적이고, 비제한적인 예로는 요오도 트리스(3,3,3-트리플루오로프로필) 실란, 디메틸아미노 트리스(3,3,3-트리플루오로프로필) 실란, [(CF3CF2(CH2)6(CH3)2SiCl] 및 브로모 트리스(1,1,1-3,3,3-헥사플루오로-이소프로필) 실란을 포함한다.
화학식 I에 따른 화합물의 구체적인 예로는 하기를 포함하나, 이에 제한되지 않는다:
A. 트리메틸실리콘 클로라이드; 트리메틸실리콘 브로마이드; 트리메틸실리콘 요오다이드; 디메틸아미노트리메틸 실란; 에틸메틸아미노트리메틸 실란; 디에틸아미노트리메틸 실란; 에틸프로필아미노트리메틸 실란; 디-프로필아미노트리메틸 실란; 에틸이소프로필아미노트리메틸 실란; 디-이소-프로필아미노트리메틸 실란; 디-n-부틸트리메틸 실란; 디-이소부틸트리메틸 실란; 디-sec-부틸트리메틸 실란;
B. 트리에틸실리콘 클로라이드; 트리에틸실리콘 브로마이드; 트리에틸실리콘 요오다이드; 디메틸아미노트리에틸 실란; 에틸메틸아미노트리에틸 실란; 디에틸아미노트리에틸 실란; 에틸프로필아미노트리에틸 실란; 디-프로필아미노트리에틸 실란; 에틸이소프로필아미노트리에틸 실란; 디-이소-프로필아미노트리에틸 실란; 디-n-부틸트리에틸 실란; 디-이소부틸트리에틸 실란; 디-sec-부틸트리에틸 실란;
C. 트리-n-프로필실리콘 클로라이드; 트리-n-프로필실리콘 브로마이드; 트리-n-프로필실리콘 요오다이드; 디메틸아미노트리-n-프로필 실란; 에틸메틸아미노트리-n-프로필 실란; 디에틸아미노트리-n-프로필 실란; 에틸프로필아미노트리-n-프로필실란; 디-프로필아미노트리-n-프로필 실란; 에틸이소프로필아미노트리-n-프로필 실란; 디-이소-프로필아미노트리-n-프로필 실란;
D. 트리-이소프로필실리콘 클로라이드; 트리-이소프로필실리콘 브로마이드; 트리-이소프로필실리콘 요오다이드; 디메틸아미노트리-이소프로필 실란; 에틸메틸아미노 트리-이소프로필 실란; 디에틸아미노 트리-이소프로필 실란; 에틸프로필아미노트리-이소프로필 실란; 디-프로필아미노 트리-이소프로필 실란; 에틸이소프로필아미노 트리-이소프로필 실란; 디-이소-프로필아미노 트리-이소프로필 실란;
E. 트리-n-부틸실리콘 클로라이드; 트리-n-부틸실리콘 브로마이드; 트리-n-부틸실리콘 요오다이드; 디메틸아미노트리-n-부틸 실란; 에틸메틸아미노 트리-n-부틸 실란; 디에틸아미노 트리-n-부틸 실란;
F. 트리-이소부틸실리콘 클로라이드; 트리-이소부틸실리콘 브로마이드; 트리-이소부틸실리콘 요오다이드; 디메틸아미노트리-이소부틸 실란; 에틸메틸아미노 트리-이소부틸 실란; 디에틸아미노 트리-이소부틸 실란;
G. 트리-sec부틸실리콘 클로라이드; 트리-sec부틸실리콘 브로마이드; 트리-sec부틸실리콘 요오다이드; 디메틸아미노트리-sec부틸 실란; 에틸메틸아미노 트리-sec부틸 실란; 디에틸아미노 트리-sec부틸 실란;
H. 트리-n-펜틸실리콘 클로라이드; 트리-n-펜틸실리콘 브로마이드; 트리-n-펜틸실리콘 요오다이드; 디메틸아미노트리-n-펜틸 실란;
I. 클로로-트리스(3,3,3-트리플루오로프로필)실란; 브로모-트리스(3,3,3-트리플루오로프로필)실란; 요오도-트리스(3,3,3-트리플루오로프로필)실란; 디메틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸메틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 디에틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 디-프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸이소프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 디-이소-프로필아미노-트리스(3,3,3-트리플루오로프로필)실란;
J. 클로로-트리스(4,4,4-트리플루오로부틸)실란; 브로모-트리스(4,4,4-트리플루오로부틸)실란; 요오도-트리스(4,4,4-트리플루오로부틸)실란; 디메틸아미노-트리스(4,4,4-트리플루오로부틸)실란;
K. 옥틸디메틸실리콘 클로라이드; 옥틸디메틸실리콘 브로마이드; 옥틸디메틸실리콘 요오다이드; 디메틸아미노옥틸디메틸 실란;
L. 데실디메틸실리콘 클로라이드; 데실디메틸실리콘 브로마이드; 데실디메틸실리콘 요오다이드; 디메틸아미노 데실디메틸 실란;
M. 도데실디메틸실리콘 클로라이드; 도데실디메틸실리콘 브로마이드; 도데실디메틸실리콘 요오다이드; 디메틸아미노도데실디메틸 실란;
N. 헥사데실디메틸실리콘 클로라이드; 헥사데실디메틸실리콘 브로마이드; 헥사데실디메틸실리콘 요오다이드; 디메틸아미노헥사데실디메틸 실란;
O. 옥타데실디메틸실리콘 클로라이드; 옥타데실디메틸실리콘 브로마이드; 옥타데실디메틸실리콘 요오다이드; 디메틸아미노-옥타데실디메틸 실란;
P. 클로로디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 디메틸아미노디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란;
Q. 클로로디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 디메틸아미노-디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 및
R. 클로로디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 디메틸아미노-디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란.
화학식 II 및 II(a)에 따른 화합물의 구체적인 예로는 하기 1,3-비스-클로로디메틸실릴(에탄); 1,3-비스-브로모디메틸실릴(에탄); 1,3-비스-요오도디메틸실릴(에탄); 1,3-비스-디메틸아미노-디메틸실릴(에탄); 1,3-비스-클로로디메틸실릴(프로판); 1,3-비스-브로모디메틸실릴(프로판); 1,3-비스-요오도디메틸실릴(프로판); 1,3-비스-디메틸아미노-디메틸실릴(프로판); 1,3-비스-클로로디메틸실릴(부탄); 1,3-비스-브로모디메틸실릴(부탄); 1,3-비스-요오도디메틸실릴(부탄); 및 1,3-비스-디메틸아미노-디메틸실릴(부탄)을 포함하나, 이에 제한되지 않는다.
추가의 실시양태는 또한 임의의 원하는 R 기 위치에서의 플루오로카본 리간드의 사용을 포함하되, 단, 이는 규소 원자에 직접 결합된 적어도 하나의 탄화수소(CH2) 연결부를 포함함으로써 리간드의 플루오로카본 부분과의 직접적인 결합으로부터 규소 원자를 분리시키는 것(즉, 표면 부동태화 층을 형성하게 되는 분자 내에서 Si-CFx 결합이 존재하지 않는다)을 조건으로 한다.
본 발명의 방법의 이점은 습식 화학물질 처리 후, 하기 설명된 바와 같이 표면을 가열함으로써 -OH 기의 표면 밀도를 제어할 수 있다는 점이다. 열은 -OH 기 중 일부를 분리시킬 것이다. 상기 표면 밀도는 예를 들어, 저에너지 이온 산란, TOF-SIMS, 또는 FTIR(표면 모드)로부터 측정될 수 있고, 상기 정보로부터 전구체의 크기에 기초하여 최적의 부동태화를 위해 전구체가 선택될 수 있다. 예를 들어, -OH 기 사이의 거리는 약 6.5 Å인 경우, 이때, 예를 들어, 에틸("(CH2)2") 또는 프로필(("(CH2)3") 연결부를 포함하는 바이포달 전구체가 매우 "적합한 것"(good "fit")일 수 있는데, 그 이유는 프로필 기의 길이가 약 6.9 Å이기 때문이다.
특정 이론에 의해 제한하고자 하지 않으면서, SiO2 유전체 표면에 대한 최적의 부동태화 결과는 열 처리 프로세싱과 부동태화 분자 디자인의 조합을 통해 달성될 수 있을 것으로 여겨진다. 구체적으로, 현재는 흡착된 수분 및 밀착 클러스터링된 표면 하이드록실 기를 SiO2 표면으로부터 제거하면, 대개는 수소 결합이 없고, 하이드록실 기보다 반응성이 훨씬 더 작고(또한 -OH 표면 결합보다 극성이 더 작은) 표면 실록산 유사(Si-O-Si) 결합수가 증가되고, 전처리 프로세스 조건을 통해 제어될 수 있는 평균 이격 거리를 가지도록 적합화될 수 있는 표면 하이드록실 집단을 수득할 수 있을 것으로 여겨진다. 이러한 표면은 본질적으로, 분자내 길이가, 하이드록실 기 표면 간격까지의 그의 반응성 기 거리와 매칭되는 특정 부동태화 분자의 사용을 통해 반응성 화학 부위의 완전한 부동태화를 허용할 것으로 여겨진다.
입체 장애 및 반응성 리간드 간격 관점으로부터의 원하는/디자인된 간격의 기를 가지는 분자를 디자인할 수 있는 능력이 선행 기술에에 비해의 현저한 개선을 제공한다. 이를 통해 가스상 표면 부동태화 코팅 형성 반응은 훨씬 더 빨라질 수 있고, 동시에 (모체 부동태화 분자 내로 도입되는 유기 리간드 선택에 의해 부여되는 고유한 '입체적 크라우딩'에 의해서만 오직 제한이 되는) 표면 커버리지는 개선될 수 있다. Si(100) 표면과 비교하여 SiO2 표면의 선택적 부동태화의 구체적인 실시양태의 경우, 초기 습식 세정 단계에 의해 제공된 H 말단 Si(100) 표면을 무손상 상태로 및 본질적으로 변함없이 그대로 남겨두면서, 대략 6.5 Å인 평균 표면 하이드록실 간격을 제공하기 위해, 열 전처리 온도는 바람직하게 약 375 내지 약 450℃이다. 상기 표면에 바람직한 전구체 분자는 상기 분자가 분자 내에 포매된 표면 결합점을 1 또는 2개(즉, 1 또는 2개의 반응성 리간드, 여기서, 1개 이하의 반응성 리간드가 전구체 분자 내의 임의의 주어진 원자에 부착)를 가지는지 여부에 의존한다. 면 결합점이 1개인 분자의 경우, 간격은 대개 입체 장애 제약에 의해 주도되고, 비반응성 리간드(들)는 이웃 '유리' 하이드록실 부위의 반응을 방해하지 않도록, 그러나, 유기 작용기에 의해 최대 표면 커버리지를 제공할 수 있도록 선택된다. 디포달 결합점인 분자의 경우, 두 (단일 반응성 리간드) 원자 사이의 간격은 표면 하이드록실 기 사이의 평균 간격보다 약간 더 크도록 만들어진다.
분자 디자인과 커플링된, 제어된 "유리" 표면 표면 하이드록실 간격을 통해 표면 부동태화 코팅의 기상 형성은 신속하고, 완전하게 이루어질 수 있다. 이는 선행 기술에에 비해의 잠재적인 현저한 개선을 의미하고, 이를 통해 SiO2/Si 표면에 대해 상업적으로 유연적인 선택적 ALD 프로세스를 얻을 수 있다.
추가 이점으로는 (1) "유리" 하이드록실 기의 반응성 증가를 통해 그렇게 제조되지 않은 SiO2 표면과 비교하여 표면 부동태화 모이어티를 SiO2 표면에 부착시키는 데 더욱 광범위한 반응성 리간드가 사용될 수 있다는 점(즉, 전구체 화학법이 더욱 광범위하게 사용될 수 있다는 점); (2) 형성된 부동태화 층은 최소 반응 온도보다 더 높은 반응 온도에서 더욱 신속하고, 더욱 완전하게 형성될 것이며, 그렇게 제조되지 않은 관련 분야의 표면과 비교하여 표면 커버리지는 더욱 우수하다는 점(최대화되었다는 점); (3) 가장 조밀하게 패킹된 부동태화 층에 의해 후속 ALD 필름 증착 프로세스에서의 전구체 핵형성에 대한 내성이 개선된 SiO2 부동태화된 표면이 형성되고, (선행 기술의 SiO2 표면의 경우, 표면 부동태화 층 아래에 존재하는) 잔류 표면 하이드록실 결합의 개수는 감소되어 있고, 이를 통해 후속 프로세스 단계에서 선택적 필름 증착은 개선될 수 있다는 점을 포함한다.
부동태화 층은 처리된 표면의 수분 또는 산소에의 노출을 막기 위해 가열 처리 후 가능한 빨리 형성되는 것이 바람직하다.
아민이 공반응물 또는 촉매로서 사용되는 경우, NR3을 포함하는 분자 부류(여기서, R은 독립적으로 H, 알킬, 아릴, 알켄 등이다), 및 피리딘 및 피리딘 유도체를 포함하는 것으로 의미된다. 아민은 관련 분야의 하이드록실화된 표면 상에서의 반응을 위한 더 낮은 전체 반응 온도 달성을 위해 할로겐 반응성 기를 포함하는 분자와 함께 사용될 수 있다는 것이 당업계에 공지되어 있다. 본 발명의 교시에 따라 함께 사용될 때, 상기 아민을 통해 원하는 부동태화 층을 형성하는 데 반응이 단축될 뿐만 아니라, 훨씬 더 낮은 온도에서 반응이 이루어질 수 있다. 본 발명의 교시가 없는 경우, 상기 분자의 사용은 그의 부재하에서보다 더 낮은 온도에서 원하는 부동태화 층을 형성할 수 있다. 아민 공반응물이 사용되는 모든 경우에서, 축합된 피리디늄 또는 암모늄 할라이드 염의 형성을 통해 하이드록실화된 표면이 오염 및 오염화될 위험이 있다. 당업자에게 공지된 방법을 사용하여 상기 염에 의한 하이드록실화된 표면의 오염을 최소화시키기 위해 프로세스 개발에 주의를 기울여야 한다.
기상 반응은 전구체가 기상 중의 전구체 도입을 통해서 (실링된 베쓸 중에 포함되어 있는) 가열 및 전처리된 기판에 노출되는 것을 나타내는 것으로 의미되지만, 이는 기판 온도가 전구체 및/또는 공반응물의 비등점 미만일 경우, 기판 표면 상의 전구체 또는 공반응물의 축합을 방해하지는 않는다(즉, 액체 층은 전구체 및/또는 공반응물과 표면 하이드록실 기의 반응을 촉진시킬 수 있도록 하기 위해 형성될 수 있다).
액체상 (용액상) 반응은 전구체 및/또는 공반응물이 '순수하게,' 또는 적합한 액체 용매와 함께 액체 상태로 기판의 표면 상에 직접 도입된다는 것을 나타내는 것으로 의미된다. 용매가 사용되는 경우, 용매는 초고순도이고, 기판 또는 전구체 및/또는 공반응물과 비반응성이어야 한다.
기상 또는 가스상 반응은 부동태화 단계에 의해 제공된 하이드록실 기와, 전구체 분자 상의 단일 반응성 리간드 사이의 반응을 포함한다. 이는 필요한 압력 제어를 할 수 있어야 하고, 또한 기판 및/또는 챔버 벽에 열을 공급할 수 있는 적합한 챔버 중에서의 가열된 기판의 전구체 분자(들) 및/또는 공반응물에의 노출을 포함하고; 상기 챔버는 또한 일반적으로 높은 누출 무결성 및 초고순도 캐리어 및 반응성 가스를 통해 발생하게 되는 반응에 대해 적합한 순도를 제공하여야 한다. 본 발명의 또 다른 실시양태는 후속하여 기판을 가열하여 전구체(들) 및/또는 공반응물을 표면 하이드록실 기와 반응시키기 이전에, 기판의 표면 상에서의 전구체(들) 및/또는 공반응물의 액체 층 형성을 촉진시키기 위해 기판의 냉각을 사용하는 것에 관한 것이다.
"전구체"란, 본원에서 앞서 기술된 것과 같은 단일 반응성 리간드, 단일 앵커 지점 및/또는 2개의 앵커 지점 분자를 의미하는 것으로 의도된다. 이는 (앞서 정의된 바와 같은) 초고순도 캐리어 가스와 함께, 및 서로의 임의의 원하는 혼합물로 사용될 수 있다(즉, 요구되는 전구체 도입 순서를 가지는 원하는 부동태화 층을 형성하기 위해 1가지 초과의 전구체 유형이 함께, 또는 개별적인 독립 단계로 사용될 수 있다). 공반응물은 (사용되어야 하는 경우) 앞서 개시된 촉매성 아민 반응물을 의미하는 것으로 한다.
전구체(들) 및/또는 공반응물은 질량 흐름 제어장치(아마도 가열 라인 포함), 액체 주입 증발기(아마도 가열 라인 포함)를 사용하거나, 또는 계량 장치가 없는 (즉, 순수하게, 단순 밸브를 사용하여 반응기로부터 격리된 베쓸로부터의 증기 및/또는 가스를 도입) 반응기로 전달될 수 있다. 상기 중 임의의 것은 또한 서로 조합하여 사용될 수 있다. 충분한 순도 및 재현가능성을 제공하는 반응 챔버로 가스 및/또는 증기(들)를 제공하는 임의의 수단이 사용될 수 있다.
전구체(들) 및/또는 공반응물은 반응기에 독립적으로 도입될 수 있거나, 반응기로의 도입 이전에 혼합될 수 있거나, 반응기에서 혼합될 수 있거나, 또는 단계 사이에 전구체가 도입되는 방식에 있어서 차이가 날 수 있는 다중의 독립 단계에서 상기의 임의 조합으로 이루어질 수 있다.
직접적인 기상 반응을 위해서는 반응 온도 범위는 (앞서 언급된 바와 같이) 주어진 표면 부동태화 층의 최대 안정성 온도에 가깝도록 선택되어야 한다. 그러나, 반응 온도 범위는 실온 내지 700℃일 수 있고, 단, 온도는 전처리 온도 이하여야 한다. 압력은 10-10 Torr 내지 3,000 Torr 범위일 수 있고, 동적 흐름 조건하에서 유지될 수 있거나(즉, 밸브 및 나비형 밸브 유형의 배치 포함), 정적 조건하에서 유지될 수 있다(즉, 총 압력이 원하는 압력에 도달할 때까지 진공 배기된 챔버를 원하는 전구체(들) 및/또는 공반응물(들)에 노출시킨 후, 챔버를 전구체(들) 및/또는 공반응물(들) 공급원(들) 및 진공 펌프, 둘 모두로부터 격리시킨다). 반응기를 완전히 진공 배기시키고, 필요한 만큼 다회에 걸쳐 새로운 전구체(들) 및/또는 공반응물에 다시 재노출시킬 수 있다. 원하는 임의의 혼합물 및/또는 농도를 사용하여 전구체(들) 및/또는 공반응물을 도입시킬 수 있다.
SiO2 표면은 일단 부동태화되고 나면, SiH를 포함하는 표면은 예컨대, 예를 들어, Si-H 표면 상의 SiCN의 선택적 ALD 증착과 같은 추가의 선택적 반응에 대하여 활성을 띤다. SiH를 포함하는 표면 상에 선택적으로 증착될 수 있는 추가 물질로는 산소, 질소, 수소 및 탄소를 포함하는 실리콘 필름(즉, SiOx, SiNx, SiOxNy, SiCxNy, SiOxCy, 이는 모두 가능하게는 H 또한 도입하고 있다), 금속, 금속 질화물 및 금속 산화물을 포함하는 실리콘 필름을 포함한다.
일부 실시양태에서, 금속 산화물 필름은 제2 표면 상에 선택적으로 증착된다. 한 예에서, 금속 산화물 필름은 제2 표면 상에서 캡 층으로 작용할 수 있다. 금속 산화물 필름은 예를 들어, 원자 층 증착(ALD: atomic layer deposition), 플라스마 증강 ALD(PEALD: plasma-enhanced ALD), 화학적 기상 증착(CVD: chemical vapor deposition), 플라스마 증강 CVD(PECVD: plasma-enhanced CVD), 또는 펄스형 CVD에 의해 증착될 수 있다. 한 실시양태에 따라, 금속 산화물 필름은 HfO2, ZrO2, TiO2, Al2O3, 및 그의 조합으로 이루어진 군으로부터 선택될 수 있다. 일부 예에서, 금속 산화물 필름은 금속 유기 전구체 및 산화제(예컨대, H2O, H2O2, 플라스마 여기된 O2 또는 O3)의 교대식 노출을 사용하여 ALD에 의해 증착될 수 있다.
본 발명에 따른 선택적 증착은 예를 들어, 문헌 [Hamalainen et al., "Atomic Layer Deposition of Noble Metals and Their Oxides," Chem. Mater. 2014, 26, 786-801]; 및 [Johnson et al., "A Brief review of Atomic layer Deposition: From Fundamentals to Applications", Materials Today, Volume 17, Number 5, June 2014](상기 두 문헌 모두 그 전문이 본원에서 참조로 포함된다)에 개시된 금속 및 금속 산화물 층일 수 있다.
일부 실시양태에서, 금속 필름은 제2 표면 상에 선택적으로 증착된다. 한 예에서, 금속 필름은 제2 표면 상에서 캡 층으로 작용할 수 있다. 또 다른 예에서, 금속 필름은 제2 표면 상에서 전도성 경로(즉, 라인, 패드 또는 플러그)로 작용할 수 있다. 또 다른 예에서, 금속 필름은 예를 들어, 원자 층 증착(ALD), 플라스마 증강 ALD(PEALD), 화학적 기상 증착(CVD), 플라스마 증강 CVD(PECVD), 또는 펄스형 CVD에 의해 증착될 수 있다. 한 실시양태에 따라, 금속 필름은 Al, Ti, Co, Rh, Ir, Fe, Ru, Os, Mn, Tc, Re, Cu, Ag, Au, Ni, Pd 또는 Pt, 및 그의 조합으로 이루어진 군으로부터 선택될 수 있다.
일부 실시양태에서, 금속 또는 금속 질화물 필름은 제2 표면 상에 선택적으로 증착된다. 한 예에서, 금속 또는 금속 질화물 필름은 제2 표면 상에서 캡 층으로 작용할 수 있다. 또 다른 예에서, 금속 또는 금속 질화물 필름은 확산 장벽 층으로 작용할 수 있다. 금속 또는 금속 질화물 필름은 예를 들어, 원자 층 증착(ALD), 플라스마 증강 ALD(PEALD), 화학적 기상 증착(CVD), 플라스마 증강 CVD(PECVD), 또는 펄스형 CVD에 의해 증착될 수 있다. 예는 예를 들어, 문헌 ["IBM Research Report, "Atomic Layer Deposition of Metal and Metal Nitride Thin Films: Current Research Efforts and Applications for Semiconductor Device Processing," RC22737 (W0303-012), March 5, 2003]에서 살펴볼 수 있다.
일부 실시양태에서, 본원에 기술된 바와 같은 기판의 제1 표면, 예컨대, 기판의 SiO2 표면 상의 증착은 기판의 제2 H 말단 표면에 비해 적어도 약 90% 선택적, 적어도 약 95% 선택적, 적어도 약 96%, 97%, 98% 또는 99% 또는 그 초과로 선택적이다. 일부 실시양태에서, 증착은 오직 제1 표면 상에서만 발생하고, 제2 표면 상에서는 이루어지지 않는다. 일부 실시양태에서, 기판의 제1 표면 상의 증착은 기판의 제2 표면에 비해 적어도 약 70% 선택적, 또는 적어도 약 80% 선택적이며, 이는 일부 특정 적용에 대해 선택적으로 충분할 수 있다. 일부 실시양태에서, 기판의 제1 표면 상의 증착은 기판의 제2 표면에 비해 적어도 약 50% 선택적이며, 이는 일부 특정 적용에 대해 선택적으로 충분할 수 있다.
실시예
하기 실시예는 청구되는 방법의 각 단계들을 보여줄 것이다. 본 발명의 목적 및 수행되는 본 실험의 목적은 하이드록실 기가 거의 없고, 추가로, 유기실란 부동태화 코팅으로 이루어진 단층 대 서브단층으로 층층이 커버되는(monolayer to sub-monolayer coverage) SiO2 표면을 제조하고자 하는 것이다. 바람직한 실시양태에서, SiO2의 표면 상의 부동태화 분자의 '입체' 장애는 열 처리 단계 완료 후에 남은 표면 하이드록실 기의 거의 균일한 평균 간격에 가깝게 매칭된다(도 2의 도해 참조).
단계 1: 기판을 습식 화학 조성물과 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계
실시예 1: SC-1 습식 화학적 노출시 표면 하이드록실 농도의 증가
SiO2 및 Si(100) 독립 쿠폰을 하기 단계 순서를 거쳐 동시에 프로세싱한다:
두 기판 표면을 모두 먼저 200 ml:100 ml:1,000 ml의 비율로 과산화수소(28-30%), 수산화암모늄(28%) 및 증류된 탈이온수를 포함하는 새로 제조된 용액 중에서 세정하였고, 세정은 먼저 석영 비커 중에서 화학물질을 함께 혼합하고, 비커 중 용액을 70℃ ± 5℃로 가열하고, 기판 표면을 미리 가열된 세정액 중에 10분 동안 완전하게 침지시키고, 세정액으로부터 기판을 제거하고, 이를 신선한 증류된 탈이온수로 충전된 용익 중에 침지시키고, 기판 상의 세정액 농도가 검출 한계 미만으로 희석될 때까지 상기 기판을 헹구는 것을 포함한다.
세정 단계의 효과 및 완료는 물 또는 임의의 다른 적합한 유체로 구성된 액체를 이용하여 접촉각 측정(고니오미터 측정)을 사용함으로써 측정될 수 있다. 본원에 제시된 데이터는 부피가 2 ㎕인 증류된 탈이온수 소적에 대한 것이다.
(수취 상태의) 출발 표면을 다회에 걸쳐 측정하였고, 하기 제시된 범위 내에 포함되어 있는 것으로 나타났다:
SiO2: 32-43°
Si(100): 26-35°.
수취 접촉각 측정에서 관찰된 변동성은 수취 상태의 두 기판 모두에 공통된 산화물 표면 상에의 대기 수분의 흡착에 기인하는 직접적인 결과인 것으로 여겨진다.
두 SiO2 및 Si(100) 기판 모두의 표면을 고니오미터 측정 및 TOF-SIMS 측정으로 측정한 결과, 출발 샘플의 것보다 훨씬 더 높은 각도로 하이드록실화된 것으로 측정되었다. 완전히 하이드록실화된 표면의 물 접촉각을 측정하였고, 하기 제시된 범위 내에 포함되어 있는 것으로 나타났다:
SiO2: 5-10°
Si(100): 5-10°.
표면이 습식이고, 친수성이라는 것을 입증한 후, 제1 단계가 완료된다. 비록 습식 세정 단계를 통해 예시되었지만, 본 발명은 그렇게 제한되지 않는다.
수취 및 세정 후 상태의 SiO2 표면 상태는 또한 SiO2 기판의 TOF-SIMS 측정을 통해 반영된다. 상기 측정을 통해 기판 상에 존재하는 하이드록실, 하이드라이드 및 다른 종의 표면 농도를 '반정량적'으로 특징화할 수 있다. 수취 및 세정 후 상태의 대표적인 TOF-SIMS 스펙트럼은 도 3에 제시되어 있으며, 도 3은 두 샘플에 대한 두 SiOH 피크 사이의 상대 감도의 차이를 통해 제시된 바와 같이, 세정 후 하이드록실 표면 농도의 뚜렷한 증가를 도시하고 있다. 이러한 세정 후 하이드록실 표면 농도의 증가는 표면 하이드록실 농도의 제어된 방식의 원하는 감소를 가능하게 하는 것이다.
실시예 2: Si(100) 표면 상의 Si-OH 결합의 Si-H 결합으로의 전환
이어서, 이전 실시예에 의해 제공받은 완전히 하이드록실화된 SiO2 및 Si(100) 표면을, Si(100) 상의 완전한 소수성 표면을 얻는 데 충분한 시간 동안 2.0-3.0%(0.1% - 5.0% 범위) 농도의 HF 용액을 사용하여 동시에 처리한 후, 이어서, 물 중에서 헹구고, 초고순도 질소 스트림을 이용하여 블로잉 건조시킨다. 전형적으로, 실온에서 Si(100)-H 말단 표면이 형성되는 데에는 80 내지 110 s(범위: 20 s - 600 s)가 소요된다. 접촉각 측정을 사용하여 SiO2 및 Si(100)의 표면을 특징화하였다. 일반적으로, SiO2 표면 상의 접촉각이 낮을수록 및 Si(100)-H 표면 상에서 접촉각이 90°에 가까울수록, 본 발명의 목적을 위한 HF 에칭 단계의 결과는 우수할 것으로 여겨진다. HF 에칭 단계 후에 측정된, 두 기판 표면에 대한 전형적인 값은 하기를 포함한다:
SiO2: 4-8°
Si(100): 80°-90°.
표면 하이드록실화 정도가 높기 때문에, 이러한 유형의 SiO2 기판은 수취 샘플보다 대기 오염물질 및 수분 흡착에 대해 훨씬 더 영향을 받기 쉬운 바, 이에 반응기 시스템 내로 도입하기 전, 그의 보관 및 취급 동안 주의를 기울여야야 한다. 본 발명이 의도된 대로 수행되기 위해서는, 열 처리 단계를 수행하기 전에 샘플 표면으로부터 임의의 상기 유형의 과도한 수분을 완전하게 제거하여야 한다. 이는 감압 조건하에 약 200℃의 온도에서 1-10분 동안 가열함으로써 달성된다. 주변은 진공 상태일 수 있거나, 또는 초고순도 불활성 가스 흐름(N2, He, Ne, Ar 등) 하의 10-5 Torr 내지 740 Torr 압력의 감압 상태일 수 있다.
유사하게, Si(100)-H 표면은 산화되는 성향이 있고, 대기 노출에 대해 제한된 안정성을 보이는 바, 이에, 선택적 부동태화 층 형성을 달성하는 데 요구되는, SiO2 표면과의 화학적 차별화를 무효화시키는 것으로 입증되었다. 본 발명이 의도된 대로 수행되기 위해서는, 표면의 재산화를 막기 위해 HF 에칭 후 가능한 빠르게 샘플을 반응기 시스템 내로 로딩하여야 한다. 대안적으로, 기판은 산화 속도가 대기와 비교하여 매우 느린, 화학적으로 불활성인 환경에서 보관된 후, 이어서, 반응기 시스템 내로 로딩될 수 있다.
비록 습식 세정을 통해 예시되기는 하였지만, 완전히 하이드록실화된 SiO2 표면[αOH(s) = 9.5 μmole/㎡] 및 완전 수소 말단 Si(100) 표면을 동시에 수득할 수 있는 임의의 프로세스 또는 프로세스의 조합이 본 발명을 실시하는 데 사용될 수 있다. (앞서 언급된 것과 같은) 플라스마 프로세스, 습식 프로세스 또는 상기 둘의 조합은 기술된 특성을 가지는 필요한 화학 말단부를 제공하는 데 사용될 수 있다.
세정 후 상태(SC-1 및 HF)의 Si(100) 및 SiO2 표면 상태는 또한 TOF-SIMS 측정을 통해 특징화될 수 있다. 상기 측정을 통해 기판 상에 존재하는 하이드록실, 하이드라이드 및 다른 종의 표면 농도를 반정량적으로 특징화할 수 있다. SC-1 세정 및 HF 에칭 후 Si(100) 및 SiO2 표면에 대한 대표적인 TOF-SIMS 스펙트럼은 도 6에 제시되어 있다. 도 6에 제시된 작은 하이드록실 피크는 측정 동안 수송 중에 샘플에 발생한 일부 산화에 기인하는 것으로 여겨진다.
도 6을 참조하면, 대기 노출 동안 발생한 Si(100) 샘플의 산화에도 불구하고, SiO2 및 Si(100) 표면 사이의 화학적 표면 말단부에서의 원하는 차별화가 달성되었다는 것이 명백하다. 즉, 서로 비교하였을 때, SiO2 표면은 매우 높은 농도의 하이드록실 기를 가지고, Si(100) 표면은 매우 높은 농도의 하이드라이드 기를 가지며; 심지어, Si(100) 표면 상에서 대기 산화가 발생한 경우에도, 두 기판은 세정 단계 후 매우 상이한 농도의 하이드록실 및 하이드라이드 표면 말단부를 가진다는 것이 명백하다. 단결정 규소 표면의 HF 에칭으로, 장기간의 대기 노출시 쉽게 산화될 수 있는 소수성 수소 표면 말단부가 생성된다는 것 또한 당업자에게 널리 공지되어 있다.
단계 2: 처리된 기판을 약 200℃ 내지 약 600℃의 온도로 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계
실시예 3: 습식 세정, 이어서, 열 처리
열 전처리의 목적은 최대량만큼 표면 하이드록실 농도를 감소시켜, 이상적으로는, 후속하여 기상 프로세스를 사용하여 유기실란 전구체 중 하나를 이용하여 부동태화되는 격리된 하이드록실 기만이 유일하게 남도록 하는 것이다. 열 처리 사용으로 표면 하이드록실 농도가 최대로 감소되도록 하는 것을 실현시키기 위해서는, (습식 세정, 기상 노출, 플라스마 처리 등을 사용하여) 완전히 하이드록실화된 표면을 제조하여야 한다. 즉, 본 발명의 표면 하이드록실 말단부를 실현시키기 위해서는 먼저, 열 처리 이전에 수취 또는 프로세싱된 이산화규소 표면에 대해 일반적으로 관찰되는 농도를 초과하는 정도로 표면 하이드록실 농도를 증가시켜야 한다.
열 처리에 따른 표면 하이드록실 기 감소라는 것 뒤에 숨겨진 메커니즘은 하기 식에 따른 물 제거와 함께 실라놀 축합 반응이다:
Si(OH)(표면) + Si(OH)(표면) → Si-O-Si(표면) + H2O(g).
TOF-SIMS를 이용하여 3가지 유형의 샘플을 특징화하여 열 처리 전 및 열 처리 후 상태의 표면 하이드록실 농도를 정량화하였다:
(1) 수취 열 이산화규소 "수취"
(2) (NH4OH + H2O2)를 사용하여 세정된 이산화규소 "SC-1"
(3) (NH4OH + H2O2)를 사용하여 세정한 후"SC-1," 이어서, HF 에칭 "SC-1 + HF."
각 유형의 샘플에 대해 사용된 열 처리 절차는 동일하였고, 이는 하기 주요 단계를 포함한다:
(1) 적절한 습식 화학법 단계를 통해 표면 하이드록실 커버리지(있다면)를 제조하는 단계
(2) 샘플을, 가열이 이루어지는 반응기 시스템 내로 로딩하는 단계
(3) 반응기 시스템에 대한 기저 압력에 도달할 때까지 샘플을 사이클 퍼징하는 단계
(4) 반응기 시스템의 개방으로부터 및 샘플 그 자체로부터 발생하는 반응기 시스템내 수분의 함량을 감소시키는 데 충분한 시간 동안 반응기 시스템을 초고순도 N2 흐름하에 퍼징하는 단계
(5) 노(furnace) 온도 제어기에 저장된 미리 프로그램화된 프로세스를 사용하여 열 처리를 수행하는 단계
(6) 샘플을 초고순도 N2 흐름하에 실온으로 냉각시키는 단계
(7) 샘플을 반응기 시스템으로부터 언로딩하고, 분석을 위해 배송하기 위하여 샘플을 N2 하에 패키징하는 단계.
실시예 4: SC-1 세정된 이산화규소 샘플(1,000 Å 열 Si(100) 상의 SiO2)의 열 처리
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)("1,000 Å SiO2")의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론(Teflon) 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 세정된 1,000 Å SiO2 샘플 중 하나를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 10 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 반응기 시스템 내에서 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복한다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시킨다.
노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다 - '샘플')의 열 트레이스는 시간 함수로서 샘플 온도를 도시하고 있는 도 4에 제시되어 있다.
도 4는 또한 (계내 사중극자 질량 분석계(QMS: quadrupole mass spectrometer)에 의해 측정된 바) 열 처리 단계 동안 발생하는 샘플로부터의 수분 배출의 트레이스를 보여주는 것이다. 이러한 수분 배출은 앞서 기술된 실라놀 축합 반응과 일관된다.
열 처리 프로세스 완료 후, 샘플을 20 sccm 초고순도 N2 흐름하에 (2.3 Torr 압력에서) 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, TOF-SIMS를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
이제 도 5를 참조하면, 앞서 기술된 3가지 유형의 샘플을 SC-1 세정된 샘플에 대하여 상기 기술된 것과 동일한 방식으로 열 처리를 통해 각각 프로세싱하였다. 상기 유형의 샘플을 각각 열 처리 전 및 열 처리 후 상태에서 TOF-SIMS 및 AFM을 이용하여, 뿐만 아니라, 고니오미터 측정(물 접촉각 측정)에 의해 특징화하였다. 상기 샘플에 대한 TOF-SIMS 데이터는 도 5에 제시되어 있다. TOF-SIMS 측정은 열 처리 전 및 열 처리 후 상태인 각 샘플에 대하여 관찰된 정규화된 SiOH+ 이온 강도(질량 45 amu에서)를 보여준다. 하기 중요한 관찰결과는 이러한 데이터 세트로부터 추론된다:
1. 수취 샘플(그의 표면 하이드록실 농도가 그의 표준 상태 초과로 증가되지 않은 것)은 열 처리 단계 후, 표면 하이드록실 농도에서 극소량의 변화를 보인다. 열 처리 후 상기 샘플에 대한 표면 하이드록실 농도의 주된 감소는 없었고;
2. ('예측된 바와 같이') SC-1 샘플 및 SC-1 + HF 샘플, 둘 모두, 그의 표면 하이드록실 농도를 증가시키는 단계를 받지 않은 수취 샘플과 비교하여 증가된 표면 하이드록실 농도를 가지고;
3. SC-1 샘플 및 SC-1 + HF 샘플, 둘 모두, 열 처리 후에 표면 하이드록실 농도가 열 처리 후의 수취 샘플에 대하여 측정된 농도보다 훨씬 아래인 농도까지 급격한 감소를 보인다. 이러한 중요한 차이는 본 발명의 원하는 저 표면 하이드록실 농도를 수득하기 위한 전반적인 프로세스 흐름의 중요성을 강조한다. 열 처리 전에 먼저 표면 하이드록실 농도를 증가시키지 않은 경우, 표면 하이드록실 농도의 급격한 감소는 열 처리를 통해서는 실현되지 않는다. 이는 산업상 접하게 되는 대부분의 산화규소 표면은 본 발명에서 요구되는 거동을 보이지 않을 것이며, 심지어는 본원에 개시된 열 처리 프로파일을 사용하여 가열된 경우에도 그렇다는 것을 의미한다.
실시예 5: 열 처리(Si(100) 표면의 하이드라이드 표면 말단에는 최소의 영향을 미치면서, SiO2 표면 하이드록실 농도를 제어된 방식으로 감소)
이어서, 실시예 1 및 2에 의해 제조된 원하는 화학적 표면 말단부를 가지는 Si(100) 및 SiO2 기판을 반응기 시스템 내로 로딩하고, 여기서, 상기 기판은 수회에 걸친 펌프-퍼지 사이클을 거치게 되고, 이로써, 대기 오염물질 및 물리적으로 흡착된 오염물질이 제거된다. 제1 사이클은 샘플을 기저 압력보다 높은 5 내지 10 mTorr의 압력으로 펌핑한 후, 이어서, 2-4 Torr 압력에서 3분 동안 감압 N2 퍼지(10-20 sccm의 초고순도 N2)한 후, 이어서, 기저 압력보다 높은 1 내지 3 mTorr의 압력으로 펌핑 단계를 수행한 후, 이어서, 2-4 Torr 압력에서 3분 동안 감압 N2 퍼지(10-20 sccm의 초고순도 N2)한 후, 최종적으로 기저 압력으로 펌핑 다운시키는 단계를 포함한다. 본 실험의 경우, 가열된 로드 락은 이용불가능하였고, 이에 사이클 퍼징은 반응기 튜브 그 자체에서 수행되었다.
이어서, 열 처리를 사용하여 샘플을 프로세싱함으로써, Si(100) 하이드라이드 표면에는 최소의 영향을 미치면서, SiO2 표면 상의 하이드록실 표면 농도는 감소시켰다. 열 처리 프로파일은 도 7에 제시되어 있으며, 여기서, x축은 시간(분)이고, y축은 온도(℃)이다.
도 7에 제시된 온도는 2개의 독립 열전쌍(하나는 노 그 자체에 포매 및 반응기 튜브와 접촉하는 것, 및 하나는 실제 샘플 온도에 매우 가까운 것)에 대한 것이다. 이는 하기 반응을 통해 SiO2 표면 상의 하이드록실 농도를 감소시키는 데 사용된 실제 프로파일이다:
Si-OH (s) + Si-OH (s) Si-O-Si + H2O (g).
도 8은 열 처리 온도 프로파일과 오버레이된, 계내 질량 분석계를 사용하여 수집된 데이터를 보여주는 주는 것으로, 이는 샘플로부터 물이 발생하였다는 것을 명확하게 보여주는 것이다. 열 처리는 조건 범위에 걸쳐 수행될 수 있지만, 여기서는 약 20 sccm N2 유속으로 약 2.5 Torr 압력으로 초고순도 N2 흐름하의 감압 작동에 대해 입증되었다.
SC-1 세정 후, HF 에칭 후, 및 열 처리 후의, SiO2 샘플의 고니오미터 측정이 하기 물 접촉각 측정값을 제공한다:
SiO2: 29.1°(참조를 위해: SiO2 + SC-1 + 열 처리 = 30.5°)
Si(100): 56.3(대기 노출시 산화를 보임; 참조를 위해, Si(100) + 열 처리 없이 건조 수행된 샘플: 57.9; 대기에서 보관된 Si(100) 샘플: 대기 노출 및 보관 후, 계속적인 산화를 보임; 결국에는, 당업자에 의해 인정받은 이산화규소에 대한 값에 매우 가까운, ~41.9°에 근접하게 정상 상태에 도달함).
세정 후/열 처리 후 상태의 Si(100) 및 SiO2 표면 상태 또한 TOF-SIMS 측정을 통해 특징화하였다. 상기 측정을 통해 기판 상에 존재하는 하이드록실, 하이드라이드 및 다른 종의 표면 농도를 반정량적으로 특징화할 수 있다. SC-1 세정 및 HF 에칭 후 Si(100) 및 SiO2 표면에 대한 대표적인 TOF-SIMS 스펙트럼은 도 9에 제시되어 있다. 여기서, 다시, 장비/실험상의 제한에 기인하는 대기 노출의 결과로서 산화 피크가 Si(100) 스펙트럼에 존재한다는 것에 주의한다.
실시예 6: 열 처리 수취 1,000 Å SiO2/Si(100)
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)("1,000 Å SiO2")의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 10 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 시간 함수로서 도 10에 제시되어 있다.
열 처리 프로세스 완료 후, '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 (2.3 Torr 압력에서) 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'수취' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM: Atomic Force Microscopy) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 '수취' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00007
비교를 위한 열 처리된, 및 열 처리되지 않은 '수취' 1,000 Å SiO2/Si(100) 샘플의 TOF-SIMS 스펙트럼은 도 11에 도시되어 있다. 도 11을 참조하면, 나트륨(Na) 및 칼륨(K) 형태의 일부 환경 오염 또한 열 처리 후의 "수취" 1,000 Å SiO2/Si(100)에 대한 스펙트럼에서 시각화될 수 있고, 이는 가능하게는 TOF-SIMS 측정 이전의 샘플링 취급의 결과이다.
도 11의 두 TOF-SIMS 스펙트럼 비교 결과, 두 샘플에 대한 표면 하이드록실 농도는 대체로 유사한 것으로 나타났다. 즉, 열 처리가 "수취" 1,000 Å SiO2/Si(100) 샘플의 하이드록실 농도를 유의적인 양만큼 감소시키지 못했다.
두 샘플에 대한 표면 농도를 나타내는 정규화된 SiOH+ 양이온, 신호 강도(질량 45 amu) 및 SiH+ 양이온, 신호 강도(질량 29 amu)에 대해 정량화된 데이터는 하기 표에 제시되어 있다:
Figure pct00008
실시예 7: 열 처리 실시예 2 (SC-1 세정된 이산화규소 샘플(1,000 Å 열 Si(100) 상의 SiO2))
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)("1,000 Å SiO2")의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, SC-1 세정된 1,000 Å SiO2 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 50 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 12에 제시되어 있다.
(계내 사중극자 질량 분석계(QMS)에 의해 측정된 바) 열 처리 단계 동안 발생하는 샘플로부터의 수분 배출의 트레이스가 도 12에 오버레이되어 있다. 이러한 수분 배출은 앞서 기술된 실라놀 축합 반응과 일관된다.
열 처리 프로세스 완료 후, 'SC-1 세정된' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 (2.3 Torr 압력에서) 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정된' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00009
비교를 위한 열 처리된, 및 열 처리되지 않은 'SC-1 세정된' 1,000 Å SiO2/Si(100) 샘플의 TOF-SIMS 스펙트럼은 도 13에 도시되어 있다. 도 13에서, 나트륨(Na) 및 칼륨(K) 형태의 일부 환경 오염이 열 처리 후의 "SC-1 세정된" 1,000 Å SiO2/Si(100)에 대한 스펙트럼에 존재하고, 이는 가능하게는 TOF-SIMS 측정 이전의 샘플링 취급의 결과라는 것을 알 수 있다.
도 13의 두 TOF-SIMS 스펙트럼 비교 결과, 두 샘플 사이의 표면 하이드록실 농도는 매우 상이한 것으로 나타났다. 즉, 열 처리가 열 처리로 프로세싱되지 않은 샘플과 비교하여 "SC-1 세정된" 1,000 Å SiO2/Si(100) 샘플의 하이드록실 농도를 유의적인 양만큼 크게 감소시켰다.
두 샘플에 대한 정규화된 SiOH 및 SiH 표면 농도에 대해 정량화된 데이터는 하기 표에 제시되어 있다:
Figure pct00010
실시예 8: 'SC-1 세정된, HF 에칭된' 1,000 Å Si(100) 상의 SiO2 샘플의 열 처리
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2")의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스 스트림을 사용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 14에 제시되어 있다.
열 처리 프로세스 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 (2.3 Torr 압력에서) 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00011
비교를 위한 열 처리된, 및 열 처리되지 않은 'SC-1 세정된' 1,000 Å SiO2/Si(100) 샘플의 TOF-SIMS 스펙트럼은 도 15에 제시되어 있다. 도 15를 참조하면, 나트륨(Na) 및 칼륨(K) 형태의 일부 환경 오염 또한 열 처리 후의 "SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100)에 대한 스펙트럼에 존재하고고, 이는 가능하게는 TOF-SIMS 측정 이전의 샘플링 취급의 결과임을 알 수 있다.
두 TOF-SIMS 스펙트럼 비교 결과, 두 샘플 사이의 표면 하이드록실 농도는 매우 상이한 것으로 나타났다. 즉, 열 처리가 열 처리로 프로세싱되지 않은 샘플과 비교하여 "SC-1 세정된" 1,000 Å SiO2/Si(100) 샘플의 하이드록실 농도를 유의적인 양만큼 크게 감소시켰다. 두 샘플에 대한 정규화된 SiOH 및 SiH 표면 농도에 대해 정량화된 데이터는 하기 표 및 도 16에 제시되어 있다.
Figure pct00012
TOF-SIMS 측정은 열 처리 전 및 열 처리 후 상태인 실시예 6-8에 기술된 각 샘플에 대하여 관찰된 정규화된 SiOH+ 이온 강도를 보여준다. 하기 중요한 관찰결과는 이러한 데이터 세트로부터 추론된다:
첫째로, 수취 샘플(그의 표면 하이드록실 농도가 그의 표준 상태 초과로 증가되지 않은 것)은 열 처리 단계 후, 표면 하이드록실 농도에서 극소량의 변화를 보인다. 열 처리 후 상기 샘플에 대한 표면 하이드록실 농도의 주된 감소는 없었다.
다음으로, SC-1 샘플 및 SC-1 + HF 샘플, 둘 모두, 그의 표면 하이드록실 농도를 증가시키는 단계를 받지 않은 수취 샘플과 비교하여 증가된 표면 하이드록실 농도를 가졌다.
마지막으로, SC-1 세정된 샘플 및 SC-1 세정된 + HF 에칭된 샘플, 둘 모두, 열 처리 후에 표면 하이드록실 농도가 열 처리 후의 수취 샘플에 대하여 측정된 농도보다 훨씬 아래인 농도까지 급격한 감소를 보인다.
단계 3: 기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계
실시예 9: Si(100) 표면 상이 아닌, 상기 단계에 의해 제공된 SiO2 표면 상에 유기실란 기반 부동태화 층을 선택적으로 형성한다.
이어서, 상기 단계에 의해 제공된 표면을 추가로 프로세싱하여, Si(100) 표면 상의 하이드라이드 기 사이의 반응은 막으면서, 기상 반응을 이용하여 SiO2 표면 상의 남은 하이드록실 기를 유기실란 전구체 분자와 반응시켰다. 후속 필름 증착 프로세스 동안 반응성 핵형성 부위로서 작용하는 데 이용가능한 하이드록실 기를 (매우 크게) 제거함으로써 생성된 SiO2 표면은 가능한 한 완전하게 부동태화된다.
본 실시예에서 시험된 구체적인 분자로는 하기를 포함한다:
ISi(CH3)3; BrSi(CH3)3 ; ClSi(CH3)3; (CH3)2NSi(CH3)3
ClSi(CH2CH2CH3)3
[ClSi(CH3)2]2(CH2)2
CH3)2NSi(CH2CH2CH3)3
[ClSi(CH3)2]2(CH2)2 및 (CH3)2NSi(CH3)3(조합 사용).
상기 개시된 유형의 분자를 사용하여 부동태화 층을 선택적으로 형성하는 데 사용될 수 있는 잠재적 기상 프로세스는 다수 존재한다. 일부 구체적인 예는 하기 섹션에서 제공된다.
실시예 A: 모노포달 전구체
상기 상세하게 설명된 바와 같이 프로세싱된 SiO2 및 Si(100) 샘플의 혼합물을 반응기 시스템에서 열 처리 종료시, 20 sccm 초고순도 N2 흐름하에 2.5 Torr 압력 및 420℃의 온도에서 증착시킨다. 감압에서 초고순도 N2 흐름을 유지하면서, 샘플을 270℃로 냉각시키고, 상기 온도에서 10분 동안 평형화시킨다. 이어서, SiO2 샘플을 하기 반응 순서에 노출시킴으로써 선택적으로 부동태화한다:
(1) 시스템으로의 N2 흐름을 정지시키고, 시스템 기저 압력에 도달할 때까지, 반응기 튜브 및 가스 패널을 진공 배기시킨다.
(2) 가스 패널을 반응기 시스템으로부터 격리시키고(정적 진공 조건), 샘플을 함유하는 튜브는 계속 펌핑하면서, 화합물의 실온 증기압 ~72 Torr까지 라인을 기상 (CH3)2NSi(CH3)3으로 충전시킨다.
(3) 이어서, 튜브를 진공 펌프로부터 격리시키고, 기상 (CH3)2NSi(CH3)3으로부터 튜브를 격리시키는 밸브를 열어 (CH3)2NSi(CH3)3을 가열된 튜브 내로 도입시킨다.
(4) 튜브를, 액체 (CH3)2NSi(CH3)3을 함유하는 버블러로의 밸브, 및 가스 패널을 튜브로부터 격리시키는 밸브, 둘 모두를 닫는 시점에 20 Torr의 작동 압력((CH3)2NSi(CH3)3으로 구성)까지 충전시킨다.
(5) 가열된 튜브 중의 (CH3)2NSi(CH3)3의 화학적 전하를 20분 동안 SiO2 기판 표면과 반응시킨다. 상기 시간 동안 가스 패널 중에 남아있는 (CH3)2NSi(CH3)3을 다음 화학 용량 제조에서 제거한다.
(6) 20분 동안 반응시킨 후, 20 sccm 초고순도 N2의 흐름을 가스 패널에서 개시한 후, 이어서, 이를 반응기 시스템으로 유도하고, 여기서, 압력을 200 Torr로 증가시킨 후, 연속된 N2 흐름하에 격리 밸브를 열고, 화학물질/초고순도 N2 혼합물을 튜브로부터 진공 배기시킨다.
(7) N2 흐름을 1분 동안 2.5 Torr 압력으로 유지한 후 종료한다. 이어서, 튜브 및 가스 패널을 기저 압력까지 진공 배기시킨다(진공 배기 시간은 사용되는 전구체에 강력하게 의존하는데, 전형적으로는 1-3분이 요구된다).
(8) 이어서, Si(100)-H 표면 상에서는 부동태화를 형성하지 않으면서, SiO2 표면 상에서는 트리메틸실릴 표면 부동태화를 선택적으로 형성할 수 있도록 2회에 걸쳐 단계 (2) - (7)을 반복한다.
(9) 3회의 화학적 노출 완료 후, 튜브 및 가스 패널을 기저 압력까지 진공 배기시킨 후, 2.5 Torr 압력으로 튜브를 통해 ~20 sccm N2 흐름을 도입하였다. 샘플을 실온으로 냉각시키면서, 상기 조건을 유지시켰다.
샘플을 실온으로 냉각시킨 후, 샘플을 특징화할 수 있도록 이를 반응기 시스템으로부터 제거한다. 본 실시예에 기술된 실험으로부터 얻은 대표적인 데이터는 도 17에 제시되어 있으며, 이는 하기 데이터 세트로 구성된다:
(a) 두 실험('건식 실행' 및 '라이브' 실행) 각각에 대한 대조군 샘플; 수취; SC-1 세정 후; SC-1 세정 + HF 에칭 후.
(b) (단계 I-III을 거친 후, 이어서, 단계 (1)-(9)에 개요된 예를 통해 프로세싱되되, 단, (CH3)2NSi(CH3)3이 아닌, 오직 N2만이 본 실험 동안 도입된 것인) '건식 실행' 샘플: 이는 모든 전처리 단계(단계 I-III)가 출발 표면(즉, SiO2 표면 상의 하이드록실 표면 말단부 및 Si(100) 표면 상의 하이드라이드 표면 말단부)에 미치는 영향도 정의한다.
(상기 단계를 통해 프로세싱된 후, 이어서, 실시예 A의 단계 (1)-(9)에 개요된 실시예를 통해 실행된) '라이브 실행' 샘플.
도 17을 참조하면, 두 실험 모두로부터의 대조군 샘플의 측정값은 매우 유사하고, 개발된 세정 프로세스의 반복력이 높다는 것을 반영한다. 가장 중요한 데이터 세트는 라이브 실행 샘플의 것이다. 이는 트리메틸실릴 표면 부동태화이 Si(100) 표면이 아닌, SiO2 표면 상에 선택적으로 형성되었다는 결론과 매우 일치하는 특성을 나타낸다. 즉, SiO2 표면은 프로세싱 후에 (100°에 달하는), 원하는 부동태화 층이 SiO2 표면 상에서 형성되었음을 시사하는, 높은 물 접촉각을 나타낸 반면, Si(100) 표면은 (어떤 부동태화 층도 Si(100) 표면 상에서는 형성되지 않았음을 시사하는) 유의적으로 감소된 물 접촉각을 나타낸다.
Si(100) 접촉각 감소는 반응기 시스템으로부터의 샘플 제거시 Si(100) 샘플 표면 상에서의 대기 산화와 관련이 있다. 이는 두 건식 실행 및 라이브 실행, 둘 모두로부터의 Si(100) 샘플의 물 접촉각을 시간의 함수로서 추적함으로써 뿐만 아니라, 세정 및 에칭되었지만, 반응기 시스템 안에 놓이지 않은 (즉, HF 에칭 단계 후 대기에서 방치된) 샘플와 관련하여 데이터를 작성함으로써 입증되었다. 모든 경우에서, 접촉각은, 당업자에 의해 이산화규소의 물 접촉각인 것으로 인정받은 값인 ~41.9°에 도달할 때까지 계속해서 감소한다. 추가로, HF 에칭을 받지 않은 Si(100) 샘플은 (이는 HF 에칭 단계 부재하에서 하이드록실화된 SiO2 표면이 되는 바, 당업자가 예상하는 바와 같이) SiO2 표면과 매우 유사하게 물 접촉각 >96°을 나타낸다. 이러한 거동은 Si(100) 표면 상에서는 어떤 트리메틸실릴 표면 부동태화도 형성되지 않는다는 것과 일관되는 것이다.
실시예 B: 바이포달 전구체
상기 상세하게 설명된 단계를 통해 프로세싱된 SiO2 및 Si(100) 샘플의 혼합물을 반응기 시스템에서 열 처리 종료시, 20 sccm 초고순도 N2 흐름하에 2.5 Torr 압력 및 420℃의 온도에서 증착시킨다. 감압에서 초고순도 N2 흐름을 유지하면서, 샘플을 405℃로 냉각시키고, 상기 온도에서 10분 동안 평형화시킨다. 이어서, SiO2 샘플을 하기 반응 순서에 노출시킴으로써 선택적으로 부동태화한다:
(1) 시스템으로의 N2 흐름을 정지시키고, 시스템 기저 압력에 도달할 때까지, 반응기 튜브 및 가스 패널을 진공 배기시킨다.
(2) 가스 패널을 반응기 시스템으로부터 격리시키고(정적 진공 조건), 샘플을 함유하는 튜브는 계속 펌핑하면서, 화합물의 실온 증기압 0.4 Torr까지 라인을 기상 [ClSi(CH3)2]2(CH2)2로 충전시킨다.
(3) 이어서, 튜브를 진공 펌프로부터 격리시키고, 기상[ClSi(CH3)2]2(CH2)2로부터 튜브를 격리시키는 밸브를 열어 [ClSi(CH3)2]2(CH2)2를 가열된 튜브 내로의 유입을 허용하기 시작한다.
(4) 튜브를, 액체 [ClSi(CH3)2]2(CH2)2를 함유하는 버블러로의 밸브, 및 가스 패널을 튜브로부터 격리시키는 밸브, 둘 모두를 닫는 시점에 0.200 내지 0.245 Torr의 작동 압력([ClSi(CH3)2]2(CH2)2로 구성)까지 충전시킨다.
(5) 가열된 튜브 중의 [ClSi(CH3)2]2(CH2)2의 화학적 전하를 20분 동안 SiO2 기판 표면과 반응시킨다. 상기 시간 동안 가스 패널 중에 남아있는 [ClSi(CH3)2]2(CH2)2 를 다음 화학 용량 제조에서 진공 바이패스 매니폴드를 사용하여 진공 배기시킨다.
(6) 10분 동안 반응시킨 후, 20 sccm 초고순도 N2의 흐름을 가스 패널에서 개시한 후, 이어서, 이를 반응기 시스템으로 유도하고, 여기서, 압력을 200 Torr로 증가시킨 후, 연속된 N2 흐름하에 격리 밸브를 열고, 화학물질/초고순도 N2 혼합물을 튜브로부터 진공 배기시킨다.
(7) N2 흐름을 1분 동안 2.5 Torr 압력으로 유지한 후 종료한다. 이어서, 튜브 및 가스 패널을 기저 압력까지 진공 배기시킨다(진공 배기 시간은 사용되는 전구체에 강력하게 의존하는데, 전형적으로는 1-3분이 요구된다).
(8) 이어서, Si(100)-H 표면 상에서는 부동태화를 형성하지 않으면서, SiO2 표면 상에서는 비스-디메틸실릴에탄 표면 부동태화를 선택적으로 형성할 수 있도록 9회에 걸쳐 단계 (2) - (7)을 반복한다.
(9) 원하는 횟수만큼 사이클 수행을 완료한 후, 튜브 및 가스 패널을 기저 압력까지 진공 배기시킨 후, 샘플을 함유하는 튜브를 실온으로 냉각시키면서, 2.5 Torr 압력으로 ~20 sccm N2 흐름을 가스 패널을 통해 튜브로 유도하고, 유지시킨다.
샘플을 실온으로 냉각시킨 후, 샘플을 특징화할 수 있도록 이를 반응기 시스템으로부터 제거한다. 방금 기술된 실험으로부터 얻은 대표적인 데이터는 도 18에 제시되어 있다. 이는 하기 데이터 세트로 구성된다:
(a) 두 실험('건식 실행' 및 '라이브' 실행) 각각에 대한 대조군 샘플; 수취; 수취; SC-1 세정 후; SC-1 세정 + HF 에칭 후.
(b) (단계 I-III을 통해 프로세싱된 후, 이어서, 실시예 B의 단계 (1)-(9)에 개요된 실시예를 통해 실행된) '라이브 실행' 샘플.
본 실시예에 대해 제공되고, 도 18에 제시되어 있는 데이터는, 대조군으로부터의 측정값이 공유된 실시예 A의 것과 거의 동일하고, Si(100) 라이브 실행 샘플이 실시예 A에서 Si(100) 샘플에 대해 관찰된 것과 거의 동일한 접촉각을 보이고(이는 다시 한번, 이 경우, 대기 산화를 시사), SiO2 샘플의 경우, 부동태화 전구체에의 노출 후, 접촉각에서는 크고, 긍정적인 변화가 있다는 점에서 상기 공유된 실시예 1과 매우 유사하다.
실시예 10: 열 처리 프로세싱이 수행된 270℃에서의 (CH3)2NSi(CH3)3(디메틸아미노트리메틸실란)을 이용한, Si(100) 상이 아닌, 'SC-1 세정된, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 선택적 형성
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 19에 제시되어 있다.
열 처리 완료 후, 샘플 온도를 270℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 270℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 약 1 mTorr의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노트리메틸실란 [(CH3)2NSi(CH3)3]으로 19.9 Torr 압력까지 충전시킨 후, 20분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)2NSi(CH3)3을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 20.8 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 (CH3)2NSi(CH3)3을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 20.5 Torr였다. 이어서, 제1 및 제2 용량과 동일한 방식으로 제3 화학 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00013
샘플은 또한 X선 광전자 분광법(XPS: X-Ray Photoelectron Spectroscopy)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다:
Figure pct00014
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 20에 제시되어 있다. 도 20의 Si(100) TOF-SIMS 스펙트럼에서 트리메틸실릴 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다. 이러한 결론은 또한 샘플에 대한 물 접촉각 측정 및 샘플에 대한 AFM 표면 조도 측정에 의해 뒷받침된다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00015
Si(100) 샘플 상의 트리메틸실릴 표면 부동태화와 연관된 이온 중 일부에 대한 트레이스 신호에 관한 관찰결과는 하기 표에서 관찰될 수 있는 바와 같이 (디메틸아미노트리메틸실란이 반응기 시스템 내로 도입되지 않은) 대조군 샘플에서 관찰된 수준과 일관된다.
Figure pct00016
실시예 11(비교): 열 처리 프로세싱이 수행되지 않은 270℃에서의 (CH3)2NSi(CH3)3 (디메틸아미노트리메틸실란)을 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플을 270℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노트리메틸실란 [(CH3)2NSi(CH3)3]으로 20.8 Torr 압력까지 충전시킨 후, 20분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)2NSi(CH3)3을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 21.0 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 (CH3)2NSi(CH3)3을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 21.4 Torr였다. 이어서, 제1 및 제2 용량과 동일한 방식으로 제3 화학 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00017
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00018
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 21에 제시되어 있다. 도 21을 참조하면, Si(100) TOF-SIMS 스펙트럼에서 트리메틸실릴 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다. 실시예 1의 샘플과의 비교 결과, 열 처리를 받지 않은 샘플의 표면 상의 잔류 하이드록실 농도가 본 발명의 교시에 따라 열 처리를 받은 샘플의 것보다 현저히 높은 것으로 나타났다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00019
실시예 12: 열 처리 프로세싱이 수행된 370℃에서의 [Cl(CH3)2Si]2(CH2)2(1,2-비스-클로로디메틸실릴에탄)를 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스 공급원을 사용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 22에 제시되어 있다.
열 처리 완료 후, 샘플 온도를 370℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 1,2-비스-클로로디메틸실릴에탄 [(Cl(CH3)2Si]2(CH2)2]로 0.24 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.25 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제12 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.24 Torr 내지 0.26 Torr로 약간 달랐다. 이어서, 제1 및 제2 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00020
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00021
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 23에 제시되어 있다. 도 23을 참조하면, Si(100) TOF-SIMS 스펙트럼에서 비스-디메틸실릴에탄 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00022
실시예 13(비교): 열 처리 프로세싱이 수행되지 않은 370℃에서의 [Cl(CH3)2Si]2(CH2)2(1,2-비스-클로로디메틸실릴에탄)를 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 1,2-비스-클로로디메틸실릴에탄 [(Cl(CH3)2Si]2(CH2)2]로 0.24 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.23 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제12 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.23 Torr 내지 0.25 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00023
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00024
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 24에 제시되어 있다. 도 24를 참조하면, Si(100) TOF-SIMS 스펙트럼에서 비스-디메틸실릴에탄 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00025
실시예 14(비교): 열 처리 프로세싱이 수행된 370℃에서의[Cl(CH3)2Si]2(CH2)2 (1,2-비스-클로로디메틸실릴에탄)를 이용한, Si(100) 상이 아닌, '수취,' 1,000 Å SiO2/Si(100) 상에서의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거하였다.
이어서, 지연 시간을 가능한 한 최소화하면서, 상기 '수취,' 1,000 Å SiO2 및 "SC-1 세정, HF 에칭된" Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 25에 제시되어 있다.
열 처리 완료 후, 샘플 온도를 370℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 1,2-비스-클로로디메틸실릴에탄 [(Cl(CH3)2Si]2(CH2)2]로 0.24 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.25 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제12 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.24 Torr 내지 0.26 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, '수취' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'수취' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 '수취' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00026
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다:
Figure pct00027
'수취' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 26에 제시되어 있다. 도 26을 참조하면, Si(100) TOF-SIMS 스펙트럼에서 비스-디메틸실릴에탄 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 '수취' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다.
"수취" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00028
실시예 15(비교): 열 처리 프로세싱이 수행되지 않은 370℃에서의 [Cl(CH3)2Si]2(CH2)2(1,2-비스-클로로디메틸실릴에탄)를 이용한, Si(100) 상이 아닌, '수취,' 1,000 Å SiO2/Si(100) 상에서의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거하였다.
이어서, 지연 시간을 가능한 한 최소화하면서, 상기 '수취,' 1,000 Å SiO2 및 "SC-1 세정, HF 에칭된" Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 40 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 1,2-비스-클로로디메틸실릴에탄 [(Cl(CH3)2Si]2(CH2)2]로 0.23 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.23 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제12 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.23 Torr 내지 0.25 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 비스-디메틸실릴에탄 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, '수취' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'수취' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, 열 처리 프로세싱을 받지 않은 '수취' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00029
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00030
'수취' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 27에 제시되어 있다. 도 27을 참조하면, Si(100) TOF-SIMS 스펙트럼에서 비스-디메틸실릴에탄 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 '수취' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다.
"수취" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00031
실시예 16(비교): 515℃ 열 처리된 270℃에서의 (CH3)2NSi(CH3)3(디메틸아미노트리메틸실란)을 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스 공급원을 사용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
노의 최대 온도 증가율(ramping rate)(약 20℃/분)로 샘플을 515℃까지 온도를 증가시킴으로써 (2.3 Torr에서) 초고순도 N2 가스의 감압 퍼지하에 515℃ 열 처리를 수행하였다.
열 처리 완료 후, 샘플 온도를 270℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 270℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노트리메틸실란 [(CH3)2NSi(CH3)3]으로 20.0 Torr까지 충전시킨 후, 20분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)2NSi(CH3)3을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 20.8 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 (CH3)2NSi(CH3)3을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 20.0 Torr였다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 화학 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 비교를 위해, '수취' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00032
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다:
Figure pct00033
'SC-1 세정, HF 에칭된' 1,000 Å SiO2, '수취' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 28 내지 30에 제시되어 있다. 도 28 내지 30을 참조하면, Si(100) TOF-SIMS 스펙트럼에서 트리메틸실릴 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00034
실시예 17: 열 처리 프로세싱이 수행된 370℃에서의 [Cl(CH3)2Si]2(CH2)2(1,2-비스-클로로디메틸실릴에탄) 및 (CH3)2NSi(CH3)3(디메틸아미노트리메틸실란)를 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 및 '수취,' 1,000 Å SiO2/Si(100) 상에서의 비스-디메틸실릴에탄/트리메틸실릴 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, 그 중 일부를 SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스 공급원을 사용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취,' 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 8060 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 31에 제시되어 있다.
열 처리 완료 후, 샘플 온도를 370℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 1,2-비스-클로로디메틸실릴에탄 [(Cl(CH3)2Si]2(CH2)2]로 0.24 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.25 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제12 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.25 Torr 내지 0.26 Torr로 약간 달랐다. 이어서, 화학 용량의 디메틸아미노트리메틸실란의 제공을 개시하기 전 15분 동안에 걸쳐 반응기 튜브를 기저 압력으로 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노트리메틸실란 [(CH3)2NSi(CH3)3]으로 20.4 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)2NSi(CH3)3을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 20.3 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 (CH3)2NSi(CH3)3을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 19.8 Torr였다. 이어서, 제1 및 제2 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 및 도 32에 제시되어 있다:
Figure pct00035
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다:
Figure pct00036
도 32를 참조하면, '수취' 1,000 Å SiO2 샘플과 비교하여 "SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 샘플 상에서의 부동태화 종의 표면 커버리지가 훨씬 높다는 것이 스펙트럼으로부터 명백해진다. 비스-디메틸실릴에탄 및 트리메틸실릴 전구체 화합물로 처리된 샘플(실시예 10 및 15)에 대한 TOF-SIMS 스펙트럼과의 비교로부터 도출될 수 있는 바와 같이, 각 샘플로부터의 양이온의 분포는 비스-디메틸실릴에탄 및 트리메틸실릴 부동태화 종, 둘 모두의 존재와 일관된다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 '수취' 1,000 Å SiO2 샘플에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00037
실시예 18: 열 처리 프로세싱이 수행되지 않은 370℃에서의 [Cl(CH3)2Si]2(CH2)2(1,2-비스-클로로디메틸실릴에탄) 및 (CH3)2NSi(CH3)3(디메틸아미노트리메틸실란)을 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 상에서의 비스-디메틸실릴에탄/트리메틸실릴 표면 부동태화의 선택적 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2") 및 Si(100)의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, 그 중 일부를 SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 건조된 SC-1 세정된 샘플을 HF 에칭 배쓰 중의 침지에 적합한 테플론 보트 내에 배치시켰다. 이어서, 보트 및 샘플을, 21 ± 2℃인 HF 에칭 배쓰(51 ml 초고순도 HF(48-49%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 90초 동안 에칭되었다. 이어서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 HF 용액으로부터 제거하고, 증류된 탈이온수 중에 빠르게 침지시킨 후, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취,' 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 8060 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플 온도를 370℃로 승온시키면서, 튜브를 통과하는 20 sccm 초고순도 N2 흐름하에 2.5 Torr의 압력에서 수행하였다. 샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 1,2-비스-클로로디메틸실릴에탄 [(Cl(CH3)2Si]2(CH2)2]로 0.25 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.26 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제12 화학 용량의 (Cl(CH3)2Si]2(CH2)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.25 Torr 내지 0.26 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였다. 이어서, 화학 용량의 디메틸아미노트리메틸실란의 제공을 개시하기 전 15분 동안에 걸쳐 반응기 튜브를 기저 압력으로 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노트리메틸실란 [(CH3)2NSi(CH3)3]으로 20.4 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)2NSi(CH3)3을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 20.3 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 (CH3)2NSi(CH3)3을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 19.8 Torr였다. 이어서, 제1 및 제2 용량과 동일한 방식으로 제3 내지 제12 용량을 제거하였고, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 및 도 33에 제시되어 있다.
Figure pct00038
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00039
도 33을 참조하면, '수취' 1,000 Å SiO2 샘플과 비교하여 "SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 샘플 상에서의 부동태화 종의 표면 커버리지가 훨씬 높다는 것이 스펙트럼으로부터 명백해진다. 비스-디메틸실릴에탄 및 트리메틸실릴 전구체 화합물로 처리된 샘플(실시예 10 및 15)에 대한 TOF-SIMS 스펙트럼과의 비교로부터 도출될 수 있는 바와 같이, 각 샘플로부터의 양이온의 분포는 비스-디메틸실릴에탄 및 트리메틸실릴 부동태화 종, 둘 모두의 존재와 일관된다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 '수취' 1,000 Å SiO2 샘플에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00040
실시예 19: 열 처리 프로세싱이 수행된 270℃에서의 (CH3)2NSi(CH2CH2CH3)3 (디메틸아미노트리-n-프로필실란)을 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100), "수취" 1,000 Å SiO2/Si(100) 상에서의 트리-n-프로필실릴 표면 부동태화의 선택적 형성.
"SC-1 세정, HF 에칭된" 1,000Å SiO2 샘플에 대해 부동태화 층 형성 이전에 실시예 12에서 샘플에 대해 기술된 것과 동일한 프로세스를 수행하였다. 이어서, 하기 프로세스를 사용하여 "SC-1 세정, HF 에칭된" Si(100) 샘플이 아닌, "SC-1 세정, HF 에칭된" 1,000Å SiO2 샘플 상에서 트리-n-프로필실릴 부동태화 층을 선택적으로 형성하였다.
열 처리 완료 후, 샘플 온도를 370℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 270℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노(트리-n-프로필) 실란 (CH3CH2CH2)3SiN(CH3)2로 0.089 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3CH2CH2)3SiN(CH3)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.073 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제11 화학 용량의 (CH3CH2CH2)3SiN(CH3)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.070 Torr 내지 0.090 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제11 용량을 제거하였고, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리-n-프로필실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 및 도 34에 제시되어 있다:
Figure pct00041
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다:
Figure pct00042
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플에 대한 TOF-SIMS 스펙트럼은 도 34에 제시되어 있다. '수취' 1,000 Å SiO2 샘플과 비교하여 "SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 샘플 상에서의 부동태화 종의 표면 커버리지가 훨씬 높다는 것이 스펙트럼으로부터 명백해진다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 '수취' 1,000 Å SiO2 샘플에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00043
실시예 20: 열 처리 프로세싱이 수행되지 않은 270℃에서의 (CH3)2NSi(CH2CH2CH3)3 (디메틸아미노트리-n-프로필실란)을 이용한, Si(100) 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100), "수취" 1,000 Å SiO2/Si(100) 상에서의 트리-n-프로필실릴 표면 부동태화의 선택적 형성.
"SC-1 세정, HF 에칭된" 1,000Å SiO2 샘플에 대해 부동태화 층 형성 이전에 실시예 13에서 샘플에 대해 기술된 것과 동일한 프로세스를 수행하였다. 이어서, 하기 프로세스를 사용하여 "SC-1 세정, HF 에칭된" Si(100) 샘플이 아닌, "SC-1 세정, HF 에칭된" 1,000Å SiO2 샘플 상에서 트리-n-프로필실릴 부동태화 층을 선택적으로 형성하였다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취,' 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 60 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플 온도를 270℃로 승온시키면서, 튜브를 통과하는 20 sccm 초고순도 N2 흐름하에 2.5 Torr의 압력에서 수행하였다. 샘플을 270℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노(트리-n-프로필) 실란 (CH3CH2CH2)3SiN(CH3)2로 0.085 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3CH2CH2)3SiN(CH3)2를 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.079 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제11 화학 용량의 (CH3CH2CH2)3SiN(CH3)2를 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.081 Torr 내지 0.084 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제11 용량을 제거하였고, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리-n-프로필실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다:
Figure pct00044
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00045
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플에 대한 TOF-SIMS 스펙트럼은 도 35에 제시되어 있다. '수취' 1,000 Å SiO2 샘플과 비교하여 "SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 샘플 상에서의 부동태화 종의 표면 커버리지가 훨씬 높다는 것이 도 35의 스펙트럼으로부터 명백해진다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 '수취' 1,000 Å SiO2 샘플에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00046
실시예 21(비교): 445℃에서의 ClSi(CH2CH2CH3)3 (트리-n-프로필 클로로실란)을 이용한, 'SC-1 세정된,' 1,000 Å SiO2/Si(100) 및 "수취" 1,000 Å SiO2/Si(100) 상에서의 트리-n-프로필실릴 표면 부동태화의 선택적 형성.
"SC-1 세정된" 1,000Å SiO2 샘플에 대해 부동태화 층 형성 이전에 앞서 기술된 바와 같이 "SC-1" 세정 프로세스를 수행하였다. 이어서, 하기 프로세스를 사용하여 "SC-1 세정된" 1,000Å SiO2 샘플 및 "수취" 1,000 Å SiO2/Si(100) 샘플 상에서 트리-n-프로필실릴 부동태화 층을 선택적으로 형성하였다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정된,' 1,000 Å SiO2 및 '수취,' 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 30 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플 온도를 445℃로 승온시키면서, 튜브를 통과하는 20 sccm 초고순도 N2 흐름하에 2.5 Torr의 압력에서 수행하였다. 샘플을 445℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 트리-n-프로필 클로로실란 (CH3CH2CH2)3SiCl로 0.296 Torr 압력까지 충전시킨 후, 6분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 1분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3CH2CH2)3SiCl을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 0.320 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 내지 제10 화학 용량의 (CH3CH2CH2)3SiCl을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 0.300 Torr 내지 0.350 Torr로 약간 달랐다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 내지 제11 용량을 제거하였고, 'SC-1 세정된,' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리-n-프로필실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, N2 하에 보관하였다.
'SC-1 세정된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00047
실시예 22(비교): 220℃에서의 BrSi(CH3)3 (트리메틸브로모실란)을 이용한, 'SC-1 세정된,' 1,000 Å SiO2/Si(100) 및 "수취" 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 형성.
"SC-1 세정된" 1,000Å SiO2 샘플에 대해 부동태화 층 형성 이전에 앞서 기술된 바와 같이 "SC-1" 세정 프로세스를 수행하였다. 이어서, 하기 프로세스를 사용하여 "SC-1 세정된" 1,000Å SiO2 샘플 및 "수취" 1,000Å SiO2 샘플 상에서 트리메틸실릴 부동태화 층을 선택적으로 형성하였다. '수취' 샘플은 세정하지 않았다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정된,' 1,000 Å SiO2 및 '수취,' 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 40 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플 온도를 220℃로 승온시키면서, 튜브를 통과하는 20 sccm 초고순도 N2 흐름하에 2.5 Torr의 압력에서 수행하였다. 샘플을 220℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 트리메틸브로모실란 (CH3)3SiBr로 20.5 Torr 압력까지 충전시킨 후, 10분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 1분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)3SiBr을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 20.5 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 용량의 (CH3)3SiBr을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 19.5 Torr였다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 용량을 제거하였고, 'SC-1 세정된,' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, N2 하에 보관하였다.
'SC-1 세정된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00048
실시예 23(비교): 405℃에서의 ClSi(CH3)3 (트리메틸클로로실란)을 이용한, 'SC-1 세정된,' 1,000 Å SiO2/Si(100) 및 "수취" 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 형성.
"SC-1 세정된" 1,000Å SiO2 샘플에 대해 부동태화 층 형성 이전에 앞서 기술된 바와 같이 "SC-1" 세정 프로세스를 수행하였다. 이어서, 하기 프로세스를 사용하여 "SC-1 세정된" 1,000Å SiO2 샘플 및 "수취" 1,000Å SiO2 샘플 상에서 트리메틸실릴 부동태화 층을 선택적으로 형성하였다. '수취' 샘플은 세정하지 않았다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정된,' 1,000 Å SiO2 및 '수취,' 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 40 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플 온도를 405℃로 승온시키면서, 튜브를 통과하는 20 sccm 초고순도 N2 흐름하에 2.5 Torr의 압력에서 수행하였다. 샘플을 405℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 트리메틸클로로실란 (CH3)3SiCl로 3.35 Torr 압력까지 충전시킨 후, 5분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 1분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)3SiCl을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 24.7 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 용량의 (CH3)3SiBr을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 상기 용량의 압력은 1.9 Torr이고, 노출 시간은 10분이었다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 용량을 제거하였고, 'SC-1 세정된,' 1,000 Å SiO2 샘플 및 '수취' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, N2 하에 보관하였다.
'SC-1 세정된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00049
실시예 24: 열 처리 프로세싱이 수행된 370℃에서의 ISi(CH3)3 (요오도트리메틸실란)을 이용한, 'SC-1 세정된," 1,000 Å SiO2/Si(100) 및 "수취," 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2")의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, 그 중 일부를 SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정된,' 1,000 Å SiO2 및 "수취," 1,000 Å SiO2/Si(100) 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
이어서, 노 온도 제어기에 저장된 미리 프로그램화된 가열 프로세스 레시피를 사용하여 초고순도 N2 가스의 감압 퍼지하에 (2.3 Torr에서) 열 처리를 수행하였다. 2개의 독립 열전쌍(하나는 외부 튜브 온도 - '벽'을 나타내고, 하나는 샘플 온도를 나타낸다)의 열 트레이스는 도 36에 제시되어 있다.
열 처리 완료 후, 샘플 온도를 370℃로 감소시키면서, 2.5 Torr 압력에서 튜브를 통과하는 20 sccm 초고순도 N2 흐름을 유지시켰다. 샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 약 1 mTorr의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 요오도트리메틸실란 [ISi(CH3)3]으로 20.1 Torr 압력까지 충전시킨 후, 20분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 1분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 [ISi(CH3)3]을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 19.8 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 [ISi(CH3)3]을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 20.2 Torr였다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 화학 용량을 제거하였고, 'SC-1 세정된,' 1,000 Å SiO2 샘플 및 '수취,' 1,000 Å SiO2 샘플 상의 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정된' 1,000 Å SiO2 및 '수취' 1,000 Å SiO2 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00050
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00051
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플에 대한 TOF-SIMS 스펙트럼은 도 37에 제시되어 있다. 도 37을 참조하면, Si(100) TOF-SIMS 스펙트럼에서 트리메틸실릴 표면 부동태화와 연관된 피크에 관한 관찰결과가 없는 것은 부동태화 층 형성이 'SC-1 세정, HF 에칭된' 1,000 Å SiO2/Si(100) 기판으로 제한되었다는 것에 관한 증거가 된다. 즉, 부동태화는 Si(100) 표면 상이 아닌, 원하는 표면 상에 선택적으로 형성되었다. 이러한 결론은 또한 샘플에 대한 물 접촉각 측정 및 샘플에 대한 AFM 표면 조도 측정에 의해 뒷받침된다.
"SC-1 세정, HF 에칭된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00052
실시예 25: 열 처리 프로세싱이 수행되지 않은 370℃에서의 ISi(CH3)3 (요오도트리메틸실란)을 이용한, 'SC-1 세정된," 1,000 Å SiO2/Si(100) 및 "수취," 1,000 Å SiO2/Si(100) 상에서의 트리메틸실릴 표면 부동태화의 형성.
4" 웨이퍼로부터 1,000 Å 열 SiO2/Si(100)["1,000 Å SiO2")의 수개의 1.5" X 1.5" 쿠폰을 절단하고, 고순도 질소 스트림으로 블로잉 오프하여 입자를 제거한 후, 이어서, SC-1 세정 배쓰 중의 침지에 적합한 테플론 보트 내로 로딩하였다. 이어서, 보트 및 샘플을, 70 ± 5℃의 온도로 미리 가열된 SC-1 세정액(100 ml 초고순도 NH4OH(28%-30%); 200 ml 초고순도 H2O2(28-30%); 1,000 ml 증류된 탈이온 H2O) 중에 침지시켰고, 여기서, 10분 동안 세정되었다. 이어서, SC-1 세정된, 1,000 Å SiO2/Si(100) 및 Si(100) 샘플을 세정 배쓰로부터 제거하고, 증류된 탈이온수의 3회에 걸친 덤프 헹굼 사이클을 이용하여 화학물질을 헹구어냈다. 이어서, 입자에 대해 여과된 초고순도 N2 가스의 공급원을 이용하여 샘플을 철저히 건조시켰다.
이어서, 지연 시간을 가능한 한 최소화하면서, 'SC-1 세정된,' 1,000 Å SiO2 및 "수취" 1,000 Å SiO2 샘플 중 수개를 250 sccm 초고순도 N2 가스 흐름하에 실온에서 튜브형 노 반응기 시스템의 튜브 내로 로딩하였다. 이어서, 튜브를 실링하고, 80 mTorr 압력까지 천천히 진공 배기시켰다. 이어서, 20 sccm N2 흐름을 반응기 튜브 내로 도입하고, 감압 N2 퍼지를 (2.3 Torr 압력에서) 2분 동안 수행하였다. 이어서, N2 흐름을 정지시키고, 튜브를 ≤ 5 mTorr 압력까지 진공 배기시켰다. 시스템의 기저 압력에 도달할 때까지, 앞서 기술된 사이클 퍼징 단계를 반복하였다.
기저 압력에 도달한 후, 20 sccm의 초고순도 N2 흐름을 반응기 시스템 내로 도입하고, 감압 N2 퍼지(2.3 Torr에서)를 1시간 동안 수행하여 열 처리 개시 이전에 시스템 내의 배경 수분 농도를 감소시켰다. 당업자에게 공지되어 있는 바와 같이, 로드 락 시스템을 사용하면, 본원에 기술된 프로세스가 작동되도록 하는 데 요구되는 시스템 순도를 계속해서 제공하면서, 사이클 횟수를 크게 감소시킬 수 있을 것이다.
샘플 온도를 370℃로 승온시키면서, 튜브를 통과하는 20 sccm 초고순도 N2 흐름하에 2.5 Torr의 압력에서 수행하였다. 샘플을 370℃에서 10분 동안 평형화시키고, N2 흐름을 종료하고, 튜브를 1 mTorr 이하의 압력으로 완전히 진공 배기시켰다. 이어서, 튜브를 제1 화학 용량의 디메틸아미노트리메틸실란 [(CH3)2NSi(CH3)3]으로 20.8 Torr 압력까지 충전시킨 후, 20분 동안 상기 압력에서 격리시켰다. 이어서, 먼저, 1분 동안 2.5 Torr 압력에서 20 sccm N2의 동적 흐름을 도입한 후, 2분 동안 10 mTorr 이하의 압력으로 튜브를 진공 배기시키는 것을 포함한, 감압 N2 퍼징 및 진공 배기의 조합을 사용하여 제1 화학 용량을 챔버로부터 제거하였다. 이어서, 제2 화학 용량의 (CH3)2NSi(CH3)3을 제1 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제2 용량의 압력은 21.0 Torr였다. 이어서, 제1 화학 용량과 동일한 방식으로 제2 용량을 제거한 후, 제3 화학 용량을 도입하였다. 이어서, 제3 화학 용량의 (CH3)2NSi(CH3)3을 제1 및 제2 용량과 동일한 방식으로 도입하되, 단, 예외적으로, 제3 용량의 압력은 21.4 Torr였다. 이어서, 제1 및 제2 화학 용량과 동일한 방식으로 제3 화학 용량을 제거하였고, Si(100) 샘플 상이 아닌, 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 상에서 트리메틸실릴 표면 부동태화의 선택적 형성을 완료하였다.
선택적 부동태화 형성 완료 후, 'SC-1 세정된' 1,000 Å SiO2 및 "수취" 1,000 Å SiO2 샘플을 20 sccm 초고순도 N2 흐름하에 2.3 Torr 압력에서 실온으로 냉각시켰다. 이어서, 샘플을 500 sccm N2 흐름하에 언로딩하고, 빠르게 용기 내에 동봉한 후, 그의 특성에 관한 분석적 특징화를 위해 공급업체로 배송하기 위하여 N2 하에 보관하였다.
'SC-1 세정, HF 에칭된' 1,000 Å SiO2 및 Si(100) 샘플을 물 접촉각 측정, 원자력 현미경법(AFM) 및 비행 시간 2차 이온 질량 분석법(TOF-SIMS)을 이용하여 특징화하였다. 이들 분석 결과는 하기 표에 및 도 33에 제시되어 있다. 비교를 위해, 열 처리 프로세싱을 받지 않은 'SC-1 세정, HF 에칭된' 1,000 Å SiO2 샘플 또한 유사한 방식으로 특징화하였다. 이들 분석 결과는 하기 표에 제시되어 있다.
Figure pct00053
샘플은 또한 X선 광전자 분광법(XPS)에 의해 분석하였고, 결과는 하기 표에 제시되어 있다.
Figure pct00054
'SC-1 세정된' 1,000 Å SiO2 및 "수취" 1,000 Å SiO2 샘플에 대한 TOF-SIMS 스펙트럼은 도 38에 제시되어 있다.
"SC-1 세정된" 1,000 Å SiO2/Si(100) 및 Si(100)에 대한 질량 45 amu, 29 amu, 43 amu, 59 amu 및 73 amu하의 양이온에 대한 정규화된 이온 강도는 하기 표에 제시되어 있다.
Figure pct00055
본 발명의 원리는 상기에 바람직한 실시양태와 관련하여 기술되었지만, 이러한 설명은 단지 예로서 제공된 것이며, 본 발명의 범주를 제한하는 것이 아님을 명확하게 이해하여야 한다.

Claims (75)

  1. 선택적 필름 증착을 위한 기판의 표면의 제조 방법으로서, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하며, 상기 방법은
    기판을 습식 화학 조성물과 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계; 및
    처리된 기판을 약 200℃ 내지 약 600℃의 온도로 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계
    를 포함하는 제조 방법.
  2. 제1항에 있어서, 접촉 단계는 약 50℃ 내지 약 100℃의 온도에서 수행하는 제조 방법.
  3. 제2항에 있어서, 접촉 단계는 약 55℃ 내지 약 95℃의 온도에서 수행하는 제조 방법.
  4. 제3항에 있어서, 접촉 단계는 약 60℃ 내지 약 90℃의 온도에서 수행하는 제조 방법.
  5. 제1항에 있어서, 가열 단계는 약 200℃ 내지 약 550℃의 온도에서 수행하는 제조 방법.
  6. 제5항에 있어서, 가열 단계는 약 300℃ 내지 약 500℃의 온도에서 수행하는 제조 방법.
  7. 제5항에 있어서, 가열 단계는 약 400℃ 내지 약 450℃의 온도에서 수행하는 제조 방법.
  8. 제1항에 있어서, 가열 단계는 먼저 기판을 5-10분 동안 200℃ 미만의 온도로 가열한 후, 이어서, 온도를 약 400℃ 내지 약 500℃의 온도로 증가시킴으로써 수행하는 제조 방법.
  9. 제1항에 있어서, 습식 화학 조성물이 H2O2(28% 수성), NH4O4(28-30%), 및 H2O, HF(0.01%-5%(수성)), 퍼옥시드, 및 H2SO4/H2O2의 혼합물을 포함하는 조성물로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 제조 방법.
  10. 제1항에 있어서, SiH를 포함하는 제2 표면이 -SiH3, -SiH2, 및 -SiH로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 제조 방법.
  11. 제1항에 있어서, SiH를 포함하는 제2 표면이 Si(100)을 포함하는 제조 방법.
  12. 제1항에 있어서, SiO2를 포함하는 제1 표면이 -SiH3, -SiH2, 및 -SiH로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 제조 방법.
  13. 제1항에 있어서, SiH를 포함하는 제2 표면이 SiN을 포함하는 제조 방법.
  14. 제1항에 있어서, SiH를 포함하는 제2 표면이 금속 또는 금속 산화물을 포함하는 제조 방법.
  15. 기상 반응에 의한 기판의 표면의 선택적 부동태화 방법으로서, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하며, 상기 방법은
    기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계;
    처리된 기판을 약 200℃ 내지 약 600℃의 온도 및 10-10 Torr 내지 3,000 Torr 압력에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계;
    기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서,
    규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계
    를 포함하는 부동태화 방법:
    Figure pct00056

    상기 식에서,
    R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고;
    R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고;
    R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고;
    X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고;
    n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
  16. 제15항에 있어서, 규소 함유 화합물이 화학식 I로 표시되는 적어도 1종의 화합물인 부동태화 방법.
  17. 제16항에 있어서, 화학식 I로 표시되는 화합물이 요오도 트리스(3,3,3-트리플루오로프로필) 실란, 디메틸아미노 트리스(3,3,3-트리플루오로프로필) 실란, [(CF3CF2(CH2)6(CH3)2SiCl], 및 브로모 트리스(1,1,1-3,3,3-헥사플루오로-이소프로필) 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  18. 제15항에 있어서, 규소 함유 화합물이 화학식 II로 표시되는 화합물인 부동태화 방법.
  19. 제18항에 있어서, 화학식 II로 표시되는 화합물이 1,3-비스-클로로디메틸실릴(에탄); 1,3-비스-브로모디메틸실릴(에탄); 1,3-비스-요오도디메틸실릴(에탄); 1,3-비스-디메틸아미노-디메틸실릴(에탄); 1,3-비스-클로로디메틸실릴(프로판); 1,3-비스-브로모디메틸실릴(프로판); 1,3-비스-요오도디메틸실릴(프로판); 1,3-비스-디메틸아미노-디메틸실릴(프로판); 1,3-비스-클로로디메틸실릴(부탄); 1,3-비스-브로모디메틸실릴(부탄); 1,3-비스-요오도디메틸실릴(부탄); 및 1,3-비스-디메틸아미노-디메틸실릴(부탄)으로 이루어진 군으로부터 선택되는 부동태화 방법.
  20. 제15항에 있어서, 접촉 단계는 약 50℃ 내지 약 100℃의 온도에서 수행하는 부동태화 방법.
  21. 제20항에 있어서, 접촉 단계는 약 55℃ 내지 약 95℃의 온도에서 수행하는 부동태화 방법.
  22. 제21항에 있어서, 접촉 단계는 약 60℃ 내지 약 90℃의 온도에서 수행하는 부동태화 방법.
  23. 제15항에 있어서, 가열 단계는 약 200℃ 내지 약 650℃의 온도에서 수행하는 부동태화 방법.
  24. 제23항에 있어서, 가열 단계는 약 300℃ 내지 약 550℃의 온도에서 수행하는 부동태화 방법.
  25. 제24항에 있어서, 가열 단계는 약 400℃ 내지 약 500℃의 온도에서 수행하는 부동태화 방법.
  26. 제15항에 있어서, 가열 단계는 먼저 기판을 5-10분 동안 200℃ 미만의 온도로 가열한 후, 이어서, 온도를 약 400℃ 내지 약 500℃의 온도로 증가시킴으로써 수행하는 부동태화 방법.
  27. 제15항에 있어서, 습식 화학 조성물이 H2O2(28% 수성), NH4O4(28-30%), 및 H2O, HF(0.01%-5%(수성)), 퍼옥시드, 및 H2SO4/H2O2의 혼합물을 포함하는 조성물로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 부동태화 방법.
  28. 제15항에 있어서, SiH를 포함하는 제2 표면이 -SiH3, -SiH2, 및 -SiH로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 부동태화 방법.
  29. 제15항에 있어서, SiH를 포함하는 제2 표면이 Si(100)을 포함하는 부동태화 방법.
  30. 제15항에 있어서, SiO2를 포함하는 제1 표면이 -SiH3, -SiH2, 및 -SiH로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 부동태화 방법.
  31. 제15항에 있어서, SiH를 포함하는 제2 표면이 SiN을 포함하는 부동태화 방법.
  32. 제15항에 있어서, SiH를 포함하는 제2 표면이 금속 또는 금속 산화물을 포함하는 부동태화 방법.
  33. 제15항에 있어서, 노출 단계는 150℃ 내지 500℃의 온도에서 수행하는 부동태화 방법.
  34. 제15항에 있어서, 노출 단계는 150℃ 내지 450℃의 온도에서 수행하는 부동태화 방법.
  35. 기판의 표면 상에의 필름의 선택적 증착 방법으로서, 기판의 표면이 적어도 SiO2 및 초기 농도의 표면 하이드록실 기를 포함하는 제1 표면, 및 SiH를 포함하는 제2 표면을 포함하며, 상기 방법은
    기판을 습식 화학 조성물에 접촉시켜, 초기 농도의 표면 하이드록실 기에 비해 증가된 농도의 표면 하이드록실 기를 포함하는 처리된 기판을 수득하는 단계;
    처리된 기판을 약 200℃ 내지 약 600℃의 온도 및 10-10 Torr 내지 3,000 Torr 압력에서 가열하는 단계로서, 가열 단계는 제1 표면 상의 표면 하이드록실 기의 적어도 일부를 기판의 표면 상의 표면 실록산 기로 전환시키는 단계;
    기판을 가열 단계와 동일한 온도 또는 그 미만의 온도에서, 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택되는 규소 함유 화합물에 노출시키는 단계로서,
    규소 함유 화합물은 제1 표면의 표면 하이드록실 기와 반응하여 실릴 에테르 말단 표면을 형성하고, 이에 의해 표면을 부동태화하는 것인 단계; 및
    기판을 1종 이상의 증착 전구체에 노출시켜 선택적으로 제1 표면보다는 제2 표면 상에 필름을 증착시키는 단계
    를 포함하는 증착 방법:
    Figure pct00057

    상기 식에서,
    R1, R2, 및 R4는 각각 독립적으로 H, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고;
    R3은 C1 내지 C18 알킬 기, 분지형 C3 내지 C10 알킬 기, C4 내지 C10 헤테로사이클릭 기 및 C4 내지 C10 아릴 기로부터 선택되고;
    R5는 결합, C1 내지 C8 선형 알킬 기, 분지형 C3 내지 C8 알킬 기, C3 내지 C8 사이클릭 알킬 기, C3 내지 C10 헤테로사이클릭 기, C3 내지 C10 알케닐 기, C4 내지 C8 아릴 기, 및 C3 내지 C10 알키닐 기로부터 선택되고;
    X는 NRaRb, Cl, F, Br, I, -OCH3, 및 -OH로부터 선택되고, 여기서, Ra 및 Rb는 각각 독립적으로 H, C1 내지 C4 선형 알킬 기 및 C1-C4 분지형 알킬 기로부터 선택되고;
    n 및 n'는 각각 독립적으로 0 내지 5의 수로부터 선택되고, 여기서, n + n'는 > 1 및 < 11이다.
  36. 제35항에 있어서, 규소 함유 화합물이 화학식 I로 표시되는 화합물인 증착 방법.
  37. 제36항에 있어서, 화학식 I로 표시되는 화합물이 요오도 트리스(3,3,3-트리플루오로프로필) 실란, 디메틸아미노 트리스(3,3,3-트리플루오로프로필) 실란, [(CF3CF2(CH2)6(CH3)2SiCl], 및 브로모 트리스(1,1,1-3,3,3-헥사플루오로-이소프로필) 실란으로 이루어진 군으로부터 선택되는 증착 방법.
  38. 제35항에 있어서, 규소 함유 화합물이 화학식 II로 표시되는 화합물인 증착 방법.
  39. 제38항에 있어서, 화학식 II로 표시되는 화합물이 1,3-비스-클로로디메틸실릴(에탄); 1,3-비스-브로모디메틸실릴(에탄); 1,3-비스-요오도디메틸실릴(에탄); 1,3-비스-디메틸아미노-디메틸실릴(에탄); 1,3-비스-클로로디메틸실릴(프로판); 1,3-비스-브로모디메틸실릴(프로판); 1,3-비스-요오도디메틸실릴(프로판); 1,3-비스-디메틸아미노-디메틸실릴(프로판); 1,3-비스-클로로디메틸실릴(부탄); 1,3-비스-브로모디메틸실릴(부탄); 1,3-비스-요오도디메틸실릴(부탄); 및 1,3-비스-디메틸아미노-디메틸실릴(부탄)으로 이루어진 군으로부터 선택되는 증착 방법.
  40. 제35항에 있어서, 접촉 단계는 약 50℃ 내지 약 100℃의 온도에서 수행하는 증착 방법.
  41. 제40항에 있어서, 접촉 단계는 약 55℃ 내지 약 95℃의 온도에서 수행하는 증착 방법.
  42. 제41항에 있어서, 접촉 단계는 약 60℃ 내지 약 90℃의 온도에서 수행하는 증착 방법.
  43. 제35항에 있어서, 가열 단계는 약 200℃ 내지 약 650℃의 온도에서 수행하는 증착 방법.
  44. 제35항에 있어서, 가열 단계는 약 300℃ 내지 약 550℃의 온도에서 수행하는 증착 방법.
  45. 제44항에 있어서, 가열 단계는 약 400℃ 내지 약 500℃의 온도에서 수행하는 증착 방법.
  46. 제35항에 있어서, 가열 단계는 먼저 기판을 5-10분 동안 200℃ 미만의 온도로 가열한 후, 이어서, 온도를 약 400℃ 내지 약 500℃의 온도로 증가시킴으로써 수행하는 증착 방법.
  47. 제35항에 있어서, 습식 화학 조성물이 H2O2(28% 수성), NH4O4(28-30%), 및 H2O, HF(0.01%-5%(수성)), 퍼옥시드, 및 H2SO4/H2O2의 혼합물을 포함하는 조성물로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 증착 방법.
  48. 제35항에 있어서, SiH를 포함하는 제2 표면이 -SiH3, -SiH2, 및 -SiH로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 증착 방법.
  49. 제35항에 있어서, SiH를 포함하는 제2 표면이 Si(100)을 포함하는 증착 방법.
  50. 제35항에 있어서, SiO2를 포함하는 제1 표면이 -SiH3, -SiH2, 및 -SiH로 이루어진 군으로부터 선택되는 적어도 1종을 포함하는 증착 방법.
  51. 제35항에 있어서, SiH를 포함하는 제2 표면이 SiN을 포함하는 증착 방법.
  52. 제35항에 있어서, SiH를 포함하는 제2 표면이 금속 또는 금속 산화물을 포함하는 증착 방법.
  53. 제35항에 있어서, 노출 단계는 150℃ 내지 500℃의 온도에서 수행하는 증착 방법.
  54. 제35항에 있어서, 노출 단계는 150℃ 내지 450℃의 온도에서 수행하는 증착 방법.
  55. 제1항에 있어서, 처리된 기판의 가열은 적어도 2개의 별개의 가열 단계에서 달성하는 제조 방법.
  56. 제15항에 있어서, 처리된 기판의 가열은 적어도 2개의 별개의 가열 단계에서 달성하는 부동태화 방법.
  57. 제35항에 있어서, 처리된 기판의 가열은 적어도 2개의 별개의 가열 단계에서 달성하는 증착 방법.
  58. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리메틸실리콘 클로라이드; 트리메틸실리콘 브로마이드; 트리메틸실리콘 요오다이드; 디메틸아미노트리메틸 실란; 에틸메틸아미노트리메틸 실란; 디에틸아미노트리메틸 실란; 에틸프로필아미노트리메틸 실란; 디-프로필아미노트리메틸 실란; 에틸이소프로필아미노트리메틸 실란; 디-이소-프로필아미노트리메틸 실란; 디-n-부틸트리메틸 실란; 디-이소부틸트리메틸 실란; 및 디-sec-부틸트리메틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  59. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리에틸실리콘 클로라이드; 트리에틸실리콘 브로마이드; 트리에틸실리콘 요오다이드; 디메틸아미노트리에틸 실란; 에틸메틸아미노트리에틸 실란; 디에틸아미노트리에틸 실란; 에틸프로필아미노트리에틸 실란; 디-프로필아미노트리에틸 실란; 에틸이소프로필아미노트리에틸 실란; 디-이소-프로필아미노트리에틸 실란; 디-n-부틸트리에틸 실란; 디-이소부틸트리에틸 실란; 및 디-sec-부틸트리에틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  60. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리-n-프로필실리콘 클로라이드; 트리-n-프로필실리콘 브로마이드; 트리-n-프로필실리콘 요오다이드; 디메틸아미노트리-n-프로필 실란; 에틸메틸아미노트리-n-프로필 실란; 디에틸아미노트리-n-프로필 실란; 에틸프로필아미노트리-n-프로필실란; 디-프로필아미노트리-n-프로필 실란; 에틸이소프로필아미노트리-n-프로필 실란; 및 디-이소-프로필아미노트리-n-프로필 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  61. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리-이소프로필실리콘 클로라이드; 트리-이소프로필실리콘 브로마이드; 트리-이소프로필실리콘 요오다이드; 디메틸아미노트리-이소프로필 실란; 에틸메틸아미노 트리-이소프로필 실란; 디에틸아미노 트리-이소프로필 실란; 에틸프로필아미노트리-이소프로필 실란; 디-프로필아미노 트리-이소프로필 실란; 에틸이소프로필아미노 트리-이소프로필 실란; 및 디-이소-프로필아미노 트리-이소프로필 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  62. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리-n-부틸실리콘 클로라이드; 트리-n-부틸실리콘 브로마이드; 트리-n-부틸실리콘 요오다이드; 디메틸아미노트리-n-부틸 실란; 에틸메틸아미노 트리-n-부틸 실란; 및 디에틸아미노 트리-n-부틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  63. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리-이소부틸실리콘 클로라이드; 트리-이소부틸실리콘 브로마이드; 트리-이소부틸실리콘 요오다이드; 디메틸아미노트리-이소부틸 실란; 에틸메틸아미노 트리-이소부틸 실란; 및 디에틸아미노 트리-이소부틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  64. 제16항에 있어서, 화학식 I로 표시되는 화합물이 트리-sec부틸실리콘 클로라이드; 트리-sec부틸실리콘 브로마이드; 트리-sec부틸실리콘 요오다이드; 디메틸아미노트리-sec부틸 실란; 에틸메틸아미노 트리-sec부틸 실란; 디에틸아미노 트리-sec부틸 실란; 트리-n-펜틸실리콘 클로라이드; 트리-n-펜틸실리콘 브로마이드; 트리-n-펜틸실리콘 요오다이드; 및 디메틸아미노트리-n-펜틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  65. 제16항에 있어서, 화학식 I로 표시되는 화합물이 클로로-트리스(3,3,3-트리플루오로프로필)실란; 브로모-트리스(3,3,3-트리플루오로프로필)실란; 요오도-트리스(3,3,3-트리플루오로프로필)실란; 디메틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸메틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 디에틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 디-프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸이소프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 디-이소-프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 클로로-트리스(4,4,4-트리플루오로부틸)실란; 브로모-트리스(4,4,4-트리플루오로부틸)실란; 요오도-트리스(4,4,4-트리플루오로부틸)실란; 및 디메틸아미노-트리스(4,4,4-트리플루오로부틸)실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  66. 제16항에 있어서, 화학식 I로 표시되는 화합물이 옥틸디메틸실리콘 클로라이드; 옥틸디메틸실리콘 브로마이드; 옥틸디메틸실리콘 요오다이드; 디메틸아미노옥틸디메틸 실란; 데실디메틸실리콘 클로라이드; 데실디메틸실리콘 브로마이드; 데실디메틸실리콘 요오다이드; 디메틸아미노 데실디메틸 실란; 도데실디메틸실리콘 클로라이드; 도데실디메틸실리콘 브로마이드; 도데실디메틸실리콘 요오다이드; 디메틸아미노도데실디메틸 실란; 헥사데실디메틸실리콘 클로라이드; 헥사데실디메틸실리콘 브로마이드; 헥사데실디메틸실리콘 요오다이드; 디메틸아미노헥사데실디메틸 실란; 옥타데실디메틸실리콘 클로라이드; 옥타데실디메틸실리콘 브로마이드; 옥타데실디메틸실리콘 요오다이드; 디메틸아미노-옥타데실디메틸 실란; 클로로디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 디메틸아미노디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 클로로디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 디메틸아미노-디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 클로로디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 및 디메틸아미노-디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란으로 이루어진 군으로부터 선택되는 적어도 1종인 부동태화 방법.
  67. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리메틸실리콘 클로라이드; 트리메틸실리콘 브로마이드; 트리메틸실리콘 요오다이드; 디메틸아미노트리메틸 실란; 에틸메틸아미노트리메틸 실란; 디에틸아미노트리메틸 실란; 에틸프로필아미노트리메틸 실란; 디-프로필아미노트리메틸 실란; 에틸이소프로필아미노트리메틸 실란; 디-이소-프로필아미노트리메틸 실란; 디-n-부틸트리메틸 실란; 디-이소부틸트리메틸 실란; 및 디-sec-부틸트리메틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  68. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리에틸실리콘 클로라이드; 트리에틸실리콘 브로마이드; 트리에틸실리콘 요오다이드; 디메틸아미노트리에틸 실란; 에틸메틸아미노트리에틸 실란; 디에틸아미노트리에틸 실란; 에틸프로필아미노트리에틸 실란; 디-프로필아미노트리에틸 실란; 에틸이소프로필아미노트리에틸 실란; 디-이소-프로필아미노트리에틸 실란; 디-n-부틸트리에틸 실란; 디-이소부틸트리에틸 실란; 및 디-sec-부틸트리에틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  69. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리-n-프로필실리콘 클로라이드; 트리-n-프로필실리콘 브로마이드; 트리-n-프로필실리콘 요오다이드; 디메틸아미노트리-n-프로필 실란; 에틸메틸아미노트리-n-프로필 실란; 디에틸아미노트리-n-프로필 실란; 에틸프로필아미노트리-n-프로필실란; 디-프로필아미노트리-n-프로필 실란; 에틸이소프로필아미노트리-n-프로필 실란; 및 디-이소-프로필아미노트리-n-프로필 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  70. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리-이소프로필실리콘 클로라이드; 트리-이소프로필실리콘 브로마이드; 트리-이소프로필실리콘 요오다이드; 디메틸아미노트리-이소프로필 실란; 에틸메틸아미노 트리-이소프로필 실란; 디에틸아미노 트리-이소프로필 실란; 에틸프로필아미노트리-이소프로필 실란; 디-프로필아미노 트리-이소프로필 실란; 에틸이소프로필아미노 트리-이소프로필 실란; 및 디-이소-프로필아미노 트리-이소프로필 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  71. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리-n-부틸실리콘 클로라이드; 트리-n-부틸실리콘 브로마이드; 트리-n-부틸실리콘 요오다이드; 디메틸아미노트리-n-부틸 실란; 에틸메틸아미노 트리-n-부틸 실란; 및 디에틸아미노 트리-n-부틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  72. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리-이소부틸실리콘 클로라이드; 트리-이소부틸실리콘 브로마이드; 트리-이소부틸실리콘 요오다이드; 디메틸아미노트리-이소부틸 실란; 에틸메틸아미노 트리-이소부틸 실란; 및 디에틸아미노 트리-이소부틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  73. 제36항에 있어서, 화학식 I로 표시되는 화합물이 트리-sec부틸실리콘 클로라이드; 트리-sec부틸실리콘 브로마이드; 트리-sec부틸실리콘 요오다이드; 디메틸아미노트리-sec부틸 실란; 에틸메틸아미노 트리-sec부틸 실란; 디에틸아미노 트리-sec부틸 실란; 트리-n-펜틸실리콘 클로라이드; 트리-n-펜틸실리콘 브로마이드; 트리-n-펜틸실리콘 요오다이드; 및 디메틸아미노트리-n-펜틸 실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  74. 제36항에 있어서, 화학식 I로 표시되는 화합물이 클로로-트리스(3,3,3-트리플루오로프로필)실란; 브로모-트리스(3,3,3-트리플루오로프로필)실란; 요오도-트리스(3,3,3-트리플루오로프로필)실란; 디메틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸메틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 디에틸아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 디-프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 에틸이소프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 디-이소-프로필아미노-트리스(3,3,3-트리플루오로프로필)실란; 클로로-트리스(4,4,4-트리플루오로부틸)실란; 브로모-트리스(4,4,4-트리플루오로부틸)실란; 요오도-트리스(4,4,4-트리플루오로부틸)실란; 및 디메틸아미노-트리스(4,4,4-트리플루오로부틸)실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
  75. 제36항에 있어서, 화학식 I로 표시되는 화합물이 옥틸디메틸실리콘 클로라이드; 옥틸디메틸실리콘 브로마이드; 옥틸디메틸실리콘 요오다이드; 디메틸아미노옥틸디메틸 실란; 데실디메틸실리콘 클로라이드; 데실디메틸실리콘 브로마이드; 데실디메틸실리콘 요오다이드; 디메틸아미노 데실디메틸 실란; 도데실디메틸실리콘 클로라이드; 도데실디메틸실리콘 브로마이드; 도데실디메틸실리콘 요오다이드; 디메틸아미노도데실디메틸 실란; 헥사데실디메틸실리콘 클로라이드; 헥사데실디메틸실리콘 브로마이드; 헥사데실디메틸실리콘 요오다이드; 디메틸아미노헥사데실디메틸 실란; 옥타데실디메틸실리콘 클로라이드; 옥타데실디메틸실리콘 브로마이드; 옥타데실디메틸실리콘 요오다이드; 디메틸아미노-옥타데실디메틸 실란; 클로로디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 디메틸아미노디메틸(1H,1H-2H,2H-퍼플루오로옥틸)실란; 클로로디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 디메틸아미노-디메틸(1H,1H-2H,2H-퍼플루오로데실)실란; 클로로디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 브로모디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 요오도디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란; 및 디메틸아미노-디메틸(1H,1H-2H,2H-퍼플루오로도데실)실란으로 이루어진 군으로부터 선택되는 적어도 1종인 증착 방법.
KR1020197030288A 2017-03-17 2018-03-16 규소 포함 표면 상에의 선택적 증착 KR102338066B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762472724P 2017-03-17 2017-03-17
US62/472,724 2017-03-17
PCT/US2018/022836 WO2018170382A1 (en) 2017-03-17 2018-03-16 Selective deposition on silicon containing surfaces

Publications (2)

Publication Number Publication Date
KR20190120425A true KR20190120425A (ko) 2019-10-23
KR102338066B1 KR102338066B1 (ko) 2021-12-10

Family

ID=63523711

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197030288A KR102338066B1 (ko) 2017-03-17 2018-03-16 규소 포함 표면 상에의 선택적 증착

Country Status (8)

Country Link
US (1) US11670512B2 (ko)
EP (1) EP3596254A4 (ko)
JP (1) JP7085561B2 (ko)
KR (1) KR102338066B1 (ko)
CN (1) CN110612364B (ko)
SG (1) SG11201908486UA (ko)
TW (1) TWI688673B (ko)
WO (1) WO2018170382A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11834742B2 (en) 2021-01-29 2023-12-05 SK Hynix Inc. Method of deposition and method of fabricating electronic device using the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MX2019013111A (es) 2017-05-05 2019-12-16 Quantum Si Inc Sustratos que tienen reactividad de superficie modificada y propiedades antiincrustantes en reacciones biologicas.
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2021034491A1 (en) * 2019-08-21 2021-02-25 Fujifilm Electronic Materials U.S.A., Inc. Surface treatment compositions and methods
JP2022551523A (ja) 2019-10-11 2022-12-09 クアンタム-エスアイ インコーポレイテッド 気相における表面修飾
JP2023550351A (ja) * 2020-11-16 2023-12-01 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び酸素を含有する誘電体膜の誘電体への選択的堆積

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160095643A (ko) * 2015-02-03 2016-08-11 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007025565A1 (en) * 2005-09-01 2007-03-08 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
JP5326086B2 (ja) * 2007-10-02 2013-10-30 国立大学法人 香川大学 太陽エネルギー利用装置及びその製造方法
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR102579784B1 (ko) * 2015-05-01 2023-09-15 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160095643A (ko) * 2015-02-03 2016-08-11 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11834742B2 (en) 2021-01-29 2023-12-05 SK Hynix Inc. Method of deposition and method of fabricating electronic device using the same

Also Published As

Publication number Publication date
KR102338066B1 (ko) 2021-12-10
EP3596254A1 (en) 2020-01-22
CN110612364A (zh) 2019-12-24
SG11201908486UA (en) 2019-10-30
US20210118684A1 (en) 2021-04-22
EP3596254A4 (en) 2020-12-30
US11670512B2 (en) 2023-06-06
WO2018170382A1 (en) 2018-09-20
TW201835382A (zh) 2018-10-01
JP2020515713A (ja) 2020-05-28
JP7085561B2 (ja) 2022-06-16
CN110612364B (zh) 2022-04-05
TWI688673B (zh) 2020-03-21

Similar Documents

Publication Publication Date Title
KR102338066B1 (ko) 규소 포함 표면 상에의 선택적 증착
TWI722301B (zh) 在金屬材料表面上沉積阻擋層的方法
TWI709655B (zh) 金屬膜的選擇性沈積
CN109075021B (zh) 利用间歇性空气-水暴露的改良自组装单层阻挡
US9679808B2 (en) Selective formation of metallic films on metallic surfaces
US8778816B2 (en) In situ vapor phase surface activation of SiO2
US8071167B2 (en) Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
TWI634229B (zh) 於多孔低介電常數膜上提供孔密封層的方法和組合物
US10790141B2 (en) Surface-selective atomic layer deposition using hydrosilylation passivation
KR102306680B1 (ko) 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
JP2023105023A (ja) ケイ素含有表面への選択的堆積
US20180308685A1 (en) Low temperature selective epitaxial silicon deposition
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium
Sato et al. In situ vapor phase surface activation of SiO 2

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant