CN110612364A - 在含硅表面上的选择性沉积 - Google Patents

在含硅表面上的选择性沉积 Download PDF

Info

Publication number
CN110612364A
CN110612364A CN201880030927.6A CN201880030927A CN110612364A CN 110612364 A CN110612364 A CN 110612364A CN 201880030927 A CN201880030927 A CN 201880030927A CN 110612364 A CN110612364 A CN 110612364A
Authority
CN
China
Prior art keywords
silane
tri
sio
substrate
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880030927.6A
Other languages
English (en)
Other versions
CN110612364B (zh
Inventor
M·A·托德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN110612364A publication Critical patent/CN110612364A/zh
Application granted granted Critical
Publication of CN110612364B publication Critical patent/CN110612364B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Financial Or Insurance-Related Operations Such As Payment And Settlement (AREA)

Abstract

公开了一种用于在衬底上选择性沉积材料的方法,其中该衬底具有至少两个不同的表面,其中一个表面被钝化从而允许在未钝化的表面上选择性沉积。特别地,公开了一种用于制备用于选择性膜沉积的衬底表面的方法,其中所述衬底的表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,所述第二表面包含SiH,该方法包括以下步骤:使衬底与湿化学组合物接触以获得处理的衬底,其相对于所述初始浓度的表面羟基基团包含增加浓度的表面羟基基团;和将所述处理的衬底加热至约200℃至约600℃的温度,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的表面上的表面硅氧烷基团。

Description

在含硅表面上的选择性沉积
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求2017年3月17日提交的美国临时专利申请No.62/472,724的优先权,该临时专利申请的全部内容通过引用并入本文。
背景技术
本申请涉及相对于第二表面在衬底的第一表面上的选择性沉积。另外,进一步的处理可以用于随后相对于第一表面在第二表面上沉积不同的材料。
选择性沉积工艺主要因为当代光刻工艺的局限性而正在获得大量的动力,以使得能够基于不断减小的物理尺寸制造先进的半导体器件。传统上,在微电子工业中已经使用各种光刻和蚀刻工艺实现图案化。然而,由于光刻正在指数性地变得更复杂和昂贵,因此使用选择性沉积以形成自对准特征正在变得极具吸引力。自对准通孔结构的制造显著受益于可制造选择性沉积工艺。选择性沉积的另一个潜在应用是间隙填充。在间隙填充中,电介质“填充”膜从沟槽的底部朝向顶部选择性地生长。选择性沉积可用于其他应用,例如选择性侧壁沉积,其中膜选择性沉积在三维FIN-FET结构的暴露表面上。这会使得能够沉积侧壁间隔物而不需要复杂的图案化步骤。用于用作栅极电介质和电容器电介质的金属和金属氧化物膜的选择性沉积工艺也在半导体器件制造中具有很大的实用性。
在技术文献中有许多先前的例子涉及在具有暴露的多个不同化学表面的晶片上选择性地形成表面钝化涂层。这样做的目的是延迟或阻止在这些钝化表面上通过ALD工艺沉积膜,但不阻止在其中需要ALD沉积工艺以沉积膜的表面上的沉积。通常,由于表面的不完全钝化和/或由于ALD前体分子的物理吸附和随后ALD膜材料在钝化层自身内或在不期望沉积的表面上形成,工艺的选择性不足。本发明寻求克服现有技术的局限性,并提供用于使用ALD沉积工艺选择性沉积膜材料的改进方法。
发明内容
本公开的一个或多个实施方式涉及沉积膜的方法。提供一种衬底,其包含含有羟基封端(hydroxyl-terminated)表面的第一衬底表面和含有氢封端表面的第二衬底表面。使所述衬底暴露于多个处理步骤以相对于氢封端表面选择性地改变羟基封端表面,以使其在后续膜沉积步骤(其中膜选择性沉积在氢封端表面上)期间无反应性或反应性低于未处理的羟基封端表面。
在最广泛的方面,本发明提供了一种用于制备用于选择性膜沉积的衬底的表面的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:使所述衬底与湿化学组合物接触以获得经处理的衬底,其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;在约200℃至约600℃的温度下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团。
在另一个方面,本发明提供了一种用于通过气相反应选择性钝化衬底的表面的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:使所述衬底与湿化学组合物接触以获得经处理的衬底,其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;在约200℃至约600℃的温度和10-10托至3000托的压力下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团;在等于或低于所述加热步骤的温度下使所述衬底暴露于选自式I和式II的含硅化合物:
其中R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;X选自NRaRb、Cl、F、Br、I、-OCH3和-OH、其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;且n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,其中所述含硅化合物与所述第一表面的所述表面羟基基团反应以形成甲硅烷基醚封端表面,从而钝化所述表面。
在另一个方面,本发明提供了一种在衬底的表面上选择性沉积膜的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:使所述衬底与湿化学组合物接触以获得经处理的衬底,其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;在约200℃至约600℃的温度和10-10托至3000托的压力下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团;在等于或低于所述加热步骤的温度下使所述衬底暴露于选自式I和式II的含硅化合物:
其中R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;且n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,其中所述含硅化合物与所述第一表面的所述表面羟基基团反应以形成甲硅烷基醚封端的表面,从而钝化所述表面;和使所述衬底暴露于一种或多种沉积气体以相对于所述第一表面选择性地在所述第二表面上沉积膜。
本发明的实施方式可以单独使用或彼此组合使用。
附图说明
图1是本发明的热处理步骤对衬底表面的影响的示意图;
图2是根据本发明的钝化表面的示意图;
图3是根据本发明的实施方式在湿化学暴露步骤之后的衬底表面的TOF-SIMS谱图;
图4是说明根据本发明的实施方式,热处理步骤的温度分布相对于时间的图,以及显示H2O信号损失的相应QMS谱图;
图5是说明热暴露前和热暴露后衬底表面通过TOF-SIMS测量的标准化SiOH强度的图;
图6是根据本发明的实施方式在湿化学暴露步骤后的衬底表面的TOF-SIMS谱图;
图7是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图8是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图,以及显示H2O信号损失的相应QMS谱图;
图9是根据本发明的实施方式在湿化学暴露步骤后和热处理后的衬底表面的一系列TOF-SIMS谱图;
图10是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图11是根据本发明的实施方式原样的(as-received)和在热处理后的衬底表面的一系列TOF-SIMS谱图;
图12是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图,以及显示H2O信号损失的相应QMS谱图;
图13是根据本发明的实施方式在湿化学暴露步骤后和热处理后的衬底表面的一系列TOF-SIMS谱图;
图14是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图15是根据本发明的实施方式在湿化学暴露步骤后和热处理后的衬底表面的一系列TOF-SIMS谱图;
图16是说明在热暴露之前和之后衬底表面通过TOF-SIMS测量的标准化SiOH强度的图;
图17是绘制本发明的实施方式中在清洁、热处理和钝化步骤之后各种衬底表面的平均接触角的图表;
图18是绘制本发明的实施方式中在清洁、热处理和钝化步骤之后各种衬底表面的平均接触角的图表;
图19是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图20是根据本发明的实施方式在湿化学暴露步骤后和热处理后衬底表面的一系列TOF-SIMS谱图;
图21是根据本发明的实施方式在湿化学暴露步骤(无热处理)后衬底表面的一系列TOF-SIMS谱图;
图22是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图23是根据本发明的实施方式在湿化学暴露步骤后和热处理后衬底表面的一系列TOF-SIMS谱图;
图24是根据本发明的实施方式在湿化学暴露步骤(无热处理)后衬底表面的一系列TOF-SIMS谱图;
图25是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图26是根据本发明的实施方式在热处理后原样的衬底表面和在湿化学处理加热处理后的衬底的一系列TOF-SIMS谱图;
图27是根据本发明的实施方式在热处理后原样的衬底表面和在湿化学品处理加热处理后的衬底的一系列TOF-SIMS谱图;
图28是根据本发明的实施方式在湿化学和热处理后的衬底表面的TOF-SIMS谱图;
图29是根据本发明的实施方式原样的衬底表面加热处理(无湿化学暴露)的TOF-SIMS谱图;
图30是根据本发明的实施方式在湿化学暴露和热处理后衬底表面的TOF-SIMS谱图;
图31是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图32是根据本发明的实施方式在热处理后原样的衬底表面和在湿化学处理加热处理后的衬底的一系列TOF-SIMS谱图;
图33是根据本发明的实施方式原样且没有热处理的衬底表面和在湿化学处理后且同样没有热处理的衬底的一系列TOF-SIMS谱图;
图34是根据本发明的实施方式原样且有热处理的衬底表面和在湿化学处理后且也有热处理的衬底的一系列TOF-SIMS谱图;
图35是根据本发明的实施方式原样且没有热处理的衬底表面和在湿化学处理后且同样没有热处理的衬底的一系列TOF-SIMS谱图;
图36是说明根据本发明的实施方式热处理步骤的温度分布相对于时间的图;
图37是根据本发明的实施方式原样且有热处理的衬底表面和在湿化学处理后且也有热处理的衬底的一系列TOF-SIMS谱图;和
图38是根据本发明的实施方式原样且没有热处理的衬底表面和在湿化学处理后且同样没有热处理的衬底的一系列TOF-SIMS谱图。
具体实施方式
本文引用的所有参考文献,包括出版物、专利申请和专利均通过引用并入本文,其程度如同每个参考文献被单独且具体地指出通过引用并入并且在本文中完整阐述。
在描述本发明的上下文中(特别是在以下权利要求的上下文中),除非本文另有说明或与上下文明显矛盾,否则术语“一个/一种(a/an)”和“该/所述(the)”以及类似指示的使用应被解释为涵盖单数和复数。除非另有说明,否则术语“包含”、“具有”、“包括”和“含有”应被解释为开放式术语(即,意味着“包括,但不限于”)。除非本文另有说明,否则本文中对值范围的记载仅旨在用作独立地提及落入该范围内的每个单独值的简写方法,并且每个单独值并入本说明书中,如同其在本文中独立地记载。除非本文另有说明或上下文明显矛盾,否则本文所述的所有方法均可以任何合适的顺序进行。除非另外声明,否则本文提供的任何和所有实例或示例性语言(例如,“如”)的使用仅旨在更好地说明本发明,而不对本发明的范围构成限制。本说明书中的任何语言都不应被解释为表示任何未要求保护的要素对于本发明的实践是必要的。
本文描述了本发明的优选实施方式,包括发明人已知实施本发明的最佳方式。在阅读前文的描述后,那些优选实施方式的变化对于本领域普通技术人员可以变得显而易见。发明人预期熟练技术人员适当地采用这样的变化,并且发明人希望本发明以不同于本文具体描述的方式实施。因此,本发明包括所附权利要求中记载的主题内容的适用法律允许的所有修改和等同方式。而且,除非本文另有说明或上下文明显矛盾,否则本发明涵盖上述要素的所有可能变化方式的任意组合。
有多种方法可用于选择性沉积。本公开的实施方式涉及采用通过利用两个不同表面的表面化学来使表面失活的方法。由于两个不同的表面将具有不同的反应性把控(handles),因此该差异可以通过利用将与一个表面反应(以使该表面失活)且不与另一个表面反应的分子来利用。
如在本说明书和所附权利要求中所使用的,术语“衬底”和“晶片”可互换使用,均指处理作用于其上的表面或表面的一部分。本领域技术人员还将理解,除非上下文另有明确说明,否则提到衬底也可仅指衬底的一部分。另外,提到在衬底上沉积可以是指裸衬底和具有在其上沉积或形成的一个或多个膜或特征的衬底两者。
如本文所用,“衬底”是指任何衬底或在衬底上形成的材料表面,在制造工艺过程中在其上进行膜处理。例如,可以在其上进行处理的衬底表面包含如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料以及任何其他材料,如金属、金属氮化物、金属合金,和其他导电材料,这取决于应用。衬底包括但不限于半导体晶片。可以使衬底暴露于预处理过程以对衬底表面抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤。除了直接在衬底自身的表面上进行膜处理以外,在本公开中,所公开的任何膜处理步骤也可以在衬底上形成的底层上进行,如下文更详细地公开的,并且术语“衬底表面”旨在包括这样的底层,如上下文指出的。因此,例如,在已经将膜/层或部分膜/层沉积到衬底表面上的情况下,新沉积的膜/层的暴露表面变成衬底表面。给定的衬底表面包含的物质将取决于待沉积的膜,以及所使用的特定化学过程。在一个或多个实施方式中,第一衬底表面将包含金属,而第二衬底表面将包含电介质,反之亦然。在一些实施方式中,衬底表面可包含某些官能团(例如,-OH、-NH等)。
同样,可用于本文所述方法的膜是相当多样的。在一些实施方式中,膜可包含金属或基本上由金属组成。金属膜的实例包括但不限于钴(Co)、铜(Cu)、镍(Ni)、钨(W)等。在一些实施方式中,膜包含电介质。实例包括SiO2、SiN、HfO2等。
如本说明书和所附权利要求中所用,术语“反应性气体”、“前体”、“反应物”等可互换使用以表示包含与衬底表面具有反应性的物质的气体。例如,第一“反应性气体”可以简单地吸附到衬底的表面上并且可用于与第二反应性气体的进一步化学反应。
本公开的实施方式提供了相对于同一衬底的第二表面将膜(例如金属膜)选择性沉积到该衬底的一个表面上的方法。如在本说明书和所附权利要求中所使用的,术语“相对于另一个表面选择性地在一个表面上沉积膜”等等表示第一或第二表面中的一个被钝化以基本上阻止在该钝化层上沉积,并且膜沉积在第二(未钝化)表面上。在这方面使用的术语“相对于”并非暗示一个表面在另一个表面的顶部上的物理方位,而是与一个表面相对于另一个表面的化学反应热力学或动力学性质的关系。例如,相对于电介质表面将钴膜选择性地沉积到铜表面上表示钴膜沉积到铜表面上,并且较少或没有钴膜沉积到电介质表面上;或者,钴膜在铜表面上的形成相对于钴膜在电介质表面上的形成在热力学或动力学上更有利。
在一些情况下,期望的是相对于同一衬底的第二个不同表面将材料选择性沉积到该衬底的一个表面上。例如,选择性沉积可用于形成覆盖层、屏障层、蚀刻停止层、牺牲和/或保护层,或用于密封孔隙,例如在多孔低k材料中。
使用本文所述的方法,在一些实施方式中,可以选择性地在SiO2基表面和如本文所述的其他表面上生长包含Ni、Ti、Fe或Co的材料,例如Ni金属、氮化镍或NiNx、钴、铁或钛氧化物结构。如本文所用,氮化镍或NiNx是指包含至少一些Ni-N键的材料。
在一些实施方式中,第一材料,例如包含Ni、Ti、Fe或Co的材料,例如镍、氮化镍或NiNx、钴、铁或钛氧化物膜,可以相对于第二个不同表面选择性地沉积到一个表面上。例如,镍、氮化镍、钴、铁或钛氧化物膜可以相对于第二表面,例如同一衬底的H封端的表面,选择性地沉积到低k绝缘体表面上,例如氧化物或氮化物表面,例如氧化硅或氮化硅的形式。
在一些实施方式中,其上发生选择性沉积的表面包含AHx封端,其中A是N、O或S中的一个或多个,并且x是1-2。在一些实施方式中,表面包含OH封端。在一些实施方式中,表面是NHx封端的表面,例如-NH或-NH2封端的表面。在一些实施方式中,表面是SHx封端的表面。
在一些实施方式中,第一表面是电介质表面,例如SiO2表面或氮氧化硅表面。在一些实施方式中,第一表面可包含硅氧化物、硅氮化物、氮氧化硅、氟化硅玻璃(FSG)、碳掺杂氧化硅(SiOC)和/或含有大于约50%氧化硅的材料。在一些实施方式中,第一表面包含OH-基团并且可包含例如具有-OH表面基团的氧化铝(Al2O3)表面。
在一些实施方式中,第二表面是-SiH3、-SiH2或-SiH表面。在一些实施方式中,第二表面通过蚀刻原始的硅氧化物而形成,并且第二表面包含Si-H键。在一些实施方式中,第二表面是纯硅表面或硅(100)取向表面。
在最广泛的方面,本发明提供了一种用于制备用于选择性膜沉积的衬底的表面的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:使所述衬底与湿化学组合物接触以获得经处理的衬底,其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;在约200℃至约600℃的温度下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团。如本文所用,短语“包含SiH的表面”包含如上定义的AHx封端。
在另一个方面,本发明提供了一种用于通过气相反应选择性钝化衬底的表面的方法,其中所述衬底的所述表面包括至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:使所述衬底与湿化学组合物接触以获得经处理的衬底,其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;在约200℃至约600℃的温度和10-10托至3000托的压力下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团;在等于或低于所述加热步骤的温度下使所述衬底暴露于选自式I和式II的含硅化合物:
其中R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;且n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,其中所述含硅化合物与所述第一表面的所述表面羟基基团反应以形成甲硅烷基醚封端表面,从而钝化所述表面。
在另一个方面,本发明提供了一种在衬底的表面上选择性沉积膜的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,和所述第二表面包含SiH,所述方法包括以下步骤:使所述衬底与湿化学组合物接触以获得经处理的衬底,其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;在约200℃至约600℃的温度和10-10托至3000托的压力下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团;在等于或低于所述加热步骤的温度下使所述衬底暴露于选自式I和式II的含硅化合物:
其中R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;且n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,其中所述含硅化合物与所述第一表面的所述表面羟基基团反应以形成甲硅烷基醚封端表面,从而钝化所述表面;和使所述衬底暴露于一种或多种沉积前体以相对于第一表面选择性地在所述第二表面上沉积膜。
在本文公开的各种方法中,提供了一种用于制备用于通过气相反应进行选择性沉积的衬底的表面的方法,其中所述表面包含SiO2和SiH,其中第一步通常但任选地是使所述表面与湿化学组合物接触。
在一些实施方式中,包含SiO2的表面是电介质表面,例如SiO2表面和/或氮氧化硅表面。在一些实施方式中,包含SiO2的表面可包含硅氧化物、硅氮化物、氮氧化硅、氟化硅玻璃(FSG)、碳掺杂氧化硅(SiOC)和/或含有大于约50%的氧化硅的材料。在一些实施方式中,包含SiO2的表面包含-OH基团并且可包括例如具有-OH表面基团的氧化铝(Al2O3)表面。
在一些实施方式中,包含SiH的表面是-SiH3、-SiH2或-SiH表面。在一些实施方式中,包含SiH的表面是纯硅表面或Si(100)表面。
如上所述,第一表面包含初始浓度的表面羟基基团。通常,表面羟基基团的浓度可通过本领域熟知的技术定量,例如飞行时间二次离子质谱(TOF-SIMS)。在优选的实施方式中,表面羟基基团的初始浓度为从对于45amu质量的正离子分析的约1.4×106标准化计数至对于45amu质量的正离子分析的约2.2×106标准化计数,如通过TOF-SIMS测定的。这对应于约4.2OH/nm2-4.6OH/nm2的羟基表面浓度,如通过FTIR和本领域技术人员已知的其他技术测量的。
本发明的方法包括使衬底与湿化学组合物接触以获得经处理的衬底的任选步骤,所述经处理的衬底相对于初始浓度的表面羟基基团包含浓度增加的表面羟基基团。尽管是任选的,但优选的是首先用湿化学处理来处理衬底的表面。示例性湿化学处理包括已知的化学处理,例如RCA清洁化学品SC-1和SC-2、HF、过氧化物、H2SO4/H2O2、NH4OH、缓冲的HF溶液及其混合物。
如本领域所知,“RCA清洁化学品”是指包含氢氧化铵和过氧化氢混合物的组合物,其中基本清洁程序由Radio Corporation of America在20世纪60年代开发。RCAStandard-Clean-1(SC-1)程序使用加热至约70℃的氢氧化铵和过氧化氢溶液和水。SC-1程序溶解膜并除去第I和II族金属。第I族和II族金属通过与SC-1溶液中的试剂络合而除去。RCA Standard-Clean-2(SC-2)程序使用加热至约70℃温度的过氧化氢、盐酸和水的混合物。SC-2程序除去SC-1程序未除去的金属。
湿化学清洁的目的是双重的。首先,湿化学步骤从表面除去杂质以除去在Si(100)表面上生长的薄氧化物并用氢封端代替它,同时在很大程度上保留SiO2表面上的-OH表面封端。这样的过程在工业中是常见的,并且可以使用本领域技术人员已知的方法对其进行优化以产生具有期望性质的清洁表面。接下来,湿化学清洁还相对于在使表面与湿化学品接触之前表面羟基基团的浓度增加了表面羟基基团的浓度。优选地,表面羟基基团的浓度增加了初始浓度的约1.1倍至初始浓度的约1.8倍,且最终达到接近约4.6OH/nm2的表面羟基浓度。
与湿化学品接触可以通过本领域技术人员已知的任何方法进行,例如浸渍或喷雾。接触步骤可以是一个独立步骤或多于一个步骤。
在一些实施方式中,接触步骤期间湿化学品的温度可以是例如约50℃至约100℃。在其他实施方式中,接触步骤期间湿化学品的温度可以是例如约55℃至约95℃。在其他实施方式中,接触步骤期间湿化学品的温度可以是例如约60℃至约90℃。
可以单独或与湿化学品结合使用以将两个衬底表面的表面羟基浓度增加至接近至少约3×1018OH基团/m2的范围的任何方法可用于提供完全羟基化的表面,特别是同时提供氢封端Si(100)表面的那些。合适的方法包括等离子体方法(氢等离子体、NH3/NF3等离子体、水等离子体、水/过氧化氢等离子体等)、湿化学方法和/或前述的组合(以提供两个表面的完全羟基化,然后是Si(100)表面上的SiH表面形成)。
本发明的方法还包括在约200℃至约600℃,优选约200℃至约500℃的温度下加热经处理的衬底的步骤,其中所述加热步骤将第一表面上的至少一部分的表面羟基基团转化为衬底的表面上的表面硅氧烷基团。对于湿化学清洁的表面的这种热“处理”可包括一个步骤或多个步骤。在多步骤实施方式中,热处理可以在一个或多个离散的工艺温度下进行预定长度的时间。热处理可以在约50-1200℃范围的温度,10-10托至3000托范围的压力下,有或没有载气/吹扫气体的存在下进行10秒至12小时范围的时间。在单步骤热处理期间或在多步骤热处理的任何子步骤期间,任何工艺参数可能以预定方式改变预定长度的时间。加热可以通过与加热表面的热接触、加热气流的使用、通过辐射加热(即,灯)或通过任何其他合适的方式来实现。加热可以在专用的互连腔室中进行,该腔室是多腔室集群设备的一部分。在使用多个独立加热步骤的情况下,它们可以在多个专用腔室中进行,包括加载锁和加热室,其也可以是较大的互连集群设备的一部分。
在一个实施方式中,加热步骤在约200℃至约600℃的温度下进行。在另一个实施方式中,加热步骤在约300℃至约550℃的温度下进行。在又一个实施方式中,加热步骤在约400℃至约500℃的温度下进行。在另一个实施方式中,加热步骤通过首先将衬底加热至低于约200℃的温度5-10分钟,然后将温度提高至约400℃至约500℃而进行。
尽管在此描述为两步法,但是可以使用在下文第二步骤中公开的范围内的较高初始温度下进行的单步骤方法获得类似的结果。在热处理的第一步骤中,从SiO2表面除去吸附的水分以防止在后续步骤中的膜沉积期间形成不期望的界面相及帮助在暴露的SiO2膜的表面上提供高度可重复的羟基浓度。该第一独立热处理可以在约50至约250℃范围的温度,10-10托至760托范围的压力下进行1秒至12小时不等的时间长度。优选地,温度是在约50℃和约240℃之间,压力是在10-5托和300托之间,并且时间是在30秒和8小时之间。还更优选地,温度是在约50℃和约230℃之间,压力是在10-4和100托之间,并且时间是在1分钟和6小时之间。除去吸附的水分的第一步骤的条件可以使用本领域技术人员已知的方法来常规地优化。
不打算受到特定理论的束缚,据信在加热步骤期间,通过在高温下持续加热而通过从表面除去水分子,一部分的“结合的”表面羟基基团转化为表面硅氧烷键(表面硅烷醇基团的缩合)。这种第二独立热处理可以在约200至约1000℃范围的温度,10-10托至760托范围的压力下进行10秒至12小时不等的时间长度。
在一个实例中,加热步骤的温度是在约280℃和约650℃之间,压力是在10-5托和300托之间,并且时间是在30秒和10小时之间。在另一个实例中,温度是在约300℃和约550℃之间,压力是在10-4和100托之间,并且时间是在1分钟和8小时之间。该过程可以可以使用本领域技术人员已知的方法来常规地优化,以产生热处理后表面羟基覆盖率(其提供等于在约范围内的期望平均羟基间距的平均羟基间距)。
参考图1。如图1所示,热处理的功能是至少部分地从包含羟基基团的表面除去一部分的羟基基团。热处理步骤可以以多种期望方式中的任一种进行,或者通过多于一种方式的组合进行。这些包括动态处理条件,其中样品在有或没有载气/吹扫气体流的情况下连续暴露于真空;静态处理条件,其中在载气/吹扫气体存在或不存在下样品与真空源隔离预定长度的时间;和泵送-吹扫处理条件,其中样品连续泵送预定时间量,然后与真空源隔离,并将包含它的腔室体积用超高纯载气回填预定长度时间至预定压力,之后将样品体积抽吸预定时间量至基础真空。该泵送-吹扫或循环吹扫过程可以根据需要多次完成,以获得期望的表面羟基浓度。常规实验可用于确定最佳工艺条件以可重复地产生期望的表面羟基浓度和平均羟基基团间距。
尽管根据单步骤和两步骤过程进行描述,但涉及预定工艺条件和预定时间长度所期望的那么多个独立步骤的多步骤过程也在本发明的范围内。
使衬底与湿化学组合物接触以获得经处理的衬底(其相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团)和将所述经处理的衬底加热至约200℃至约600℃的温度(其中所述加热步骤将所述第一表面上的至少一部分的所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团)的上述步骤提供钝化所述第一表面使得层可以选择性沉积到第二表面上的方法中下一步骤的基础。
尽管是在生产钝化涂层的情况中给出,但是本文的教导也可以通过沉积前体分子和膜沉积工艺条件(对于ALD样工艺,温度、压力、分压和持续时间,与吹扫条件(P、T、吹扫气体和持续时间)结合)的适当选择而容易地应用于膜沉积情况,以使得能够改善包含Si、O、C、N、H以及前述的组合(包括掺杂剂,例如,硼、磷、砷和锑)的含Si衬底表面的沉积条件。通过结合本文的教导,这些处理可能是选择性的,或者就膜沉积和衬底化学形貌而言可能是非选择性的。通过使用本文公开的方法提供具有降低的空间位阻和较高反应性的改善的起始SiO2表面,可以改进许多膜沉积工艺。尽管是在SiO2的特定情况中呈现,但是使用如本文所述的类似技术对其他表面进行预处理也可以改善在低沉积温度下在那些表面上的膜沉积。
尽管是根据SiO2/Si(100)系统进行描述,但是热处理步骤可以用于许多另外的材料以使得能够选择性钝化和/或活化期望的表面,从而使得实现选择性膜沉积工艺。存在于Si(100)、含Si电介质和其他材料上的化学表面封端可以通过使用适当的前体化学物质和适当的处理来类似地改变,以使得能够通过选择性的表面活化/钝化进行选择性沉积。
在一些实施方式中,本发明的方法包括在等于或低于加热步骤的温度下将衬底暴露于选自式I和式II的含硅化合物的步骤:
其中R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;且n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,其中含硅化合物与第一表面的表面羟基基团反应以形成甲硅烷基醚封端的表面,从而钝化表面。
在另一个实施方式中,用于本发明方法的化合物选自式I和式II:
其中R1、R2和R4各自独立地选自H、C1-C18直链烷基、C3-C8支链烷基、C3-C18环或双环烷基、C3-C18杂环基、C3-C18烯基、C4-C8芳基和C3-C10炔基;R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;R5选自键、C1-C18直链烷基、支链C3-C18烷基、C3-C18环或双环烷基、C3-C10杂环基、C3-C18烯基、C4-C8芳基和C3-C10炔基;X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;且n和n’各自独立地选自0-5的数字,其中n+n’>1且<11。
如上所示,存在式I和式II的结构表示的两个大类的含硅前体分子,其可用于SiO2的钝化。每类化合物被设计成通过单反应性配体(卤基、氨基、烷氧基或硅醇基)与表面羟基基团键合,与现有技术中依赖于多反应性配体(即,三烷氧基或三氯种类)的许多前体相反。目前,据信本发明的单反应性配体物质将具有形成具有较高总表面覆盖率的单层钝化层的高倾向,特别是考虑到在本文中在热处理步骤之后提供的SiO2表面上的表面羟基分布。所有这些都是基于Si-O-Si键在将钝化分子锚定至SiO2表面的方面最有利的概念,且因此引入反应性配体的原子对于SiO2/Si(100)系统的当前描述类型都是Si。
前体分子的两个大“类”包括具有以下的那些:
式I-键合至表面的一个锚定原子(单足分子);和
式II-键合至表面的两个锚定原子(双足分子)
另外的实施方式还包括氟碳配体的使用,但需要注意的是它们包含至少一个直接键合至硅原子的烃(CH2)连接,从而将硅原子与配体的氟碳部分的直接键合相隔离(即,在分子内不存在形成表面钝化层的Si-CFx键)。具体的非限制性实例包括碘三(3,3,3-三氟丙基)硅烷、二甲基氨基三(3,3,3-三氟丙基)硅烷、[(CF3CF2(CH2)6(CH3)2SiCl)]和溴三(1,1,1,3,3,3-六氟-异丙基)硅烷。
根据式I的化合物的具体实例包括但不限于以下:
A.三甲基氯硅烷;三甲基溴硅烷;三甲基碘硅烷;二甲基氨基三甲基硅烷;乙基甲基氨基三甲基硅烷;二乙基氨基三甲基硅烷;乙基丙基氨基三甲基硅烷;二丙基氨基三甲基硅烷;乙基异丙基氨基三甲基硅烷;二异丙基氨基三甲基硅烷;二正丁基三甲基硅烷;二异丁基三甲基硅烷;二仲丁基三甲基硅烷;
B.三乙基氯硅烷;三乙基溴硅烷;三乙基碘硅烷;二甲基氨基三乙基硅烷;乙基甲基氨基三乙基硅烷;二乙基氨基三乙基硅烷;乙基丙基氨基三乙基硅烷;二丙基氨基三乙基硅烷;乙基异丙基氨基三乙基硅烷;二异丙基氨基三乙基硅烷;二正丁基三乙基硅烷;二异丁基三乙基硅烷;二仲丁基三乙基硅烷;
C.三正丙基氯硅烷;三正丙基溴硅烷;三正丙基碘硅烷;二甲基氨基三正丙基硅烷;乙基甲基氨基三正丙基硅烷;二乙基氨基三正丙基硅烷;乙基丙基氨基三正丙基硅烷;二丙基氨基三正丙基硅烷;乙基异丙基氨基三正丙基硅烷;二异丙基氨基三正丙基硅烷;
D.三异丙基氯硅烷;三异丙基溴硅烷;三异丙基碘硅烷;二甲基氨基三异丙基硅烷;乙基甲基氨基三异丙基硅烷;二乙基氨基三异丙基硅烷;乙基丙基氨基三异丙基硅烷;二丙基氨基三异丙基硅烷;乙基异丙基氨基三异丙基硅烷;二异丙基氨基三异丙基硅烷;
E.三正丁基氯硅烷;三正丁基溴硅烷;三正丁基碘硅烷;二甲基氨基三正丁基硅烷;乙基甲基氨基三正丁基硅烷;二乙基氨基三正丁基硅烷;
F.三异丁基氯硅烷;三异丁基溴硅烷;三异丁基碘硅烷;二甲基氨基三异丁基硅烷;乙基甲基氨基三异丁基硅烷;二乙基氨基三异丁基硅烷;
G.三仲丁基氯硅烷;三仲丁基溴硅烷;三仲丁基碘硅烷;二甲基氨基三仲丁基硅烷;乙基甲基氨基三仲丁基硅烷;二乙基氨基三仲丁基硅烷;
H.三正戊基氯硅烷;三正戊基溴硅烷;三正戊基碘硅烷;二甲基氨基三正戊基硅烷;
I.氯三(3,3,3-三氟丙基)硅烷;溴三(3,3,3-三氟丙基)硅烷;碘三(3,3,3-三氟丙基)硅烷;二甲基氨基-三(3,3,3-三氟丙基)硅烷;乙基甲基氨基-三(3,3,3-三氟丙基)硅烷;二乙基氨基-三(3,3,3-三氟丙基)硅烷;乙基丙基氨基-三(3,3,3-三氟丙基)硅烷;二丙基氨基-三(3,3,3-三氟丙基)硅烷;乙基异丙基氨基-三(3,3,3-三氟丙基)硅烷;二异丙基氨基-三(3,3,3-三氟丙基)硅烷;
J.氯三(4,4,4-三氟丁基)硅烷;溴三(4,4,4-三氟丁基)硅烷;碘三(4,4,4-三氟丁基)硅烷;二甲基氨基-三(4,4,4-三氟丁基)硅烷;
K.辛基二甲基氯硅烷;辛基二甲基溴硅烷;辛基二甲基碘硅烷;二甲基氨基辛基二甲基硅烷;
L.癸基二甲基氯硅烷;癸基二甲基溴硅烷;癸基二甲基碘硅烷;二甲基氨基癸基二甲基硅烷;
M.十二烷基二甲基氯硅烷;十二烷基二甲基溴硅烷;十二烷基二甲基碘硅烷;二甲基氨基十二烷基二甲基硅烷;
N.十六烷基二甲基氯硅烷;十六烷基二甲基溴硅烷;十六烷基二甲基碘硅烷;二甲基氨基十六烷基二甲基硅烷;
O.十八烷基二甲基氯硅烷;十八烷基二甲基溴硅烷;十八烷基二甲基碘硅烷;二甲基氨基-十八烷基二甲基硅烷;
P.氯二甲基(1H,1H-2H,2H-全氟辛基)硅烷;溴二甲基(1H,1H-2H,2H-全氟辛基)硅烷;碘二甲基(1H,1H-2H,2H-全氟辛基)硅烷;二甲基氨基二甲基(1H,1H-2H,2H-全氟辛基)硅烷;
Q.氯二甲基(1H,1H-2H,2H-全氟癸基)硅烷;溴二甲基(1H,1H-2H,2H-全氟癸基)硅烷;碘二甲基(1H,1H-2H,2H-全氟癸基)硅烷;二甲基氨基-二甲基(1H,1H-2H,2H-全氟癸基)硅烷;和
R.氯二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;溴二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;碘二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;二甲基氨基-二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷。
根据式II和II(a)的化合物的具体实例包括但不限于以下:1,3-双-氯二甲基甲硅烷基(乙烷);1,3-双-溴二甲基甲硅烷基(乙烷);1,3-双-碘二甲基甲硅烷基(乙烷);1,3-双-二甲基氨基-二甲基甲硅烷基(乙烷);1,3-双-氯二甲基甲硅烷基(丙烷);1,3-双-溴二甲基甲硅烷基(丙烷);1,3-双-碘二甲基甲硅烷基(丙烷);1,3-双-二甲基氨基-二甲基甲硅烷基(丙烷);1,3-双-氯二甲基甲硅烷基(丁烷);1,3-双-溴二甲基甲硅烷基(丁烷);1,3-双-碘二甲基甲硅烷基(丁烷);和1,3-双-二甲基氨基-二甲基甲硅烷基(丁烷)。
另外的实施方式还包括在任何期望的R基团位置使用氟碳配体,但需要注意的是它们包含至少一个直接键合至硅原子的烃(CH2)连接,从而将硅原子与配体的氟碳部分的直接键合相隔离(即,在分子内不存在将形成表面钝化层的Si-CFx键)。
本发明方法的益处是可以通过在湿化学处理之后如上所述加热表面来控制-OH基团的表面密度。热量将驱除一部分-OH基团。该表面密度可以通过例如低能离子散射、TOF-SIMS或FTIR(表面模式)来测量,并且根据该信息,可以基于前体的尺寸选择前体用于最佳钝化。例如,如果-OH基团之间的距离为约则例如具有乙基(“(CH2)2”)或丙基(“(CH2)3”)连接的双足前体可以是良好的“适配体”,因为丙基的长度为约
不打算受到任何特定理论的束缚,据信可以通过热处理加工和钝化分子设计的组合实现SiO2电介质表面的最佳钝化结果。具体地,目前,据信从SiO2表面除去吸附的水分和紧密簇集的表面羟基基团将产生基本上不含氢键合的表面羟基群体、数量增加的表面硅氧烷样(Si-O-Si)键,其与羟基基团相比反应性低得多(并且还具有比-OH表面键更低的极性)并且可以被调整以具有可以通过预处理工艺条件控制的平均分隔距离。据信该表面将允许通过使用特定钝化分子来基本上完全地钝化反应性化学位点,所述特定钝化分子的分子内长度使其反应性基团距离与羟基基团表面间距匹配。
从空间位阻和反应性配体间距两个方面设计具有期望的/设计的基团间距的分子的能力提供了相对于现有技术的明显改进。它可以导致快得多的气相表面钝化涂层形成反应,以及同时导致改善的表面覆盖率(仅受限于对引入到母体钝化分子中的有机配体的选择所赋予的固有的“空间拥挤(steric crowding)”)。对于SiO2表面相对于Si(100)表面的选择性钝化的具体实施方式,热预处理温度优选在约375至约450℃之间,以提供大约的平均表面羟基间距,同时保持由初始湿清洁步骤提供的H封端的Si(100)表面完好且基本上不变。用于该表面的优选前体分子取决于它们具有嵌入分子内的1个还是2个表面键合点(即,1或2个反应性配体,其中不超过1个反应性配体与前体分子内的任何给定原子连接)。对于1个表面键合点的分子,间距主要由空间位阻约束来驱动,并且非反应性配体被选择使得它们不阻碍相邻“游离”羟基位点处的反应,但是使得它们通过有机官能团提供最大表面覆盖率。对于双足表面键合点的分子,使两个(单反应性配体)原子之间的间距略大于表面羟基基团之间的平均间距。
受控的“游离”表面羟基间距与分子设计结合可以使表面钝化涂层的气相形成快速和完全。这代表了相对于现有技术的潜在明显改进,并且可以产生用于SiO2/Si表面的商业上可行的选择性ALD工艺。
另外的优点包括:(1)相对于未如此制备的SiO2表面,“游离”羟基基团增加的反应性使得能够使用更宽范围的反应性配体以将表面钝化部分连接至SiO2表面(即,使得能够使用更广泛的前体化学作用);(2)相对于未如此制备的相关技术的表面,形成的钝化层将在高于最小反应温度的反应温度下更快速和更完全地形成,且具有更好(最大化)的表面覆盖率;(3)通过最紧密堆积的钝化层和残留表面羟基键(存在于现有技术的SiO2表面的表面钝化层之下)数量的减少,在后续ALD膜沉积工艺中形成具有改善的前体成核抗性的SiO2钝化表面,从而使得后续工艺步骤中能够改善选择性膜沉积。
优选的是在热处理之后尽快形成钝化层以避免处理的表面暴露于水分或氧。
当用作共反应物或催化剂时,胺意在涵盖包括NR3以及吡啶和吡啶衍生物的一类分子,其中R独立地是H、烷基、芳基、烯烃等。本领域已知胺可以与包含卤素反应性基团的分子结合使用,以在相关技术的羟基化表面上实现用于反应的较低总体反应温度。如果与本发明的教导结合使用,这样的胺使得能够实现形成期望钝化层的甚至更低温度的反应,以及减少的反应时间。在没有本发明的教导的情况下,使用这些分子可以使得能够在比它们不存在的情况下更低的温度形成期望钝化层。在使用胺共反应物的所有情况中,存在通过形成缩合的吡啶或卤化铵盐而污染和毒化羟基化表面的风险。在工艺开发中必须注意通过使用本领域技术人员已知的方法使这些盐对羟基化表面的污染最小化。
气相反应意图暗示是通过以气相引入前体而使前体暴露于加热和预处理的衬底(其容纳在密封容器中),然而,如果衬底温度低于前体和/或共反应物的沸点,这并不妨碍前体或共反应物在衬底的表面上的缩合(即,可以允许形成液体层以促进表面羟基基团与前体和/或反应物的反应)。
液体(溶液)相反应意图暗示前体和/或共反应物以液体状态(“纯的”或与合适的液体溶剂结合)直接引入到衬底的表面上。如果使用溶剂,它应该是超高纯度的并且与衬底或前体和/或共反应物均不反应。
气相(vapor phase)或气体相(gas phase)反应包括由钝化步骤提供的表面羟基基团与前体分子上的单反应性配体之间的那些反应。它们包括将加热的衬底在合适的腔室中暴露于前体分子和/或共反应物,该腔室必须能够提供必要的压力控制并且还可以向衬底和/或室壁供应热量;腔室还应为将要发生的反应提供合适的纯度,通常是通过高泄漏完整性和使用超高纯度载气和反应性气体。本发明的另一个实施方式涉及利用衬底的冷却以促进在衬底的表面上形成一种或多种前体和/或共反应物的液体层,然后加热衬底以使前体和/或共反应物与表面羟基基团反应。
术语“前体”旨在表示本文先前描述的单反应性配体、单锚定点和/或双锚定点分子。它们可以与超高纯度载气(如前定义)结合使用和彼此以任何期望混合物使用(即,多于一种类型的前体可以一起使用或在离散的独立步骤中使用以形成期望的钝化层,不管是期望的前体引入顺序如何)。共反应物意图表示先前公开的催化性胺反应物(如果它们被使用)。
前体和/或共反应物可以使用质量流量控制器(可能具有加热的管线)、液体注射蒸发器(可能具有加热的管线)或不使用计量装置(即,从使用简单阀门从与反应器隔离的容器单纯地引入蒸气和或气体)输送至反应器。任何前述方式也可以彼此组合使用。可以使用向反应室提供气体和/或蒸气的任何装置,其提供足够的纯度和可重复性。
前体和/或共反应物可以在可能包括在如何在步骤之间引入前体方面存在差异的多个独立步骤中,独立地引入反应器、在引入反应器之前混合、在反应器中混合或以前述的任何组合引入。
对于直接气相反应,据信反应的温度范围应选择为接近给定表面钝化层的最大稳定温度(如前所述)。然而,反应的温度范围可以在室温和700℃之间,但需要注意的是温度应等于或低于预处理温度。压力可以在10-10托至3000托的范围内并且可以保持在动态流条件下(即,具有阀和蝶阀类型布置)或者可以保持在静态条件下(即,排空的室暴露于期望的前体和/或共反应物直至达到总期望压力,然后将室与前体和/或共反应物源和真空泵两者隔离)。可以按照需要将反应器完全排空并再暴露于新的前体和/或共反应物多次。可以使用任何混合物和/或期望的浓度引入前体和/或共反应物。
一旦SiO2表面钝化,包含SiH的表面对于进一步的选择性反应具有活性,例如在Si-H表面上SiCN的选择性ALD沉积。可以选择性沉积到包含SiH的表面上的另外的材料包括包含氧、氮、氢和碳的硅膜(即,SiOx、SiNx、SiOxNy、SiCxNy、SiOxCy,均可能还包含H)、金属、金属氮化物和金属氧化物。
在一些实施方式中,金属氧化物膜选择性地沉积到第二表面上。在一个实例中,金属氧化物膜可以充当第二表面上的覆盖层。金属氧化物膜可以例如通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)或脉冲CVD来沉积。根据一个实施方式,金属氧化物膜可以选自HfO2、ZrO2、TiO2、Al2O3及其组合。在一些实例中,金属氧化物膜可以使用金属有机前体和氧化剂(例如,H2O、H2O2、等离子体激发的O2或O3)的交替暴露通过ALD来沉积。
根据本发明的选择性沉积可以是,例如,Hamalainen et al.,“Atomic LayerDeposition of Noble Metals and Their Oxides,”Chem.Mater.2014,26,786-801和Johnson et al.,“A Brief review of Atomic layer Deposition:From Fundamentalsto Applications”,Materials Today,Volume 17,Number 5,June 2014中公开的金属和金属氧化物层,这两篇文献都通过引用整体并入本文。
在一些实施方式中,金属膜选择性沉积到第二表面上。在一个实例中,金属膜可以充当第二表面上的覆盖层。在另一个实例中,金属膜可以充当第二表面上的导电通路(即,线、垫或插塞)。在另一个实例中,金属膜可以例如通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)或脉冲CVD来沉积。根据一个实施方式,金属膜可选自Al、Ti、Co、Rh、Ir、Fe、Ru,Os、Mn、Tc、Re、Cu、Ag、Au、Ni、Pd或Pt及其组合。
在一些实施方式中,金属或金属氮化物膜选择性沉积到第二表面上。在一个实例中,金属或金属氮化物膜可以充当第二表面上的覆盖层。在另一个实例中,金属或金属氮化物膜可以充当扩散屏障层。金属或金属氮化物膜可以例如通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)或脉冲CVD来沉积。实例见于,例如,“IBM Research Report,“Atomic Layer Deposition of Metal and MetalNitride Thin Films:Current Research Efforts and Applications forSemiconductor Device Processing,”RC22737(W0303-012),March 5,2003中。
在一些实施方式中,相对于衬底的第二H封端表面在如本文所述该衬底的第一表面(例如衬底的SiO2表面)上的沉积是至少约90%选择性的,至少约95%选择性的,至少约96%、97%、98%或99%或更高选择性的。在一些实施方式中,沉积仅发生在第一表面上而不发生在第二表面上。在一些实施方式中,相对于衬底的第二表面,在该衬底的第一表面上的沉积是至少约70%选择性的,或至少约80%选择性的,对于一些特定应用这可以是足够高选择性的。在一些实施方式中,相对于衬底的第二表面在该衬底的第一表面上的沉积是至少约50%选择性的,对于一些特定应用这可以是足够高选择性的。
实施例
以下实施例将展示每个要求保护的方法步骤。本发明的目的和已经进行的实验的目的是产生几乎不含羟基基团并且另外具有有机硅烷钝化涂层的单层至亚单层(sub-monolayer)覆盖的SiO2表面。在优选的实施方式中,SiO2表面上钝化分子的“空间”位阻与热处理步骤完成后留下的表面羟基基团的几乎均匀的平均间距密切匹配(参见图2的示意)。
步骤1:使衬底与湿化学组合物接触以获得经处理的衬底,其相对于初始浓度的表 面羟基基团包含浓度增加的表面羟基基团
实施例1:用SC-1湿化学暴露增加表面羟基浓度
通过以下步骤系列同时处理SiO2和Si(100)的独立试样:
首先在新制备的溶液中清洁两个衬底表面,所述溶液包含200ml:100ml:1000ml比率的过氧化氢(28-30%)、氢氧化铵(28%)和蒸馏去离子水,该清洁包括首先将化学品在石英烧杯中混合到一起,将烧杯中的溶液加热至70℃+/-5℃,将衬底表面完全浸入预热的清洁溶液中10分钟,从清洁溶液中取出衬底,并将它们浸入装有新鲜蒸馏去离子水的容器中和冲洗所述衬底直到衬底上的清洁溶液浓度被稀释至低于检测限。
清洁步骤的效力和完全可以使用接触角测量(测角仪测量)用包含水或任何其他合适流体的液体来测量。本文呈现的数据是针对体积为2μL的蒸馏去离子水滴。
测量起始表面(处于原样的状态)多次,发现处于以下所示范围内:
SiO2:32-43°
Si(100):26-35°
据信在原样接触角测量中观察到的变化性是大气水分吸附到原样状态的两个衬底共有的氧化物表面上的直接结果。
SiO2和Si(100)衬底两者的表面通过测角仪测量和TOF-SIMS测量来测量为羟基化到远高于起始样品的程度。测量完全羟基化表面的水接触角,且发现处于以下所示的范围内:
SiO2:5-10°
Si(100):5-10°
在表面表现为润湿和亲水性之后,第一步完成。尽管通过湿清洁步骤进行说明,但本发明不限于此。
处于原样和清洁后状态的SiO2表面的状态也通过SiO2衬底的TOF-SIMS测量来反映。这些测量允许对衬底上存在的羟基、氢化物和其他物质的表面浓度进行“半定量”表征。原样和清洁后状态的代表性TOF-SIMS谱呈现于图3中,其说明清洁后羟基表面浓度的明显增加,如通过两个样品的两个SiOH峰之间的相对强度的差异所示的。这种清洁后羟基表面浓度的增加是使得能够实现表面羟基浓度的期望受控降低的情况。
实施例2:Si(100)表面上的Si-OH键转化为Si-H键
然后使用浓度为2.0-3.0%(0.1%-5.0%范围)的HF溶液同时处理由前一实施例提供的完全羟基化的SiO2和Si(100)表面足够的时间以在Si(100)上产生完全疏水性表面,然后在水中冲洗并使用超高纯度氮气流吹干。通常,Si(100)-H封端表面的形成在室温下花费80-110秒(范围:20-600秒)。使用接触角测量来表征SiO2和Si(100)的表面。通常,据信SiO2表面上的接触角越低并且Si(100)-H表面上的接触角越接近90°,则用于本发明目的的HF蚀刻步骤的结果越好。两个衬底表面在HF蚀刻步骤之后测量的典型值包括:
SiO2:4-8°
Si(100):80°-90°
由于高的表面羟基化水平,这些类型的SiO2衬底甚至比原样的样品更容易受到大气污染物和水分吸附的影响,因此在加载到反应器系统中之前应在它们的储存和处理过程中小心谨慎。为了使本发明按预期进行,必须在进行热处理步骤之前从样品表面完全除去任何这种类型的过量水分。这是通过在减压条件下在约200℃的温度下加热1-10分钟来完成。环境可以是在10-5托至740托的压力下的真空或超高纯度惰性气体流(N2、He、Ne、Ar等)下的减小的压力。
类似地,已证明Si(100)-H表面对于具有氧化倾向的空气暴露显示出有限的稳定性,从而抵消了实现选择性钝化层形成所需的与SiO2表面的化学差异。为了使本发明按预期进行,必须在HF蚀刻之后尽可能快地将样品加载到反应器系统中以避免表面的再氧化。或者,衬底可以储存在化学惰性环境中(其中氧化速率相对于空气非常慢),然后快速加载到反应器系统中。
虽然是通过湿清洁进行说明,但可以同时产生完全羟基化的SiO2表面[αOH(s)=9.5μmol/m2]和完全氢封端的硅(100)表面的任何方法或方法组合可用于实施本发明。等离子体方法(如前所述)、湿式方法或两者的组合可用于提供具有已描述的性质的必要化学封端。
清洁后状态(SC-1和HF)的Si(100)和SiO2表面的状态也已通过TOF-SIMS测量表征。这些测量允许半定量表征存在于衬底上的羟基、氢化物和其他物质的表面浓度。SC-1清洁和HF蚀刻后的Si(100)和SiO2表面的代表性TOF-SIMS谱示于图6中。据信图6中所示的小羟基峰是由于在运送去测量的过程中样品发生了一些氧化。
参考图6,尽管在空气暴露期间发生Si(100)样品的氧化,但明显的是在SiO2和Si(100)表面之间实现了期望的化学表面封端的区别。也就是说,相对于彼此,SiO2表面具有非常高的羟基基团浓度,并且Si(100)表面具有非常高的氢化物基团浓度;即使在Si(100)表面上已经发生空气氧化,明显的是两个衬底在清洁步骤之后具有非常不同的羟基和氢化物表面封端浓度。本领域技术人员还公知,单晶硅表面的HF蚀刻将产生疏水性氢表面封端,其在长时间空气暴露时易于发生氧化。
步骤2:将经处理的衬底加热至约200至约600的温度,其中加热步骤将第一表 面上的至少一部分的表面羟基基团转化为衬底的表面上的表面硅氧烷基团
实施例3:湿清洁接着热处理
热预处理的目的是最大量地降低表面羟基浓度,理想地仅留下随后采用气相过程使用有机硅烷前体之一钝化的分离的羟基基团。为了使用热处理实现表面羟基浓度的最大降低,首先必须产生完全羟基化的表面(使用湿清洁、气相暴露、等离子体处理等)。也就是说,有必要首先在热处理之前将表面羟基浓度增加到超过对原样的或如此处理的二氧化硅表面通常观察到的浓度,以实现本发明的表面羟基封端。
表面羟基基团的热处理减少的机理是根据下式的硅烷醇缩合反应,其消除水:
Si(OH)(表面)+Si(OH)(表面)–––––>Si-O-Si(表面)+H2O(g)
已经使用TOF-SIMS对三种类型的样品进行表征以量化热处理前和热处理后状态中的表面羟基浓度:
(1)原样的热二氧化硅“原样的”
(2)已经使用(NH4OH+H2O2)清洁的二氧化硅“SC-1”
(3)已经使用(NH4OH+H2O2)清洁“SC-1”,然后进F蚀刻“SC-1+HF”的二氧化硅
用于每种类型样品的热处理程序相同,且包括以下主要步骤:
(1)通过适当的湿化学作用步骤制备表面羟基覆盖(如果有);
(2)将样品加载到反应器系统中,它们在此处被加热;
(3)循环吹扫样品直至达到反应器系统的基础压力;
(4)在超高纯度N2流下吹扫反应器系统足够的时间以降低反应器系统中由于打开反应器系统而产生的和来自样品自身的水分含量;
(5)使用存储在炉温控制器中的预编程过程执行热处理;
(6)在超高纯度N2流下将样品冷却至室温;
(7)从反应器系统卸载样品并将其在N2下包装用于运输以进行分析。
实施例4:SC-1清洁的二氧化硅样品(Si(100)上的热SiO2)的热处理
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)的1.5”×1.5”试样,用高纯度氮气吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后将样品从清洁浴中取出并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后在250sccm超高纯度N2气流、室温下,将清洁的SiO2样品之一装入管式炉反应器系统的管中。然后将管密封并缓慢排空至10毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复前述循环吹扫步骤直到在反应器系统内达到基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。
然后使用存储在炉子的温度控制器上的预编程加热工艺方案在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,和一个代表样品温度-“样品”)的热迹线(heat trace)示于图4中,其说明随时间变化的样品温度。
图4还显示在热处理步骤期间发生的样品的水分排放的迹线(如通过原位四极质谱仪(QMS)测量的)。这种水分排放与前文描述的硅烷醇缩合反应一致。
在热处理过程完成后,将样品在20sccm超高纯度N2流下(在2.3托压力下)冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输至供应商以进行TOF-SIMS。
现在参考图5,先前描述的三种类型的样品各自以与上述SC-1清洁的样品相同的方式通过热处理进行处理。在热处理前和热处理后状态中使用TOF-SIMS和AFM,以及通过测角仪测量(水接触角测量)来表征这些类型的样品中的每一个。这些样品的TOF-SIMS数据示于图5中。TOF-SIMS测量显示在热处理前和热处理后状态中的每个样品观察的标准化SiOH+离子强度(在45amu质量下)。从该数据集推导出以下关键观察结果:
1.原样的样品(其不具有增加超过其正常状态的表面羟基浓度)在热处理步骤后显示出表面羟基浓度非常小的变化。热处理后该样品的表面羟基基团浓度没有大的降低;
2.SC-1和SC-1+HF样品两者相对于未接受增加其表面羟基浓度的步骤的原样样品具有增加的表面羟基浓度(如“所预期的”);和
3.SC-1和SC-1+HF样品两者在热处理之后显示表面羟基浓度急剧降低至远低于在热处理之后原样样品测得的浓度。这一重要区别突出了整个工艺流程对于获得本发明期望的低表面羟基浓度的重要性。在热处理之前未首先提高表面羟基浓度的情况下,通过热处理没有实现表面羟基浓度的急剧降低。这意味着工业中遇到的大多数氧化硅表面不表现出本发明期望的性能,即使它们用本文公开的热处理分布进行加热。
实施例5:热处理(以受控方式降低SiO2表面羟基浓度而对Si(100)表面的氢化物表面封端具有很小影响)
接着将由实施例1和2制备的具有期望的化学表面封端的Si(100)和SiO2衬底加载到反应器系统中,它们在其中经历多个泵送-吹扫循环以除去气氛和物理吸附的污染物。第一循环包括抽吸样品至高于基础压力5-10毫托的压力,然后在2-4托的压力下进行减压N2吹扫(10-20sccm超高纯度N2)3分钟,然后是抽吸至高于基础压力1-3毫托的压力的抽吸步骤,接着在2-4托的压力下进行减压N2吹扫(10-20sccm超高纯度N2)3分钟,然后是最终抽吸步骤至基础压力。对于该实验,没有提供加热的加载锁,因此在反应器管自身中进行循环吹扫。
然后使用热处理来处理样品以降低SiO2表面上的羟基表面浓度,而对Si(100)氢化物表面具有最小的影响。热处理曲线如图7所示,其中x轴是以分钟计的时间,y轴是以℃计的温度。
图7中所示的温度是针对两个独立的热电偶(一个嵌入炉自身内并与反应管接触,和另一个与实际样品温度非常接近)。这是用于通过以下反应降低SiO2表面上的羟基浓度的实际曲线:
Si-OH(s)+Si-OH(s)––––––––––––––>Si-O-Si+H2O(g)
图8显示了与热处理温度分布重叠的使用原位质谱仪收集的数据,并清楚地显示了来自样品的水的释放。热处理可以是在一定范围的条件下进行,但目前显示在超高纯度N2流、约2.5托的压力、约20sccm N2的流速下的减压操作。
在SC-1清洁后、HF蚀刻后和热处理后的SiO2样品的测角仪测量提供以下水接触角测量:
SiO2:29.1°(作为参比:SiO2+SC-1+热处理=30.5°)
Si(100):56.3(显示出空气暴露后的氧化;作为参比,Si(100)+无热处理干运行样品:57.9;储存在空气中的Si(100)样品:显示暴露并储存在空气中后的持续氧化;最终达到接近~41.9°的稳态,这非常接近本领域技术人员对于二氧化硅公认的值)。
清洁后/热处理后状态的Si(100)和SiO2表面的状态也通过TOF-SIMS测量表征。这些测量允许存在于衬底上的羟基、氢化物和其他物质的表面浓度的半定量表征。SC-1清洁和HF蚀刻后的Si(100)和SiO2表面的代表性TOF-SIMS谱示于图9中。此处再次注意到,由于设备/实验限制导致空气暴露的结果,氧化峰存在于Si(100)谱中。
实施例6:原样SiO2/Si(100)热处理
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后在室温下在250sccm超高纯度N2气流下加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至10毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统能够大大缩短循环时间,同时仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉的温度控制器上的预编程加热工艺方案在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,和一个代表样品温度)的热迹线作为时间的函数示于图10中。
热处理过程完成后,将“原样”的SiO2样品在20sccm超高纯度N2气流下(在2.3托的压力下)冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“原样”的SiO2样品。为了进行比较,未接受热处理加工的“原样”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中。
接触角测量和表面粗糙度测量
具有和不具有热处理用于比较的“原样”的SiO2/Si(100)样品的TOF-SIMS谱在图11中描绘。参考图11,钠(Na)和钾(K)形式的一些环境污染也在热处理后“原样”的SiO2/Si(100)的谱中可见,且可能是TOF-SIMS测量之前的采样处理导致的。
比较图11的两个TOF-SIMS谱显示两个样品的表面羟基浓度在很大程度上相似。也就是说,热处理没有使“原样”的SiO2/Si(100)样品的羟基浓度以显著量降低。
代表两个样品的表面浓度的标准化SiOH+正离子、信号强度(质量45amu)和SiH+正离子、信号强度(质量29amu)的量化数据呈现于下表中:
“原样”的SiO2/Si(100)的标准化SiOH+和SiH+强度
实施例7:实施例2(SC-1清洁的二氧化硅样品(Si(100)上的热SiO2)热处理
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴的Teflon晶舟中。然后将晶舟和样品浸入预热至70±5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)样品并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后在室温、250sccm超高纯度N2气流下,多个SC-1清洁的SiO2样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至50毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,和一个代表样品温度)的热迹线示于图12中。
在图12中重叠的是在热处理步骤期间发生的来自样品的水分排放的迹线(如通过原位四极质谱仪(QMS)测量的)。这种水分排放与前文描述的硅烷醇缩合反应一致。
热处理过程完成后,该“SC-1清洁”的SiO2样品在20sccm超高纯度N2气流下冷却至室温(在2.3托的压力下)。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁”的SiO2样品。为了进行比较,未接受热处理加工的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中。
接触角测量和表面粗糙度测量
具有和不具有热处理用于比较的“SC-1清洁”的SiO2/Si(100)样品的TOF-SIMS谱呈现于图13中。在图13中可以看到,钠(Na)和钾(K)形式的一些环境污染存在于热处理后的“SC-1清洁”的SiO2/Si(100)谱中,且可能是在TOF-SIMS测量之前的取样操作导致的。
比较图13的两个TOF-SIMS谱显示出两个样品之间的表面羟基浓度非常不同。也就是说,热处理使“SC-1清洁”的SiO2/Si(100)样品的羟基浓度相对于未用热处理加工的样品以大量显著降低。
两个样品的标准化SiOH和SiH表面浓度的量化数据呈现于下表中:
“SC-1清洁”的SiO2/Si(100)的标准化SiOH+和SiH+强度
实施例8:Si(100)样品上“SC-1清洁、HF蚀刻”的热SiO2的热处理
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴的Teflon晶舟中。然后将晶舟和样品浸入预热至70±5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入21+/-2℃的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的 SiO2/Si(100)样品,并迅速浸入蒸馏去离子水中,然后使用已过滤颗粒的超高纯度N2气流完全干燥。
然后在室温、250sccm超高纯度N2气流下,以尽可能最小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案,在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,和一个代表样品温度)的热迹线示于图14中。
热处理过程完成后,该“SC-1清洁、HF蚀刻”的SiO2样品在20sccm超高纯度N2气流下(在2.3托的压力下)冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2样品。为了进行比较,未接受热处理加工的“SC-1清洁、HF蚀刻”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中。
接触角测量和表面粗糙度测量
具有和不具有热处理用于比较的“SC-1清洁”的SiO2/Si(100)样品的TOF-SIMS谱示于图15中。参考图15,可以看出,钠(Na)和钾(K)形式的一些环境污染存在于热处理后的“SC-1清洁、HF蚀刻”的SiO2/Si(100)的谱中,可能是在TOF-SIMS测量之前的采样操作导致的。
两个TOF-SIMS谱的比较表明,两个样品之间的表面羟基浓度非常不同。也就是说,热处理使“SC-1清洁”的SiO2/Si(100)样品的羟基浓度相对于未用热处理加工的样品以显著量大大降低。两个样品的标准化SiOH和SiH表面浓度的量化数据示于下表和图16中。
“SC-1清洁”的SiO2/Si(100)的标准化SiOH+和SiH+强度
TOF-SIMS测量显示在热处理前和热处理后状态中实施例6-8中描述的每个样品观察到的标准化SiOH+离子强度。从该数据集推导出以下关键观察结果。
首先,原样的样品(其表面羟基浓度不增加超过其正常状态)显示在热处理步骤后表面羟基浓度非常小的变化。热处理之后该样品的表面羟基浓度没有大的降低
其次,SC-1和SC-1+HF样品两者具有相对于未经历增加其表面羟基浓度的步骤的原样样品增加的表面羟基浓度。
最后,SC-1清洁和SC-1清洁+HF蚀刻样品两者显示热处理后表面羟基浓度急剧降低至远低于热处理之后对原样样品测量的浓度。
步骤3:在等于或低于加热步骤的温度下使衬底暴露于选自式I和式II的含硅化合
实施例9:在通过上述步骤提供的SiO2表面上,但不在Si(100)表面上,选择性地形成基于有机硅烷的钝化层
通过上述步骤提供的表面然后被进一步处理以使用气相反应使SiO2表面上的剩余羟基基团与有机硅烷前体分子反应,同时避免Si(100)表面上的氢化物基团之间的反应。因此,通过消除(在很大程度上)可在后续膜沉积工艺期间用作反应性成核位点的羟基基团使所得SiO2表面尽可能完全钝化。
在这些实施例中测试的具体分子包括:
ISi(CH3)3;BrSi(CH3)3;ClSi(CH3)3;(CH3)2NSi(CH3)3
ClSi(CH2CH2CH3)3
[ClSi(CH3)2]2(CH2)2
(CH3)2NSi(CH2CH2CH3)3
[ClSi(CH3)2]2(CH2)2和(CH3)2NSi(CH3)3(组合使用)
有许多潜在的可用于使用上文公开的类型的分子选择性地形成钝化层的气相工艺。以下部分提供了一些具体实例。
实施例A:单足前体
已经如上详述处理的SiO2和Si(100)样品的混合物在热处理结束时在20sccm超高纯度N2流、2.5托压力、420℃温度下布置在反应器系统中。在减压下维持超高纯度N2流的同时,将样品冷却至270℃并在该温度下平衡10分钟。然后通过将SiO2样品暴露于以下反应序列来选择性地钝化它们:
(1)停止进入系统的N2流,并将反应器管和充气板(gas panel)排空直到达到系统基础压力
(2)将充气板与反应器系统隔离(静态真空条件),并将管线加载气相(CH3)2NSi(CH3)3至~72托的化合物室温蒸汽压力,同时容纳样品的管仍被泵送。
(3)然后将管与真空泵隔离,打开将管与气相(CH3)2NSi(CH3)3隔离的阀以将(CH3)2NSi(CH3)3引入加热的管中。
(4)该管载有20托的工作压力(包含(CH3)2NSi(CH3)3),此时通向容纳液体(CH3)2NSi(CH3)3的鼓泡器的阀和将充气板与管隔离的阀两者都被关闭。
(5)加热的管中的(CH3)2NSi(CH3)3化学负载与SiO2衬底表面反应20分钟时间。在此期间,除去残留在充气板中的(CH3)2NSi(CH3)3以准备下一个化学进料。
(6)在允许反应进行20分钟后,在充气板中开始20sccm超高纯度N2流,然后引导至反应器系统,其中在打开隔离阀和从管排空化学品/超高纯度N2混合物之前在连续N2流下将压力增加到200托。
(7)N2流在2.5托的压力下维持1分钟,然后终止。然后将管和充气板排空至基础压力(排空时间很大程度上取决于所用的前体,但通常需要1-3分钟)。
(8)然后重复步骤(2)-(7)两次以在SiO2表面上选择性地形成三甲基甲硅烷基表面钝化,同时在Si(100)-H表面上不形成钝化
(9)在三个化学暴露循环完成后,将管和充气板排空至基础压力,然后在2.5托的压力下引入通过管的~20sccm的N2流。维持该条件,同时将样品冷却至室温。
在样品已经冷却至室温后,将它们从反应器系统中取出,使得可以对它们进行表征。来自该实施例中描述的实验的代表性数据示于图17中,其包含以下数据集:
(a)两个实验各自的对照样品(“干运行”和“实时”运行);原样的;SC-1清洁后;SC-1清洁+HF蚀刻后;
(b)“干运行”样品(通过步骤I-III处理,然后通过在步骤(1)-(9)中概述的实例,但仅有N2而无(CH3)2NSi(CH3)3在实验过程中引入):这确定义所有预处理步骤(步骤I-III)对起始表面的影响(即,SiO2表面上的羟基表面封端和Si(100)表面上的氢化物表面封端)。
“实时运行”样品(通过上述步骤处理,然后通过实施例A的步骤(1)-(9)中概述的实施例运行)。
参考图17,来自这两个实验的对照样品的测量结果非常相似,且反映了所开发的清洁方法的高度可重复性。最重要的数据集是实时运行样品的数据集。它们表现出与在SiO2表面上而不在Si(100)表面上选择性地形成三甲基甲硅烷基表面钝化的结论非常一致的特性。也就是说,SiO2表面表现出处理后的高水接触角(接近100°),暗示在SiO2表面上形成了期望的钝化层,而Si(100)表面表现出显著降低的水接触角(暗示在Si(100)表面上没有形成钝化层)。
Si(100)接触角的减小与Si(100)样品表面在从反应器系统中除去时的空气氧化有关。这已经通过跟踪来自干运行和实时运行两者的Si(100)样品的随时间变化的水接触角,以及通过生成与被清洁和蚀刻但从未放入反应器系统中(即,允许在HF蚀刻步骤后在空气中静置)的样品相关的数据来证明。在所有情况下,接触角持续减小直至其接近~41.9°,这是本领域技术人员公认的二氧化硅的水接触角的值。而且,未接受HF蚀刻的Si(100)样品表现出>96°的水接触角,非常类似于SiO2表面(如本领域技术人员所预期的那样,因为它们在不存在HF蚀刻步骤情况下是羟基化的SiO2表面)。该行为与Si(100)表面上缺乏任何三甲基甲硅烷基表面钝化的形成一致。
实施例B:双足前体
已经通过如上详述的步骤处理的SiO2和Si(100)样品的混合物在热处理步骤结束时在20sccm超高纯度N2流、2.5托压力、420℃温度下布置在反应器系统中。在减压下维持超高纯度N2流的同时,将样品冷却至405℃并在该温度下平衡10分钟。然后通过将SiO2样品暴露于以下反应序列来选择性地钝化它们:
(1)停止进入系统的N2流,并将反应器管和充气板排空直到达到系统基础压力
(2)将充气板与反应器系统隔离(静态真空条件),并将管线中加载气相[ClSi(CH3)2]2(CH2)2至0.4托的化合物室温蒸汽压力,同时容纳样品的管仍在泵送。
(3)然后将管与真空泵隔离,并打开将管与气相[ClSi(CH3)2]2(CH2)2隔离的阀以开始允许[ClSi(CH3)2]2(CH2)2进入加热的管中。
(4)该管中载有0.200至0.245托的工作压力(包含[ClSi(CH3)2]2(CH2)2),此时通向容纳液体[ClSi(CH3)2]2(CH2)2的鼓泡器的阀和将充气板与管隔离的阀两者都被关闭。
(5)加热的管中的[ClSi(CH3)2]2(CH2)2的化学负载与SiO2衬底表面反应20分钟时间。在此期间,残留在充气板中的[ClSi(CH3)2]2(CH2)2使用真空旁通歧管排空以准备下一个化学进料。
(6)在允许反应进行10分钟后,在充气板中开始20sccm超高纯度N2流,然后引导至反应器系统,其中在打开隔离阀和从管排空化学品/超高纯度N2混合物之前在连续N2流下将压力增加到200托。
(7)N2流在2.5托的压力下维持1分钟,然后终止。然后将管和充气板排空至基础压力(排空时间很大程度上取决于所用的前体,但通常需要1-3分钟)。
(8)然后重复步骤(2)-(7)九次以在SiO2表面上选择性地形成双二甲基甲硅烷基乙烷表面钝化,而在Si(100)-H表面上不形成钝化。
(9)在期望数量的循环完成后,将管和充气板排空至基础压力,然后在2.5托的压力下通过充气板引导~20sccm的N2流至管并维持,同时将容纳样品的管冷却至室温。
在样品已经冷却至室温后,将它们从反应器系统中取出,使得可以对它们进行表征。来自刚刚描述的实验的代表性数据呈现于图18中。其包含以下数据集:
(a)两个实验各自的对照样品(“干运行”和“实时”运行);原样的;SC-1清洁后;SC-1清洁+HF蚀刻后;
(b)“实时运行”样品(通过步骤I-III处理,然后通过实施例B的步骤(1)-(9)中概述的实施例的运行)。
该实施例呈现的且在图18中显示的数据非常类似于实施例1所共有的,其中来自对照样品的测量结果与实施例A共有的几乎相同,即Si(100)实时运行样品表现出与实施例A中的Si(100)样品观察到的那些几乎相同的接触角(再一次地在这种情况下暗示空气氧化),并且在暴露于钝化前体之后SiO2样品的接触角存在大的和正向的变化。
实施例10:在270℃下具有热处理加工,在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上,而不在Si(100)上使用(CH3)2NSi(CH3)3(二甲基氨基三甲基硅烷)选择性形成三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水中,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,一个代表样品温度)的热迹线示于图19中。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至270℃。将样品在270℃下平衡10分钟,终止N2流并将管完全排空至约1毫托的压力。然后向管中加载到第一化学剂量的二甲基氨基三甲基硅烷[(CH3)2NSi(CH3)3]至19.9托的压力,然后在该压力下隔离20分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)2NSi(CH3)3,不同之处在于第二剂量的压力为20.8托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的(CH3)2NSi(CH3)3,不同之处在于第三剂量的压力为20.5托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上(但不在Si(100)样品上)三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品。为了进行比较,未接受热处理加工的“SC-1清洁、HF蚀刻”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图20中。图20的Si(100)TOF-SIMS谱中缺乏与三甲基甲硅烷基表面钝化相关的峰的观察是钝化层的形成被限制于“SC-1清洁、HF蚀刻”的SiO2/Si(100)衬底的证据。也就是说,钝化选择性地形成在期望表面上而不在Si(100)表面上。这一结论也得到了样品的水接触角测量和样品的AFM表面粗糙度测量的支持。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)的质量为45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
Si(100)样品上一些与三甲基甲硅烷基表面钝化相关的离子的迹线信号的观察结果与对照样品(其中没有二甲基氨基三甲基硅烷引入反应器系统中)上观察到的水平一致,如下表中可见。
实施例11(比较):在270℃下没有热处理加工使用(CH3)2NSi(CH3)3(二甲基氨基三甲基硅烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上,而不在Si(100)上选择性形成三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入在21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
将样品在270℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的二甲基氨基三甲基硅烷[(CH3)2NSi(CH3)3]至20.8托的压力,然后在该压力下隔离20分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)2NSi(CH3)3,不同之处在于第二剂量的压力为21.0托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的(CH3)2NSi(CH3)3,不同之处在于第三剂量的压力为21.4托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品。为了进行比较,未接受热处理加工的“SC-1清洁、HF蚀刻”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图21中。参照图21,Si(100)TOF-SIMS谱中缺乏与三甲基甲硅烷基表面钝化相关的峰的观察是钝化层的形成被限制于“SC-1清洁、HF蚀刻”的SiO2/Si(100)衬底的证据。也就是说,钝化是选择性地形成在期望表面上而不在Si(100)表面上。与实施例1的样品的比较表明,未接受热处理的样品的表面上的残余羟基浓度明显高于根据本发明的教导确实接受热处理的样品的表面上的残留羟基浓度。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)的质量为45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例12:在370℃下具有热处理加工使用[Cl(CH3)2Si]2(CH2)2(1,2-双-氯二甲基甲硅烷基乙烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上,而不在Si(100)上选择性形成双二甲基甲硅烷基乙烷表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入在21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案,在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,一个代表样品温度)的热迹线示于图22中。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至370℃。将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的1,2-双氯二甲基甲硅烷基乙烷[Cl(CH3)2Si]2(CH2)2至0.24托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第二剂量的压力为0.25托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于这些剂量的压力在0.24托至0.26托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十二化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上双二甲基甲硅烷基乙烷表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图23中。参照图23,Si(100)TOF-SIMS谱中缺乏与双-二甲基甲硅烷基乙烷表面钝化相关的峰的观察是钝化层的形成被限制于“SC-1清洁、HF蚀刻”的SiO2/Si(100)衬底的证据。也就是说,钝化选择性地形成在期望表面上而不在Si(100)表面上。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)的质量为45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例13(比较):在370℃下没有热处理加工使用[Cl(CH3)2Si]2(CH2)2(1,2-双-氯二甲基甲硅烷基乙烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上,而不在Si(100)上选择性形成双二甲基甲硅烷基乙烷表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入在21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品加载到管式炉反应器系统的管中,。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的1,2-双-氯二甲基甲硅烷基乙烷[Cl(CH3)2Si]2(CH2)2至0.24托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第二剂量的压力为0.23托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于这些剂量的压力在0.23托至0.25托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十二化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上双二甲基甲硅烷基乙烷表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图24中。参照图24,Si(100)TOF-SIMS谱中缺乏与双-二甲基甲硅烷基乙烷表面钝化相关的峰的观察是钝化层的形成被限制于“SC-1清洁、HF蚀刻”的SiO2/Si(100)衬底的证据。也就是说,钝化是选择性地形成在期望表面上而不在Si(100)表面上。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)的质量为45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例14(比较):在370℃下具有热处理加工使用[Cl(CH3)2Si]2(CH2)2(1,2-双-氯二甲基甲硅烷基乙烷)与在“原样”的SiO2/Si(100)上,而不在Si(100)上选择性形成双-二甲基甲硅烷基乙烷表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个这些“原样”的SiO2和“SC-1清洁、HF蚀刻”的Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案,在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,一个代表样品温度)的热迹线示于图25中。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至370℃。将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的1,2-双-氯二甲基甲硅烷基乙烷[Cl(CH3)2Si]2(CH2)2至0.24托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第二剂量的压力为0.25托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第三剂量的压力在0.24托和0.26托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十二化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上双-二甲基甲硅烷基乙烷表面钝化的选择性形成。
在选择性钝化形成完成后,将“原样”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“原样”的SiO2和Si(100)样品。为了进行比较,未接受热处理加工的“原样”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“原样”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图26中。参照图26,Si(100)TOF-SIMS谱中缺乏与双-二甲基甲硅烷基乙烷表面钝化相关的峰的观察是钝化层的形成被限制于“原样”的SiO2/Si(100)衬底的证据。也就是说,钝化是选择性地形成在期望表面上而不在Si(100)表面上。
“原样”的SiO2/Si(100)和Si(100)的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例15(比较):在370℃下没有热处理加工使用[Cl(CH3)2Si]2(CH2)2(1,2-双-氯二甲基甲硅烷基乙烷)在“原样”的 SiO2/Si(100)上,而不在Si(100)上选择性形成双-二甲基甲硅烷基乙烷表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个这些“原样”的SiO2和“SC-1清洁、HF蚀刻”的Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至40毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的1,2-双-氯二甲基甲硅烷基乙烷[Cl(CH3)2Si]2(CH2)2至0.23托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第二剂量的压力为0.23托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于这些剂量的压力在0.23托和0.25托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十二化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上双-二甲基甲硅烷基乙烷表面钝化的选择性形成。
在选择性钝化形成完成后,将“原样”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“原样”的SiO2和Si(100)样品。为了进行比较,未接受热处理加工的“原样”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“原样”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图27中。参照图27,Si(100)TOF-SIMS谱中缺乏与双-二甲基甲硅烷基乙烷表面钝化相关的峰的观察是钝化层的形成被限制于“原样”的SiO2/Si(100)衬底的证据。也就是说,钝化选择性地形成在期望表面上而不在Si(100)表面上。
“原样”的SiO2/Si(100)和Si(100)的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例16(比较):在270℃下具有515℃热处理加工使用(CH3)2NSi(CH3)3(二甲基氨基三甲基硅烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上,而不在Si(100)上选择性形成三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入在21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后通过以炉的最大升温速率(约20℃/分钟)将样品升温至515℃在超高纯度N2气体的减压吹扫下(在2.3托下)进行515℃热处理。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至270℃。将样品在270℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的二甲基氨基三甲基硅烷[(CH3)2NSi(CH3)3]至20.0托的压力,然后在该压力下隔离20分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)2NSi(CH3)3,不同之处在于第二剂量的压力为20.8托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的(CH3)2NSi(CH3)3,不同之处在于第三剂量的压力为20.0托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品。为了进行比较,“原样”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2、“原样”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图28-30中。参考图28-30,Si(100)TOF-SIMS谱中缺乏与三甲基甲硅烷基表面钝化相关的峰的观察是钝化层的形成被限制于“SC-1清洁、HF蚀刻”的SiO2/Si(100)衬底的证据。也就是说,钝化是选择性地形成在期望表面上而不在Si(100)表面上。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例17:在370℃下具有热处理加工使用[Cl(CH3)2Si]2(CH2)2(1,2-双-氯二甲基甲硅烷基乙烷)和(CH3)2NSi(CH3)3(二甲基氨基三甲基硅烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上和在“原样”的SiO2/Si(100)上选择性形成双-二甲基甲硅烷基乙烷/三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后将它们中的一些加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入在21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水中,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至8060毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案,在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,一个代表样品温度)的热迹线示于图31中。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至370℃。将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的1,2-双-氯二甲基甲硅烷基乙烷[Cl(CH3)2Si]2(CH2)2至0.25托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第二剂量的压力为0.25托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于这些剂量的压力在0.25托和0.26托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十二化学剂量。然后反应器管在开始二甲基氨基三甲基硅烷化学进料之前在15分钟时间内排空至基础压力。然后向管中加载第一化学剂量的二甲基氨基三甲基硅烷[(CH3)2NSi(CH3)3]至20.4托的压力,然后在该压力下隔分离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)2NSi(CH3)3,不同之处在于第二剂量的压力为20.3托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的(CH3)2NSi(CH3)3,不同之处在于第三剂量的压力为19.8托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品和“原样”的 SiO2样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表和图32中。
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
参考图32,从谱图中明确的是,在“SC-1清洁、HF蚀刻”的 SiO2/Si(100)样品上相对于“原样”的SiO2样品有高得多的钝化物质表面覆盖率。来自每个样品的正离子的分布与双-二甲基甲硅烷基乙烷和三甲基甲硅烷基钝化物质两者的存在一致,如可以从与用双-二甲基甲硅烷基乙烷和三甲基甲硅烷基前体化合物处理的样品的TOF-SIMS谱(实施例10和15)的比较推断的。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和“原样”的SiO2样品的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例18:在370℃下没有热处理加工使用[Cl(CH3)2Si]2(CH2)2(1,2-双-氯二甲基甲硅烷基乙烷)和(CH3)2NSi(CH3)3(二甲基氨基三甲基硅烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)上,而不在Si(100)上选择性形成双-二甲基甲硅烷基乙烷/三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)和Si(100)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后将它们中的一些加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后将干燥的SC-1清洁的样品放入适于浸入HF蚀刻浴中的Teflon晶舟中。然后将晶舟和样品浸入在21+/-2℃下的HF蚀刻浴(51ml超高纯度HF(48-49%);1000ml蒸馏去离子H2O)中,它们在其中被蚀刻90秒。然后从HF溶液中取出“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)样品,迅速浸入蒸馏去离子水,然后使用已过滤颗粒的超高纯度N2气体源完全干燥。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至8060毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
在2.5托的压力下通过管的20sccm超高纯度N2流,同时将样品温度升至370℃。将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的1,2-双-氯二甲基甲硅烷基乙烷[Cl(CH3)2Si]2(CH2)2至0.25托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于第二剂量的压力为0.26托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十二化学剂量的[Cl(CH3)2Si]2(CH2)2,不同之处在于这些剂量的压力在0.25托和0.26托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十二化学剂量。然后反应器管在开始二甲基氨基三甲基硅烷化学进料之前15分钟时间内排空至基础压力。然后向管中加载第一化学剂量的二甲基氨基三甲基硅烷[(CH3)2NSi(CH3)3]至20.4托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)2NSi(CH3)3,不同之处在于第二剂量的压力为20.3托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的(CH3)2NSi(CH3)3,不同之处在于第三剂量的压力为19.8托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品和“原样”的SiO2样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表和图33中.
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
参考图33,从谱图中明确的是,在“SC-1清洁、HF蚀刻”的 SiO2/Si(100)样品上相对于“原样”的SiO2样品有高得多的钝化物质的表面覆盖率。来自每个样品的正离子的分布与双-二甲基甲硅烷基乙烷和三甲基甲硅烷基钝化物质两者的存在一致,如可以从与用双-二甲基甲硅烷基乙烷和三甲基甲硅烷基前体化合物处理的样品的TOF-SIMS谱(实施例10和15)的比较推断的。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和“原样”的SiO2样品的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例19:在270℃下具有热处理加工使用(CH3)2NSi(CH2CH2CH3)3(二甲基氨基三正丙基硅烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)、“原样”的SiO2/Si(100)上,而不在Si(100)上选择性形成三正丙基甲硅烷基表面钝化
“SC-1清洁、HF蚀刻”的SiO2样品在钝化层形成之前经历与实施例12中对样品描述的相同的处理。然后使用以下方法在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在“SC-1清洁、HF蚀刻”的Si(100)样品上选择性形成三正丙基甲硅烷基钝化层。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至270℃。将样品在270℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的二甲基氨基(三正丙基)硅烷(CH3CH2CH2)3SiN(CH3)2至0.089托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3CH2CH2)3SiN(CH3)2,不同之处在于第二剂量的压力为0.073托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十一化学剂量的(CH3CH2CH2)3SiN(CH3)2,不同之处在于这些剂量的压力在0.070托和0.090托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十一剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品和“原样”的SiO2样品上三正丙基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表中和图34中。
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和“原样”的SiO2样品的TOF-SIMS谱呈现于图34中。从谱图中明确的是,在“SC-1清洁、HF蚀刻”的SiO2/Si(100)样品上相对于“原样”的SiO2样品有高得多的钝化物质的表面覆盖率。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和“原样”的SiO2样品的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例20:在270℃下没有热处理加工使用(CH3)2NSi(CH2CH2CH3)3(二甲基氨基三正丙基硅烷)在“SC-1清洁、HF蚀刻”的SiO2/Si(100)、“原样”的SiO2/Si(100)上,而不在Si(100)上选择性形成三正丙基甲硅烷基表面钝化
“SC-1清洁、HF蚀刻”的SiO2样品在钝化层形成之前经历与实施例13中对样品描述的相同处理。然后使用以下方法在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在“SC-1清洁、HF蚀刻”的Si(100)样品上选择性形成三正丙基甲硅烷基钝化层。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至60毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
在2.5托的压力下通过管20sccm超高纯度N2流下,同时将样品温度升至270℃。将样品在270℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的二甲基氨基(三正丙基)硅烷(CH3CH2CH2)3SiN(CH3)2至0.085托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3CH2CH2)3SiN(CH3)2,不同之处在于第二剂量的压力为0.079托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十一化学剂量的(CH3CH2CH2)3SiN(CH3)2,不同之处在于这些剂量的压力在0.081托和0.084托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十一剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品和“原样”的SiO2样品上三正丙基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表中:
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和“原样”的SiO2样品的TOF-SIMS谱呈现于图35中。从图35中的谱图中明确的是,在“SC-1清洁、HF蚀刻”的SiO2/Si(100)样品上相对于“原样”的SiO2样品有高得多的钝化物质的表面覆盖率。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和“原样”的SiO2样品的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例21(比较):在445℃下使用ClSi(CH2CH2CH3)3(三正丙基氯硅烷)在“SC-1清洁”的SiO2/Si(100)和“原样”的SiO2/Si(100)上形成三正丙基甲硅烷基表面钝化
“SC-1清洁”的SiO2样品在钝化层形成之前经历前文描述的“SC-1”清洁处理。然后使用以下方法在“SC-1清洁”的SiO2样品和“原样”的SiO2/Si(100)样品上选择性形成三正丙基甲硅烷基钝化层。“原样”的样品不进行清洁。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至30毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
在2.5托的压力下通过管的20sccm超高纯度N2流下,同时将样品温度升至445℃。将样品在445℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的三正丙基氯硅烷(CH3CH2CH2)3SiCl至0.296托的压力,然后在该压力下隔离6分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力1分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3CH2CH2)3SiCl,不同之处在于第二剂量的压力为0.320托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三至第十化学剂量的(CH3CH2CH2)3SiCl,不同之处在于这些剂量的压力在0.300托和0.350托之间略微变化。然后以与第一和第二化学剂量相同的方式除去第三至第十一化学剂量,从而完成在“SC-1清洁”的SiO2样品和“原样”的SiO2样品上三正丙基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存。
使用水接触角测量表征“SC-1清洁”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表中。
接触角测量
实施例22(比较):在220℃下使用BrSi(CH3)3(三甲基溴硅烷)在“SC-1清洁”的SiO2/Si(100)和“原样”的SiO2/Si(100)上形成三甲基甲硅烷基表面钝化
“SC-1清洁”的SiO2样品在钝化层形成之前经历前文描述的“SC-1”清洁处理。然后使用以下方法在“SC-1清洁”的SiO2样品和“原样”的SiO2样品上选择性形成三甲基甲硅烷基钝化层。“原样”的样品不进行清洁。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至40毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
在2.5托的压力下通过管的20sccm超高纯度N2流下,同时将样品温度升高至220℃。将样品在220℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的三甲基溴硅烷(CH3)3SiBr至20.5托的压力,然后在该压力下隔离10分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力1分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)3SiBr,不同之处在于第二剂量的压力为20.5托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三剂量的(CH3)3SiBr,不同之处在于该剂量的压力为19.5托。然后以与第一和第二化学剂量相同的方式除去第三剂量,从而完成在“SC-1清洁”的SiO2样品和“原样”的SiO2样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存。
使用水接触角测量表征“SC-1清洁”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表中。
接触角测量
实施例23(比较):在405℃下使用ClSi(CH3)3(三甲基氯硅烷)在“SC-1清洁”的SiO2/Si(100)和“原样”的SiO2/Si(100)上形成三甲基甲硅烷基表面钝化
“SC-1清洁”的SiO2样品在钝化层形成之前经历前文描述的“SC-1”清洁处理。然后使用以下方法在“SC-1清洁”的SiO2样品和“原样”的SiO2样品上选择性形成三甲基甲硅烷基钝化层。“原样”的样品不进行清洁。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至40毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
在2.5托的压力下通过管的20sccm超高纯度N2流下,同时将样品温度升高至405℃。将样品在405℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的三甲基氯硅烷(CH3)3SiCl至3.35托的压力,然后在该压力下隔离5分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力1分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)3SiCl,不同之处在于第二剂量的压力为24.7托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三剂量的(CH3)3SiBr,不同之处在于该剂量的压力为1.9托并且暴露时间为10分钟。然后以与第一和第二化学剂量相同的方式除去第三剂量,从而完成在“SC-1清洁”的SiO2样品和“原样”的SiO2样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁、HF蚀刻”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存。
使用水接触角测量表征“SC-1清洁”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表中。
接触角测量
实施例24:在370℃下具有热处理加工使用ISi(CH3)3(碘三甲基硅烷)在“SC-1清洁”的SiO2/Si(100)和“原样”的SiO2/Si(100)上形成三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁”的SiO2和“原样”的SiO2/Si(100)样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
然后使用存储在炉温控制器上的预编程加热工艺方案,在超高纯度N2气体的减压吹扫下(在2.3托下)进行热处理。两个独立热电偶(一个代表外部管温-“壁”,一个代表样品温度)的热迹线示于图36中。
在热处理完成后,在2.5托的压力下维持通过管的20sccm超高纯度N2流,同时将样品温度降至370℃。将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于约1毫托的压力。然后向管中加载第一化学剂量的碘三甲基硅烷[ISi(CH3)3]至20.1托的压力,然后在该压力下隔离20分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力1分钟。然后以与第一剂量相同的方式引入第二化学剂量的[ISi(CH3)3],不同之处在于第二剂量的压力为19.8托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的[ISi(CH3)3],不同之处在于第三剂量的压力为20.2托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁”的SiO2样品和“原样”的SiO2样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁”的SiO2和“原样”的SiO2样品。这些分析的结果呈现于下表中。
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁、HF-蚀刻”的SiO2和Si(100)样品的TOF-SIMS谱呈现于图37中。参照图37,Si(100)TOF-SIMS谱中缺乏与三甲基甲硅烷基表面钝化相关的峰的观察是钝化层的形成限制于“SC-1清洁、HF蚀刻”的SiO2/Si(100)衬底的证据。也就是说,钝化选择性地形成在期望表面上而不在Si(100)表面上。这一结论也得到了样品的水接触角测量和样品的AFM表面粗糙度测量的支持。
“SC-1清洁、HF蚀刻”的SiO2/Si(100)和Si(100)的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度示于下表中。
实施例25:在370℃下没有热处理加工使用ISi(CH3)3(碘三甲基硅烷)在“SC-1清洁”的SiO2/Si(100)和“原样”的SiO2/Si(100)上形成三甲基甲硅烷基表面钝化
从4”晶片上切下多个热SiO2/Si(100)(“SiO2”)的1.5”×1.5”试样,用高纯度氮气流吹除以除去颗粒,然后加载到适合浸入SC-1清洁浴中的Teflon晶舟中。然后将晶舟和样品浸入预热至70+/-5℃的温度的SC-1清洁溶液(100ml超高纯度NH4OH(28%-30%);200ml超高纯度H2O2(28-30%);1000ml蒸馏去离子H2O)中,它们在其中被清洁10分钟。然后从清洁浴中取出SC-1清洁的SiO2/Si(100)和Si(100)样品,并使用蒸馏去离子水的三个倾倒冲洗循环冲洗掉化学品。然后使用已过滤颗粒的超高纯度N2气体源彻底干燥样品。
然后在250sccm超高纯度N2气流、室温下,以尽可能小的延迟将多个“SC-1清洁”的SiO2和“原样”的SiO2样品加载到管式炉反应器系统的管中。然后将管密封并缓慢排空至80毫托的压力。然后将20sccm N2流引入反应器管中并进行减压N2吹扫2分钟(在2.3托压力下)。然后停止N2流并将管排空至≤5毫托的压力。重复先前描述的循环吹扫步骤直到达到系统的基础压力。
达到基础压力后,将20sccm超高纯度N2流引入反应器系统中,并进行减压N2吹扫(在2.3托下)1小时以在开始热处理之前降低系统中的背景水分浓度。如本领域技术人员所知,使用加载锁系统将能够大大缩短循环时间,而仍然提供本文所述的方法操作所需的系统纯度。
在2.5托的压力下通过管的20sccm超高纯度N2流下,同时将样品温度升高至370℃。将样品在370℃下平衡10分钟,终止N2流并将管完全排空至不大于1毫托的压力。然后向管中加载第一化学剂量的二甲基氨基三甲基硅烷[(CH3)2NSi(CH3)3]至20.8托的压力,然后在该压力下隔离20分钟。然后使用减压N2吹扫和排空的组合从室中移除第一化学剂量,其包括首先在2.5托的压力下引入20sccm N2的动态流1分钟,然后将管排空至不大于10毫托的压力2分钟。然后以与第一剂量相同的方式引入第二化学剂量的(CH3)2NSi(CH3)3,不同之处在于第二剂量的压力为21.0托。然后在引入第三化学剂量之前以与第一化学剂量相同的方式除去第二剂量。然后以与第一和第二剂量相同的方式引入第三化学剂量的(CH3)2NSi(CH3)3,不同之处在于第三剂量的压力为21.4托。然后以与第一和第二化学剂量相同的方式除去第三化学剂量,从而完成在“SC-1清洁、HF蚀刻”的SiO2样品上,但不在Si(100)样品上三甲基甲硅烷基表面钝化的选择性形成。
在选择性钝化形成完成后,将“SC-1清洁”的SiO2和“原样”的SiO2样品在20sccm超高纯度N2流、2.3托的压力下冷却至室温。然后将样品在500sccm N2流下卸载,快速封闭在容器中,然后在N2下储存用于运输给供应商以进行其性质的分析表征。
使用水接触角测量、原子力显微镜(AFM)和飞行时间二次离子质谱法(TOF-SIMS)表征“SC-1清洁、HF蚀刻”的SiO2和Si(100)样品。为了进行比较,未接受热处理加工的“SC-1清洁、HF蚀刻”的SiO2样品也以类似方式进行表征。这些分析的结果呈现于下表中。
接触角测量和表面粗糙度测量
还通过X射线光电子能谱(XPS)分析样品,结果呈现于下表中:
“SC-1清洁”的SiO2和“原样”的SiO2样品的TOF-SIMS谱示于图38中。
“SC-1清洁”的SiO2/Si(100)和Si(100)的质量45amu、29amu、43amu、59amu和73amu的正离子的标准化离子强度列于下表中。
虽然本发明的原理已经在上文结合优选实施方式进行描述,但应清楚地理解,本说明书仅仅是作为示例给出,而非作为对本发明范围的限制。

Claims (75)

1.一种制备用于选择性膜沉积的衬底的表面的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:
使所述衬底与湿化学组合物接触以获得经处理的衬底,所述经处理的衬底相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;和
将所述经处理的衬底加热至约200℃至约600℃的温度,其中所述加热步骤将所述第一表面上的至少一部分所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团。
2.根据权利要求1所述的方法,其中所述接触步骤在约50℃至约100℃的温度下进行。
3.根据权利要求2所述的方法,其中所述接触步骤在约55℃至约95℃的温度下进行。
4.根据权利要求3所述的方法,其中所述接触步骤在约60℃至约90℃的温度下进行。
5.根据权利要求1所述的方法,其中所述加热步骤在约200℃至约550℃的温度下进行。
6.根据权利要求5所述的方法,其中所述加热步骤在约300℃至约500℃的温度下进行。
7.根据权利要求5所述的方法,其中所述加热步骤在约400℃至约450℃的温度下进行。
8.根据权利要求1所述的方法,其中所述加热步骤通过首先将所述衬底加热至低于200℃的温度5-10分钟,然后将温度提高至约400℃至约500℃而进行。
9.根据权利要求1所述的方法,其中所述湿化学组合物包含选自以下中的至少一种:组合物,其包含H2O2(28%aq)、NH4O4(28-30%,和H2O,HF(0.01%-5%(aq))、过氧化物、和H2SO4/H2O2混合物。
10.根据权利要求1所述的方法,其中所述包含SiH的第二表面包含选自-SiH3、-SiH2和-SiH中的至少一种。
11.根据权利要求1所述的方法,其中所述包含SiH的第二表面包含Si(100)。
12.根据权利要求1所述的方法,其中所述包含SiO2的第一表面包含选自-SiH3、-SiH2和-SiH中的至少一种。
13.根据权利要求1所述的方法,其中所述包含SiH的第二表面包含SiN。
14.根据权利要求1所述的方法,其中所述包含SiH的第二表面包含金属或金属氧化物。
15.一种用于通过气相反应选择性钝化衬底的表面的方法,其中所述衬底的所述表面包含至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:
使所述衬底与湿化学组合物接触以获得经处理的衬底,所述经处理的衬底相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;
在约200℃至约600℃的温度和10-10托至3000托的压力下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团;
在等于或低于所述加热步骤的温度下使所述衬底暴露于选自式I和式II的含硅化合物:
其中
R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;
R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;
R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;
X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;和
n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,
其中所述含硅化合物与所述第一表面的所述表面羟基基团反应以形成甲硅烷基醚封端表面,从而钝化所述表面。
16.根据权利要求15所述的方法,其中所述含硅化合物是至少一种由式I表示的化合物。
17.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:碘三(3,3,3-三氟丙基)硅烷、二甲基氨基三(3,3,3-三氟丙基)硅烷、[(CF3CF2(CH2)6(CH3)2SiCl]和溴三(1,1,1,3,3,3-六氟-异丙基)硅烷。
18.根据权利要求15所述的方法,其中所述含硅化合物是式Ⅱ表示的化合物。
19.根据权利要求18所述的方法,其中所述式II表示的化合物选自1,3-双-氯二甲基甲硅烷基(乙烷);1,3-双-溴二甲基甲硅烷基(乙烷);1,3-双-碘二甲基甲硅烷基(乙烷);1,3-双-二甲基氨基-二甲基甲硅烷基(乙烷);1,3-双-氯二甲基甲硅烷基(丙烷);1,3-双-溴二甲基甲硅烷基(丙烷);1,3-双-碘二甲基甲硅烷基(丙烷);1,3-双-二甲基氨基-二甲基甲硅烷基(丙烷);1,3-双-氯二甲基甲硅烷基(丁烷);1,3-双-溴二甲基甲硅烷基(丁烷);1,3-双-碘二甲基甲硅烷基(丁烷);和1,3-双-二甲基氨基-二甲基甲硅烷基(丁烷)。
20.根据权利要求15所述的方法,其中所述接触步骤在约50℃至约100℃的温度下进行。
21.根据权利要求20所述的方法,其中所述接触步骤在约55℃至约95℃的温度下进行。
22.根据权利要求21所述的方法,其中所述接触步骤在约60℃至约90℃的温度下进行。
23.根据权利要求15所述的方法,其中所述加热步骤在约200℃至约650℃的温度下进行。
24.根据权利要求23所述的方法,其中所述加热步骤在约300℃至约550℃的温度下进行。
25.根据权利要求24所述的方法,其中所述加热步骤在约400℃至约500℃的温度下进行。
26.根据权利要求15所述的方法,其中所述加热步骤通过首先将所述衬底加热至低于200℃的温度5-10分钟,然后将温度提高至约400℃至约500℃而进行。
27.根据权利要求15所述的方法,其中所述湿化学组合物包含选自以下的至少一种:组合物,其包含H2O2(28%aq)、NH4O4(28-30%,和H2O、HF(0.01%-5%(aq))、过氧化物和H2SO4/H2O2混合物。
28.根据权利要求15所述的方法,其中所述包含SiH的第二表面包含选自-SiH3、-SiH2和-SiH中的至少一种。
29.根据权利要求15所述的方法,其中所述包含SiH的第二表面包含Si(100)。
30.根据权利要求15所述的方法,其中所述包含SiO2的第一表面包含选自-SiH3、-SiH2和-SiH中的至少一种。
31.根据权利要求15所述的方法,其中所述包含SiH的第二表面包含SiN。
32.根据权利要求15所述的方法,其中所述包含SiH的第二表面包含金属或金属氧化物。
33.根据权利要求15所述的方法,其中所述暴露步骤在150℃至500℃的温度下进行。
34.根据权利要求15所述的方法,其中所述暴露步骤在150℃至450℃的温度下进行。
35.一种在衬底的表面上选择性沉积膜的方法,其中所述衬底的所述表面包括至少第一表面和第二表面,所述第一表面包含SiO2和初始浓度的表面羟基基团,且所述第二表面包含SiH,所述方法包括以下步骤:
使所述衬底与湿化学组合物接触以获得经处理的衬底,所述经处理的衬底相对于所述初始浓度的表面羟基基团包含浓度增加的表面羟基基团;
在约200℃至约600℃的温度和10-10托至3000托的压力下加热所述经处理的衬底,其中所述加热步骤将所述第一表面上的至少一部分所述表面羟基基团转化为所述衬底的所述表面上的表面硅氧烷基团;
在等于或低于所述加热步骤的温度下使所述衬底暴露于选自式I和式II的含硅化合物:
其中
R1、R2和R4各自独立地选自H、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;
R3选自C1-C18烷基、C3-C10支链烷基、C4-C10杂环基和C4-C10芳基;
R5选自键、C1-C8直链烷基、C3-C8支链烷基、C3-C8环烷基、C3-C10杂环基、C3-C10烯基、C4-C8芳基和C3-C10炔基;
X选自NRaRb、Cl、F、Br、I、-OCH3和-OH,其中Ra和Rb各自独立地选自H、C1-C4直链烷基和C1-C4支链烷基;和
n和n’各自独立地选自0-5的数字,其中n+n’>1且<11,
其中所述含硅化合物与所述第一表面的所述表面羟基基团反应以形成甲硅烷基醚封端表面,从而钝化所述表面;和
使所述衬底暴露于一种或多种沉积前体以相对于所述第一表面选择性地在所述第二表面上沉积膜。
36.根据权利要求15所述的方法,其中所述含硅化合物是式I表示的化合物。
37.根据权利要求36所述的方法,其中所述式I表示的化合物选自碘三(3,3,3-三氟丙基)硅烷、二甲基氨基三(3,3,3-三氟丙基)硅烷、[(CF3CF2(CH2)6(CH3)2SiCl]和溴三(1,1,1,3,3,3-六氟-异丙基)硅烷。
38.根据权利要求35所述的方法,其中所述含硅化合物是式Ⅱ表示的化合物。
39.根据权利要求38所述的方法,其中所述式II表示的化合物选自1,3-双-氯二甲基甲硅烷基(乙烷);1,3-双-溴二甲基甲硅烷基(乙烷);1,3-双-碘二甲基甲硅烷基(乙烷);1,3-双-二甲基氨基-二甲基甲硅烷基(乙烷);1,3-双-氯二甲基甲硅烷基(丙烷);1,3-双-溴二甲基甲硅烷基(丙烷);1,3-双-碘二甲基甲硅烷基(丙烷);1,3-双-二甲基氨基-二甲基甲硅烷基(丙烷);1,3-双-氯二甲基甲硅烷基(丁烷);1,3-双-溴二甲基甲硅烷基(丁烷);1,3-双-碘二甲基甲硅烷基(丁烷);和1,3-双-二甲基氨基-二甲基甲硅烷基(丁烷)。
40.根据权利要求35所述的方法,其中所述接触步骤在约50℃至约100℃的温度下进行。
41.根据权利要求40所述的方法,其中所述接触步骤在约55℃至约95℃的温度下进行。
42.根据权利要求41所述的方法,其中所述接触步骤在约60℃至约90℃的温度下进行。
43.根据权利要求35所述的方法,其中所述加热步骤在约200℃至约650℃的温度下进行。
44.根据权利要求35所述的方法,其中所述加热步骤在约300℃至约550℃的温度下进行。
45.根据权利要求44所述的方法,其中所述加热步骤在约400℃至约500℃的温度下进行。
46.根据权利要求35所述的方法,其中所述加热步骤通过首先将所述衬底加热至低于200℃的温度5-10分钟,然后将所述温度提高至约400℃至约500℃而进行。
47.根据权利要求35所述的方法,其中所述湿化学组合物包含选自以下的至少一种:组合物,其包含H2O2(28%aq)、NH4O4(28-30%,和H2O、HF(0.01%-5%(aq))、过氧化物和H2SO4/H2O2混合物。
48.根据权利要求35所述的方法,其中所述包含SiH的第二表面包含选自-SiH3、-SiH2和-SiH中的至少一种。
49.根据权利要求35所述的方法,其中所述包含SiH的第二表面包含Si(100)。
50.根据权利要求35所述的方法,其中所述包含SiO2的第一表面包含选自-SiH3、-SiH2和-SiH中的至少一种。
51.根据权利要求35所述的方法,其中所述包含SiH的第二表面包含SiN。
52.根据权利要求35所述的方法,其中所述包含SiH的第二表面包含金属或金属氧化物。
53.根据权利要求35所述的方法,其中所述暴露步骤在150℃至500℃的温度下进行。
54.根据权利要求35所述的方法,其中所述暴露步骤在150℃至450℃的温度下进行。
55.根据权利要求1所述的方法,其中对所述经处理的衬底的加热在至少两个单独的加热步骤中完成。
56.根据权利要求15所述的方法,其中对所述经处理的衬底的加热在至少两个单独的加热步骤中完成。
57.根据权利要求35所述的方法,其中对所述经处理的衬底的加热在至少两个单独的加热步骤中完成。
58.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三甲基氯硅烷;三甲基溴硅烷;三甲基碘硅烷;二甲基氨基三甲基硅烷;乙基甲基氨基三甲基硅烷;二乙基氨基三甲基硅烷;乙基丙基氨基三甲基硅烷;二丙基氨基三甲基硅烷;乙基异丙基氨基三甲基硅烷;二异丙基氨基三甲基硅烷;二正丁基三甲基硅烷;二异丁基三甲基硅烷;和二仲丁基三甲基硅烷。
59.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三乙基氯硅烷;三乙基溴硅烷;三乙基碘硅烷;二甲基氨基三乙基硅烷;乙基甲基氨基三乙基硅烷;二乙基氨基三乙基硅烷;乙基丙基氨基三乙基硅烷;二丙基氨基三乙基硅烷;乙基异丙基氨基三乙基硅烷;二异丙基氨基三乙基硅烷;二正丁基三乙基硅烷;二异丁基三乙基硅烷;和二仲丁基三乙基硅烷。
60.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三正丙基氯硅烷;三正丙基溴硅烷;三正丙基碘硅烷;二甲基氨基三正丙基硅烷;乙基甲基氨基三正丙基硅烷;二乙基氨基三正丙基硅烷;乙基丙基氨基三正丙基硅烷;二丙基氨基三正丙基硅烷;乙基异丙基氨基三正丙基硅烷;和二异丙基氨基三正丙基硅烷。
61.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三异丙基氯硅烷;三异丙基溴硅烷;三异丙基碘硅烷;二甲基氨基三异丙基硅烷;乙基甲基氨基三异丙基硅烷;二乙基氨基三异丙基硅烷;乙基丙基氨基三异丙基硅烷;二丙基氨基三异丙基硅烷;乙基异丙基氨基三异丙基硅烷;和二异丙基氨基三异丙基硅烷。
62.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三正丁基氯硅烷;三正丁基溴硅烷;三正丁基碘硅烷;二甲基氨基三正丁基硅烷;乙基甲基氨基三正丁基硅烷;和二乙基氨基三正丁基硅烷。
63.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三异丁基氯硅烷;三异丁基溴硅烷;三异丁基碘硅烷;二甲基氨基三异丁基硅烷;乙基甲基氨基三异丁基硅烷;和二乙基氨基三异丁基硅烷。
64.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三仲丁基氯硅烷;三仲丁基溴硅烷;三仲丁基碘硅烷;二甲基氨基三仲丁基硅烷;乙基甲基氨基三仲丁基硅烷;二乙基氨基三仲丁基硅烷;三正戊基氯硅烷;三正戊基溴硅烷;三正戊基碘硅烷;和二甲基氨基三正戊基硅烷。
65.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:氯-三(3,3,3-三氟丙基)硅烷;溴-三(3,3,3-三氟丙基)硅烷;碘-三(3,3,3-三氟丙基)硅烷;二甲基氨基-三(3,3,3-三氟丙基)硅烷;乙基甲基氨基-三(3,3,3-三氟丙基)硅烷;二乙基氨基-三(3,3,3-三氟丙基)硅烷;乙基丙基氨基-三(3,3,3-三氟丙基)硅烷;二丙基氨基-三(3,3,3-三氟丙基)硅烷;乙基异丙基氨基-三(3,3,3-三氟丙基)硅烷;二异丙基氨基-三(3,3,3-三氟丙基)硅烷;氯-三(4,4,4-三氟丁基)硅烷;溴-三(4,4,4-三氟丁基)硅烷;碘-三(4,4,4-三氟丁基)硅烷;和二甲基氨基-三(4,4,4-三氟丁基)硅烷。
66.根据权利要求16所述的方法,其中所述式I表示的化合物是选自以下的至少一种:辛基二甲基氯硅烷;辛基二甲基溴硅烷;辛基二甲基碘硅烷;二甲基氨基辛基二甲基硅烷;癸基二甲基氯硅烷;癸基二甲基溴硅烷;癸基二甲基碘硅烷;二甲基氨基癸基二甲基硅烷;十二烷基二甲基氯硅烷;十二烷基二甲基溴硅烷;十二烷基二甲基碘硅烷;二甲基氨基十二烷基二甲基硅烷;十六烷基二甲基氯硅烷;十六烷基二甲基溴硅烷;十六烷基二甲基碘硅烷;二甲基氨基十六烷基二甲基硅烷;十八烷基二甲基氯硅烷;十八烷基二甲基溴硅烷;十八烷基二甲基碘硅烷;二甲基氨基十八烷基二甲基硅烷;氯二甲基(1H,1H-2H,2H-全氟辛基)硅烷;溴二甲基(1H,1H-2H,2H-全氟辛基)硅烷;碘二甲基(1H,1H-2H,2H-全氟辛基)硅烷;二甲基氨基二甲基(1H,1H-2H,2H-全氟辛基)硅烷;氯二甲基(1H,1H-2H,2H-全氟癸基)硅烷;溴二甲基(1H,1H-2H,2H-全氟癸基)硅烷;碘二甲基(1H,1H-2H,2H-全氟癸基)硅烷;二甲基氨基-二甲基(1H,1H-2H,2H-全氟癸基)硅烷;氯二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;溴二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;碘二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;和二甲基氨基-二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷。
67.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三甲基氯硅烷;三甲基溴硅烷;三甲基碘硅烷;二甲基氨基三甲基硅烷;乙基甲基氨基三甲基硅烷;二乙基氨基三甲基硅烷;乙基丙基氨基三甲基硅烷;二丙基氨基三甲基硅烷;乙基异丙基氨基三甲基硅烷;二异丙基氨基三甲基硅烷;二正丁基三甲基硅烷;二异丁基三甲基硅烷;和二仲丁基三甲基硅烷。
68.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三乙基氯硅烷;三乙基溴硅烷;三乙基碘硅烷;二甲基氨基三乙基硅烷;乙基甲基氨基三乙基硅烷;二乙基氨基三乙基硅烷;乙基丙基氨基三乙基硅烷;二丙基氨基三乙基硅烷;乙基异丙基氨基三乙基硅烷;二异丙基氨基三乙基硅烷;二正丁基三乙基硅烷;二异丁基三乙基硅烷;和二仲丁基三乙基硅烷。
69.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三正丙基氯硅烷;三正丙基溴硅烷;三正丙基碘硅烷;二甲基氨基三正丙基硅烷;乙基甲基氨基三正丙基硅烷;二乙基氨基三正丙基硅烷;乙基丙基氨基三正丙基硅烷;二丙基氨基三正丙基硅烷;乙基异丙基氨基三正丙基硅烷;和二异丙基氨基三正丙基硅烷。
70.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三异丙基氯硅烷;三异丙基溴硅烷;三异丙基碘硅烷;二甲基氨基三异丙基硅烷;乙基甲基氨基三异丙基硅烷;二乙基氨基三异丙基硅烷;乙基丙基氨基三异丙基硅烷;二丙基氨基三异丙基硅烷;乙基异丙基氨基三异丙基硅烷;和二异丙基氨基三异丙基硅烷。
71.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三正丁基氯硅烷;三正丁基溴硅烷;三正丁基碘硅烷;二甲基氨基三正丁基硅烷;乙基甲基氨基三正丁基硅烷;和二乙基氨基三正丁基硅烷。
72.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三异丁基氯硅烷;三异丁基溴硅烷;三异丁基碘硅烷;二甲基氨基三异丁基硅烷;乙基甲基氨基三异丁基硅烷;和二乙基氨基三异丁基硅烷。
73.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:三仲丁基氯硅烷;三仲丁基溴硅烷;三仲丁基碘硅烷;二甲基氨基三仲丁基硅烷;乙基甲基氨基三仲丁基硅烷;二乙基氨基三仲丁基硅烷;三正戊基氯硅烷;三正戊基溴硅烷;三正戊基碘硅烷;和二甲基氨基三正戊基硅烷。
74.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:氯三(3,3,3-三氟丙基)硅烷;溴三(3,3,3-三氟丙基)硅烷;碘三(3,3,3-三氟丙基)硅烷;二甲基氨基-三(3,3,3-三氟丙基)硅烷;乙基甲基氨基-三(3,3,3-三氟丙基)硅烷;二乙基氨基-三(3,3,3-三氟丙基)硅烷;乙基丙基氨基-三(3,3,3-三氟丙基)硅烷;二丙基氨基-三(3,3,3-三氟丙基)硅烷;乙基异丙基氨基-三(3,3,3-三氟丙基)硅烷;二异丙基氨基-三(3,3,3-三氟丙基)硅烷;氯三(4,4,4-三氟丁基)硅烷;溴三(4,4,4-三氟丁基)硅烷;碘三(4,4,4-三氟丁基)硅烷;和二甲基氨基-三(4,4,4-三氟丁基)硅烷。
75.根据权利要求36所述的方法,其中所述式I表示的化合物是选自以下的至少一种:辛基二甲基氯硅烷;辛基二甲基溴硅烷;辛基二甲基碘硅烷;二甲基氨基辛基二甲基硅烷;癸基二甲基氯硅烷;癸基二甲基溴硅烷;癸基二甲基碘硅烷;二甲基氨基癸基二甲基硅烷;十二烷基二甲基氯硅烷;十二烷基二甲基溴硅烷;十二烷基二甲基碘硅烷;二甲基氨基十二烷基二甲基硅烷;十六烷基二甲基氯硅烷;十六烷基二甲基溴硅烷;十六烷基二甲基碘硅烷;二甲基氨基十六烷基二甲基硅烷;十八烷基二甲基氯硅烷;十八烷基二甲基溴硅烷;十八烷基二甲基碘硅烷;二甲基氨基-十八烷基二甲基硅烷;氯二甲基(1H,1H-2H,2H-全氟辛基)硅烷;溴二甲基(1H,1H-2H,2H-全氟辛基)硅烷;碘二甲基(1H,1H-2H,2H-全氟辛基)硅烷;二甲基氨基二甲基(1H,1H-2H,2H-全氟辛基)硅烷;氯二甲基(1H,1H-2H,2H-全氟癸基)硅烷;溴二甲基(1H,1H-2H,2H-全氟癸基)硅烷;碘二甲基(1H,1H-2H,2H-全氟癸基)硅烷;二甲基氨基-二甲基(1H,1H-2H,2H-全氟癸基)硅烷;氯二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;溴二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;碘二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷;和二甲基氨基-二甲基(1H,1H-2H,2H-全氟十二烷基)硅烷。
CN201880030927.6A 2017-03-17 2018-03-16 在含硅表面上的选择性沉积 Active CN110612364B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762472724P 2017-03-17 2017-03-17
US62/472,724 2017-03-17
PCT/US2018/022836 WO2018170382A1 (en) 2017-03-17 2018-03-16 Selective deposition on silicon containing surfaces

Publications (2)

Publication Number Publication Date
CN110612364A true CN110612364A (zh) 2019-12-24
CN110612364B CN110612364B (zh) 2022-04-05

Family

ID=63523711

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880030927.6A Active CN110612364B (zh) 2017-03-17 2018-03-16 在含硅表面上的选择性沉积

Country Status (8)

Country Link
US (1) US11670512B2 (zh)
EP (1) EP3596254A4 (zh)
JP (1) JP7085561B2 (zh)
KR (1) KR102338066B1 (zh)
CN (1) CN110612364B (zh)
SG (1) SG11201908486UA (zh)
TW (1) TWI688673B (zh)
WO (1) WO2018170382A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MX2019013111A (es) 2017-05-05 2019-12-16 Quantum Si Inc Sustratos que tienen reactividad de superficie modificada y propiedades antiincrustantes en reacciones biologicas.
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
JP6860605B2 (ja) 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2021034491A1 (en) * 2019-08-21 2021-02-25 Fujifilm Electronic Materials U.S.A., Inc. Surface treatment compositions and methods
EP4028570A1 (en) 2019-10-11 2022-07-20 Quantum-Si Incorporated Surface modification in the vapor phase
EP4225964A1 (en) * 2020-11-16 2023-08-16 Versum Materials US, LLC Selective deposition of silicon and oxygen containing dielectric film on dielectrics
KR20220109843A (ko) * 2021-01-29 2022-08-05 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법
US20240183035A1 (en) * 2022-11-22 2024-06-06 Applied Materials, Inc. Area selective deposition through surface silylation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101287777A (zh) * 2005-09-01 2008-10-15 飞思卡尔半导体公司 包含偶联的电介质层和金属层的半导体器件、其制造方法和用于偶联半导体器件中的电介质层和金属层的材料
CN103280527A (zh) * 2013-06-04 2013-09-04 东北师范大学 一种平面内嵌电极的制备方法
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
US20160222504A1 (en) * 2015-02-03 2016-08-04 Asm Ip Holding B.V. Selective deposition
CN107533951A (zh) * 2015-05-01 2018-01-02 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5326086B2 (ja) 2007-10-02 2013-10-30 国立大学法人 香川大学 太陽エネルギー利用装置及びその製造方法
US7838425B2 (en) 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101287777A (zh) * 2005-09-01 2008-10-15 飞思卡尔半导体公司 包含偶联的电介质层和金属层的半导体器件、其制造方法和用于偶联半导体器件中的电介质层和金属层的材料
CN103280527A (zh) * 2013-06-04 2013-09-04 东北师范大学 一种平面内嵌电极的制备方法
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
US20160222504A1 (en) * 2015-02-03 2016-08-04 Asm Ip Holding B.V. Selective deposition
TW201638377A (zh) * 2015-02-03 2016-11-01 Asm Ip控股公司 選擇性地在基板上沈積材料的方法
CN107533951A (zh) * 2015-05-01 2018-01-02 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
K.B CLARK等: "Physical characterization of ultrathin anodic silicon oxide films", 《JOURNAL OF APPLIED PHYSICS》 *
K.S. FINNIE等: "influence of Si(100) surface pretreatment on the morphology", 《THIN SOLID FILMS》 *
ROBERTO C. LONGO: "Selectivity of metal oxide atomic layer deposition on hydrogen terminated and oxidized Si(001)-(2x1) surface", 《AMERICAN VACUUM SOCIETY》 *
STEPHEN MCDONNELL等: "controlling the atomic layer deposition of titanium dioxide on silicon", 《THE JOURNAL OF PHYSICAL CHEMISTRY C》 *

Also Published As

Publication number Publication date
TW201835382A (zh) 2018-10-01
SG11201908486UA (en) 2019-10-30
KR20190120425A (ko) 2019-10-23
JP2020515713A (ja) 2020-05-28
TWI688673B (zh) 2020-03-21
US20210118684A1 (en) 2021-04-22
EP3596254A1 (en) 2020-01-22
JP7085561B2 (ja) 2022-06-16
US11670512B2 (en) 2023-06-06
KR102338066B1 (ko) 2021-12-10
WO2018170382A1 (en) 2018-09-20
CN110612364B (zh) 2022-04-05
EP3596254A4 (en) 2020-12-30

Similar Documents

Publication Publication Date Title
CN110612364B (zh) 在含硅表面上的选择性沉积
TWI709655B (zh) 金屬膜的選擇性沈積
US10793946B1 (en) Reaction chamber passivation and selective deposition of metallic films
US11056385B2 (en) Selective formation of metallic films on metallic surfaces
TWI815898B (zh) 蝕刻方法及蝕刻裝置
US9679808B2 (en) Selective formation of metallic films on metallic surfaces
US8778816B2 (en) In situ vapor phase surface activation of SiO2
TWI634229B (zh) 於多孔低介電常數膜上提供孔密封層的方法和組合物
KR102380197B1 (ko) SiCON의 저온 분자층 증착
CN117334560A (zh) 利用间歇性空气-水暴露的改良自组装单层阻挡
US10790141B2 (en) Surface-selective atomic layer deposition using hydrosilylation passivation
KR20150060583A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR102415780B1 (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
CN109087885B (zh) 金属膜的选择性沉积
US20180308685A1 (en) Low temperature selective epitaxial silicon deposition
KR102431745B1 (ko) 실리콘 함유 표면 상의 선택적 증착
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant