CN104672265A - 有机氨基硅烷前体和包含该前体的沉积膜的方法 - Google Patents

有机氨基硅烷前体和包含该前体的沉积膜的方法 Download PDF

Info

Publication number
CN104672265A
CN104672265A CN201410486674.7A CN201410486674A CN104672265A CN 104672265 A CN104672265 A CN 104672265A CN 201410486674 A CN201410486674 A CN 201410486674A CN 104672265 A CN104672265 A CN 104672265A
Authority
CN
China
Prior art keywords
branched
straight
bis
formula
sila
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410486674.7A
Other languages
English (en)
Other versions
CN104672265B (zh
Inventor
M·L·奥尼尔
萧满超
雷新建
R·霍
H·钱德拉
M·R·麦克唐纳德
王美良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN104672265A publication Critical patent/CN104672265A/zh
Application granted granted Critical
Publication of CN104672265B publication Critical patent/CN104672265B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/66Arsenic compounds
    • C07F9/70Organo-arsenic compounds
    • C07F9/74Aromatic compounds
    • C07F9/78Aromatic compounds containing amino groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Abstract

本文描述了形成含硅膜的前体和方法。一方面,所述前体包含由下式A-E之一表示的化合物:在一个特定的实施方式中,所述有机氨基硅烷前体对低温(例如,350℃或更低)下的氧化硅或碳掺杂的氧化硅膜的原子层沉积(ALD)或等离子体增强原子层沉积(PEALD)有效。此外,本文描述了包含本文所述的有机氨基硅烷的组合物,其中所述有机氨基硅烷基本上不含选自胺、卤化物(例如Cl、F、I、Br)、较高分子量物质和痕量金属中的至少一种。

Description

有机氨基硅烷前体和包含该前体的沉积膜的方法
相关申请的交叉引用
本申请要求2013年9月20日提交的美国临时申请号61/880,261的优先权权益。该临时申请的公开通过引用以其整体并入本文。
发明背景
本文描述了可以用于沉积含硅膜的前体(特别是有机氨基硅烷)及其组合物,所述含硅膜包括但不限于,无定形硅、晶体硅、氮化硅、氧化硅、碳掺杂的氧化硅、碳氮化硅和氧氮化硅膜。在再另一方面,本文描述了用于沉积含硅膜的前体在制造集成电路器件中的用途。在这些或其它的方面中,有机氨基硅烷前体可以用于各种沉积工艺,包括但不限于原子层沉积(“ALD”)、化学气相沉积(“CVD”)、等离子体增强化学气相沉积(“PECVD”)、低压化学气相沉积(“LPCVD”)和常压化学气相沉积。
几类化合物可用作含硅膜(例如,但不限于,氧化硅、碳掺杂的氧化硅或氮化硅膜)的前体。适合用作前体的这些化合物的实例包括硅烷类、氯代硅烷类、聚硅氮烷类、氨基硅烷类和叠氮基硅烷类。惰性载气或稀释剂(例如,但不限于,氦、氢、氮等)也用于输送前体到反应室中。
低压化学气相沉积(LPCVD)工艺是半导体工业用于沉积含硅膜所用的较广泛接受的方法之一。使用氨的低压化学气相沉积(LPCVD)可能需要高于750℃的沉积温度以获得合理的生长速率和均匀度。更高的沉积温度通常用于提供更好的膜性能。更常见的用于生长氮化硅或其它含硅膜的工业方法之一是在高于750℃温度下的热壁反应器中使用前体硅烷、二氯硅烷和/或氨的低压化学气相沉积。但是,使用这种方法存在几种缺陷。例如,某些前体(例如硅烷)是易燃的。这可能产生操作和使用中的问题。而且,由硅烷和二氯硅烷沉积的膜可能包含某些杂质。例如,使用二氯硅烷沉积的膜可能包含某些杂质如氯和氯化铵,它们是在沉积过程中作为副产物形成的。使用硅烷沉积的膜可能包含氢。
用于沉积氮化硅膜的前体(如BTBAS和氯代硅烷类)通常在高于550℃的温度下沉积膜。半导体器件小型化的趋势和低的热预算需要更低的处理温度和更高的沉积速率。应当降低含硅膜进行沉积的温度以防止晶格中的离子扩散,特别是对于包含金属化层的那些衬底和在许多III-V族和II-VI族器件上。因此,本领域中需要提供具有充分的化学反应性以允许通过CVD、ALD或其它工艺在550℃或更低的温度下或甚至在室温下沉积的用于沉积含硅膜(例如氧化硅、碳掺杂的氧化硅、氧氮化硅或氮化硅膜)的前体。
美国专利申请公开No.2013/224964描述了一种通过原子层沉积(ALD)在半导体衬底上形成具有Si-C键的介质膜的方法,包括:(i)将前体吸附在衬底表面上;(ii)使吸附的前体和表面上的反应气体反应;和(iii)重复步骤(i)和(ii)以在衬底上形成具有至少Si-C键的介质膜。所述前体在其分子中具有Si-C-Si键,且所述反应气体不含氧气和不含卤素,且由至少一种稀有气体组成。
日本专利No.JP2002158223描述了采用具有式{R3(R4)N}3Si-{C(R1)R2}n-Si{N(R5)R6}3的Si类材料形成的绝缘膜,其中R1、R2=H、烃基或X(卤素原子)-取代的烃基(R1和R2可以相同),n=1-5整数,R3、R4、R5和R6=H、烃基或X(卤素原子)-取代的烃基(R3、R4、R5和R6可以相同)。所述绝缘体膜可通过CVD在衬底上形成。
美国专利No.7,125,582描述了一种方法和系统,其涉及在高达550℃的温度下结合Si源前体和氮(N)源前体并形成氮化硅膜。
题为“Synthesis of Volatile Cyclic Silylamines and the MolecularStructures of Two 1-Aza-2,5-disilacyclopentane Derivatives”的参考文献,Mitzel,N.W.等,Inorg.Chem.,第36卷(20)(1997),第4360-4368页描述了制备α,ω-双(溴甲硅烷基)烷烃、BrH2Si(CH2)nSiH2Br(其中n=2和3)的合成方法。在该参考文献中,1,2-双(溴甲硅烷基)乙烷与氨反应生成1,4-双(1-氮杂-2,5-二硅杂环戊烷-1-基)-1,4-二硅杂丁烷、痕量的1,6-二氮杂-2,5,7,10,11,14-六硅杂二环[4.4.4]十四烷和非挥发性产物。
题为“Differences in reactivity of 1,4-disilabutane and n-tetrasilanetowards secondary amines”的参考文献,Z.Naturforsch.,B:Chem.Sci.FIELD Full Journal Title:Zeitschrift fuer Naturforschung,B:ChemicalSciences 45(12):1679-83描述了采用1,4-二硅杂丁烷H3SiCH2CH2SiH3(I)和n-四硅烷H3SiSiH2SiH2SiH3制备氨基硅烷的合成方法。
发明概述
本文描述了有机氨基硅烷前体、包含该前体的组合物和将其用于在衬底的至少一部分上形成包含硅的膜的方法,所述膜诸如但不限于无定形硅、晶体硅、氧化硅、碳掺杂的氧化硅、氮化硅、氮氧化硅、碳化硅、碳氮化硅及其组合。在一个特定的实施方式中,所述有机氨基硅烷前体对在低温(例如,350℃或更低)下进行氧化硅或碳掺杂的氧化硅膜的原子层沉积(ALD)或等离子体增强原子层沉积(PEALD)有效。此外,本文描述了包含本文所述的有机氨基硅烷的组合物,其中所述有机氨基硅烷基本上不含选自胺、卤化物(例如Cl、F、I、Br)、较高分子量物质和痕量金属中的至少一种。在这些或其他实施方式中,所述组合物可以进一步包含溶剂。本文还公开了在待加工的物体(例如,举例来说,半导体晶片)上形成含硅的膜或涂层的方法。在本文所述方法的一个实施方式中,包含硅和氧的膜在于衬底上生成氧化硅、碳掺杂的氧化硅膜的条件下,在沉积室中使用有机氨基硅烷前体和含氧源而沉积到衬底上。在本文所述方法的另一个实施方式中,在于衬底上生成氮化硅膜的条件下,包含硅和氮的膜在沉积室中使用有机氨基硅烷前体和含氮前体而沉积到衬底上。在进一步的实施方式中,本文所述的有机氨基硅烷前体也可以用作含金属膜(例如,但不限于,金属氧化物膜或金属氮化物膜)的掺杂剂。在本文描述的组合物和方法中,使用具有本文所描述的通式的有机氨基硅烷作为至少一种所述含硅前体。
一个方面,本文描述的有机氨基硅烷前体包含由下式A-E之一表示的化合物:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;且式E中的p和q等于1或2。
另一个方面,提供了一种组合物,其包含:(a)至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和(b)溶剂。在本文描述的组合物的某些实施方式中,示例性的溶剂包括但不限于醚、叔胺、烷烃、芳烃、叔氨基醚及其组合。在某些实施方式中,有机氨基硅烷的沸点和溶剂的沸点之差为40℃或更小。
另一个方面,提供了一种在衬底的至少一个表面上形成含硅膜的方法,其包括:
在反应室中提供该衬底的至少一个表面;和通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺,在所述的至少一个表面上使用至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体形成含硅膜:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可连接在一起形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
另一个方面,提供了通过原子层沉积工艺或ALD样工艺形成氧化硅、碳掺杂的氧化硅膜的方法,该方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用吹扫气体吹扫反应器;
d.向反应器中引入含氧源;和
e.用吹扫气体吹扫反应器;其中重复步骤b至e直到获得期望的膜厚度。
在进一步的方面中,提供了使用CVD工艺在衬底的至少一个表面上形成选自氧化硅膜和碳掺杂的氧化硅膜的膜的方法,包括:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
c.提供含氧源以在该至少一个表面上沉积所述膜。在所述方法的某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可连接在一起形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
另一个方面,提供了通过原子层沉积工艺形成氮化硅或碳氮化硅膜的方法,该方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用吹扫气体吹扫反应器;
d.向反应器中引入含氮源;
e.用吹扫气体吹扫反应器;和其中重复步骤b至e直到获得期望的氮化硅膜的厚度。在某些实施方式中,式A-E中的R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可连接在一起形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
在进一步的方面中,提供了使用CVD工艺在衬底的至少一个表面上形成氮化硅或碳氮化硅膜的方法,包括:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.提供含氮源,其中所述至少一种有机氨基硅烷前体与含氮源反应以在该至少一个表面上沉积膜。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可连接在一起形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
在本文公开的方法的进一步实施方式中,所述方法是沉积无定形或晶体硅膜。在该实施方式中,所述方法包括:
将一个或多个衬底置于被加热到从环境温度到大约700℃的一个或多个温度的反应器中;
引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
向反应器中提供还原剂源以至少部分地与该至少一种有机氨基硅烷前体反应和沉积含硅膜到该一个或多个衬底上。所述还原剂选自氢、氢等离子体和氯化氢。在CVD方法的某些实施方式中,在引入步骤期间,所述反应器保持在10毫托-760托的压力下。上述步骤定义了本文描述的方法的一个循环,且可以重复该步骤的循环直到获得期望的膜厚度。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可连接在一起形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
另一个方面,提供了通过原子层沉积或循环化学气相沉积工艺沉积无定形或晶体硅膜的方法,所述方法包括步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;其中重复步骤b直到获得期望的膜厚度。在某些实施方式中,所述膜厚度可以是或更厚、或
另一个方面,本文描述了用于沉积含硅膜的容器,其包含一种或多种具有式A、B、C、或D或E任一个的有机氨基硅烷前体、由式A、B、C、D或E表示的一种或多种前体的组合。在一个具体的实施方式中,所述容器包含至少一种配备有适当的阀和配件(fitting)的可加压容器(优选不锈钢的容器),以允许将一种或多种前体输送至用于CVD或ALD工艺的反应器。
另一个方面,提供了制备包含由下式A-E之一表示的化合物的有机氨基硅烷前体的方法:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;其中式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;所述方法包括以下步骤:
使具有选自R1R2NH和R1NH2的通式的胺,其中所述胺中的R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中胺中的R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,与包含至少一种选自以下的化合物的硅源:
其中硅源中的R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基,在催化剂存在下在足以使至少一部分硅源和至少一部分胺反应以提供所述有机氨基硅烷的反应条件下进行反应。
附图说明
图1显示本文描述的有机氨基硅烷1-二-异丙基氨基-1,4-二硅杂丁烷与参考文献中提供的其他有机氨基硅烷例如双(二乙基氨基)硅烷(BDEAS)、双(叔丁基氨基)硅烷(BTBAS)、双(乙基甲基氨基)硅烷(BEMAS)、三(二甲基氨基)硅烷(TRDMAS)和二仲丁基氨基硅烷(DSBAS)相比较的相对沉积速率。
发明详述
本文描述的有机氨基硅烷用作形成化学计量的和非化学计量的含硅膜(例如,但不限于,无定形硅、富硅碳氮化物(silicon-rich carbonitride)、晶体硅、氧化硅、氧碳化硅、氮化硅、氧氮化硅和氧碳氮化硅(siliconoxycarbonitride)膜)的前体。这些前体也可以用作例如含金属膜的掺杂剂。用于半导体工艺中的有机氨基硅烷前体通常是高纯度的挥发性液体前体化学物质,其作为气体气化和输送到沉积室或反应器以通过用于半导体器件的CVD或ALD工艺沉积含硅膜。用于沉积的前体材料的选择取决于希望得到的含硅材料或膜。例如,前体材料可以针对其化学元素的含量、其化学元素的化学计量比和/或在CVD下形成的最终含硅膜或涂层而进行选择。前体材料也可以针对各种其它特征如成本、相对低的毒性、操作性能、在室温下保持液相的能力、挥发性、分子量和/或其它因素而进行选择。在某些实施方式中,本文所述的前体可以通过多种方式输送到反应器系统,优选使用配备有适当的阀和配件的可加压不锈钢容器,以允许将液相前体输送至沉积室或反应器。
本文所述的有机氨基硅烷前体表现出反应性和稳定性的平衡,这使得它们理想地适合在微电子设备制造工艺中用作CVD或ALD前体。关于反应性,某些前体可能具有过高的沸点而不能被气化和输送到反应器以在衬底上沉积为膜。具有较高相对沸点的前体要求输送容器和管线在给定的真空下被加热至前体的沸点或以上以防止在容器、管线或两者中冷凝或形成颗粒。关于稳定性,其它前体可能随着其降解而形成硅烷(SiH4)或乙硅烷(Si2H6)。硅烷在室温下是易燃的或它可以自发燃烧,这产生安全性和操作的问题。另外,硅烷或乙硅烷和其它副产物的形成降低了前体的纯度水平,而对于可靠的半导体制造来说,小至1-2%的化学纯度的变化都可能被认为是不可接受的。在某些实施方式中,具有本文所述式A-E的有机氨基硅烷前体在储存6个月或更长或者一年或更长的时间后包含2重量%或更低、或者1重量%或更低、或者0.5重量或%更低的副产物,这是稳定储存的指示。除了前述的优势外,在例如使用ALD、ALD样、PEALD或CCVD沉积方法沉积氧化硅或氮化硅或硅膜的某些实施方式中,本文所述的有机氨基硅烷前体可能能够在相对低的沉积温度(例如,500℃或更低,或者400℃或更低,300℃或更低,200℃或更低,100℃或更低,或者50℃或更低)下沉积高密度材料。在一个具体的实施方式中,所述有机氨基硅烷前体可用于在低至50℃或更低或在环境温度或室温(例如25℃下)的温度下通过ALD或PEALD沉积含硅膜。
在一个实施方式中,本文描述的是用于形成含硅膜的组合物,其包含:具有本文所述的式A-E任一个的有机氨基硅烷和溶剂。不被任何理论束缚,据信本文描述的组合物可以提供一种或多种与纯有机氨基硅烷相比的优点。这些优点包括:有机氨基硅烷在半导体工艺中更好的应用、长期储存中的较好稳定性、通过闪蒸的更清洁的气化和/或总体更稳定的直接液体喷射(DLI)化学气相沉积过程。所述组合物中有机氨基硅烷的重量百分比可以为1-99%,其余量为溶剂,其中所述溶剂不与有机氨基硅烷反应,且具有与有机氨基硅烷近似的沸点。对于后者,所述组合物中有机氨基硅烷和溶剂的沸点之差为40℃或更小、更优选20℃或更小或者10℃或更小。示例性的溶剂包括但不限于:己烷、辛烷、甲苯、乙基环己烷、癸烷、十二烷、双(2-二甲基氨基乙基)乙醚。
一个方面,提供了至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环。
在通式以及整个说明书中,术语“烷基”表示具有1-10或1-6个碳原子的直链或支链官能团。示例性的烷基包括,但不限于,甲基(Me)、乙基(Et)、丙基(Prn)、异丙基(Pri)、丁基(Bun)、异丁基(Bui)、仲丁基(Bus)、叔丁基(But)、戊基、异戊基、叔戊基(Amt)、己基、异己基和新己基。在某些实施方式中,所述烷基可以具有一个或多个与其连接的官能团,例如,但不限于,烷氧基、二烷基氨基或其组合。在其他实施方式中,所述烷基不具有一个或多个与其连接的官能团。示例性的具有式A并具有烷基作为R1和R2(如果存在)和亚烷基例如亚甲基-CH2-或亚乙基-CH2CH2-作为R3的有机氨基硅烷包括,但不限于:
在通式以及整个说明书中,术语“环烷基”表示具有3-10或4-10个碳原子或5-10个碳原子的环状官能团。示例性的环烷基包括但不限于环丁基、环戊基、环己基和环辛基。具有式A并具有环烷基作为R1和R2(如果存在)和亚烷基例如亚甲基-CH2-或亚乙基-CH2CH2-作为R3的示例性有机氨基硅烷包括,但不限于:
在通式以及整个说明书中,术语“芳基”表示具有5-12个碳原子或6-10个碳原子的芳族环状官能团。示例性的芳基包括,但不限于,苯基(Ph)、苄基、氯代苄基、甲苯基和邻二甲苯基。示例性的具有式A并具有芳基作为R1和R2(如果存在)和亚烷基例如亚甲基-CH2-或亚乙基-CH2CH2-作为R3的有机氨基硅烷包括:
在某些实施方式中,式A-E中的一个或多个烷基、烯基、炔基、和/或芳基可以被取代或具有一个或多个被取代的原子或原子组,取代例如氢原子。示例性的取代基包括但不限于,氧、硫、卤素原子(例如F、Cl、I或Br)、氮和磷。在其他实施方式中,式A-E中的一个或多个烷基、烯基、炔基、和/或芳基可以是未取代的。
在通式以及整个说明书中,环烷基被取代或是杂环烷基。术语“杂环烷基”表示具有3-10或4-10个碳原子或5-10个碳原子以及至少一个氧原子或氮原子或两者的环状官能团。示例性的具有式A并具有杂环烷基作为R1和R2(如果存在)和亚烷基例如亚甲基-CH2-作为R3的有机氨基硅烷包括,但不限于:
在通式以及整个说明书中,芳基被取代或是杂芳基。术语“杂芳基”表示具有3-10个碳原子或4-10个碳原子或5-10个碳原子以及至少一个氧原子或氮原子或两者的芳基官能团。在通式以及整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有3-10或3-6或3-4个碳原子的基团。
在通式以及整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并具有3-10或3-6或3-4个碳原子的基团。
在通式以及整个说明书中,术语“亚烷基”表示具有1-10个或4-10个碳原子或5-10个碳原子且与两个硅原子连接的烃基。示例性的亚烷基包括,但不限于,亚甲基(-CH2-)、亚乙基(-CH2CH2-)、亚丙基(-CH2CH2CH2-)、和异亚丙基(-CH(Me)CH2-)。
在通式以及整个说明书中,术语“亚芳基”表示具有5-12个碳原子或6-10个碳原子、优选两个Si原子连接至亚芳基的1,2-位或1,4-位的芳族环状官能团。
在通式以及整个说明书中,术语“亚杂芳基”表示具有5-12个碳原子或6-10个碳原子、优选两个Si原子连接至亚杂芳基的1,2-位的芳族环状官能团。
在某些实施方式中,可将式D中的R3连接以形成环结构。示例性的有机氨基硅烷包括,但不限于:
在又一个实施方式中,式E中的R3和R4各自为亚甲基-CH2-,或者可替代地各自为亚乙基–CH2CH2-。示例性的有机氨基硅烷包括,但不限于:
用于形成含硅膜或涂层的方法是沉积工艺。用于本文公开的方法的合适沉积工艺的例子包括,但不限于,循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助的增强化学气相沉积(“PPECVD”)、低温化学气相沉积、化学物质辅助的气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、超临界流体的沉积和低能量CVD(LECVD)。在某些实施方式中,含金属的膜通过原子层沉积(ALD)、等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺沉积。如本文所用,术语“化学气相沉积工艺”指其中衬底暴露于一种或多种在衬底表面上反应或分解以产生希望的沉积的挥发性前体的任何工艺。如本文所用,术语“原子层沉积工艺”是指将材料的膜沉积到具有不同组成的衬底上的自限式(self-limiting)(例如,在各反应循环中沉积的膜材料的量是恒定的)顺序表面化学作用。虽然本文中使用的前体、试剂和源有时可以描述为“气态的”,但应理解该前体可以是通过直接气化、鼓泡或升华在利用或不利用惰性气体的条件下输送到反应器中的液体或固体。在一些情况中,气化的前体可以经过等离子体发生器。在一个实施方式中,使用ALD工艺沉积含硅膜。在另一实施方式中,使用CCVD工艺沉积含硅膜。在进一步的实施方式中,使用热CVD工艺沉积含硅膜。本文使用的术语“反应器”包括,但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在前体引入反应器之前和/或过程中分隔前体的ALD或CCVD方法避免前体的预反应。在这一方面,如ALD或CCVD工艺的沉积技术用于沉积含硅膜。在一个实施方式中,通过将衬底表面交替地暴露于所述一种或多种含硅前体、含氧源、含氮源或其它前体或试剂而经由ALD工艺沉积膜。膜生长通过自限式控制表面反应、各前体或试剂的脉冲长度和沉积温度来进行。但是,一旦衬底表面饱和,膜生长停止。
在某些实施方式中,本文描述的方法进一步包括除了具有上述式A-E的有机氨基硅烷前体之外的一种或多种另外的含硅前体。另外的含硅前体的例子包括,但不限于,单氨基硅烷(例如,二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷)、有机硅化合物如三甲硅烷基胺(TSA)、硅氧烷类(例如,六甲基二硅氧烷(HMDSO)和二甲基硅氧烷(DMSO))、有机硅烷类(例如,甲基硅烷、二甲基硅烷、二乙基硅烷、乙烯基三甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二甲硅烷基甲烷、2,4-二硅杂戊烷、1,4-二硅杂丁烷、2,5-二硅杂己烷、2,2-二甲硅烷基丙烷、1,3,5-三硅杂环己烷和这些化合物的氟代衍生物)、含苯基的有机硅化合物(例如,二甲基苯基硅烷和二苯基甲基硅烷)、含氧有机硅化合物,例如二甲基二甲氧基硅烷、1,3,5,7-四甲基环四硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3,5,7-四硅杂-4-氧代-庚烷、2,4,6,8-四硅杂-3,7-二氧代-壬烷、2,2-二甲基-2,4,6,8-四硅杂-3,7-二氧代-壬烷、八甲基环四硅氧烷、[1,3,5,7,9]-五甲基环五硅氧烷、1,3,5,7-四硅杂-2,6-二氧代-环辛烷、六甲基环三硅氧烷、1,3-二甲基二硅氧烷、1,3,5,7,9-五甲基环五硅氧烷、六甲氧基二硅氧烷和这些化合物的氟代衍生物。
取决于沉积方法,在某些实施方式中,所述一种或多种含硅前体可以以预定的摩尔量或大约0.1-大约1000微摩尔引入反应器中。在这一实施方式或其它实施方式中,含硅和/或有机氨基硅烷前体可以以预定的时间长度引入反应器中。在某些实施方式中,该时间长度为大约0.001-大约500秒。
在某些实施方式中,采用含氧源、含氧试剂或前体在氧存在下来形成使用本文描述的方法沉积的含硅膜。含氧源可以以至少一种含氧源的形式引入反应器中和/或可以附带地存在于用于沉积工艺中的其它前体中。合适的含氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)、二氧化碳等离子体及其组合。在某些实施方式中,含氧源包含以大约1-大约2000标准立方厘米/分钟(sccm)或大约1-大约1000sccm的流速引入反应器中的含氧源气体。含氧源可以引入大约0.1-大约100秒的时间。在一个特别的实施方式中,含氧源包含具有10℃或更高的温度的水。在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氧源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或者连续地进行脉冲而在脉冲之间没有吹扫。所述含氧源或试剂以相对于硅前体低于1:1比率的摩尔量来提供,使得至少一些碳保持在如此沉积的含硅膜中。
在某些实施方式中,含硅膜包含硅和氮。在这些实施方式中,使用本文描述的方法沉积的含硅膜在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入反应器中和/或可以附带地存在于用于沉积工艺中的其它前体中。合适的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氩等离子体、氮/氦等离子体、氮/氢等离子体及其混合物。在某些实施方式中,含氮源包含以大约1至大约2000标准立方厘米/分钟(sccm)或大约1至大约1000sccm的流速引入反应器中的氨等离子体或氢/氮等离子体或氮/氩等离子体或氮/氦等离子体源气体。含氮源可以引入大约0.01至大约100秒的时间。在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氮源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或连续地进行脉冲而在脉冲之间没有吹扫。
本文公开的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体发生反应的惰性气体。示例性的吹扫气体包括,但不限于,氩(Ar)、氪(Kr)、氙(Xe)、氮(N2)、氦(He)、氖、氢(H2)及其混合物。在某些实施方式中,吹扫气体如Ar以大约10至大约2000sccm的流速供应到反应器中大约0.1-1000秒,从而吹扫可能残留在反应器中的未反应物质和任何副产物。
供应前体、含氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间从而改变所获得的含硅膜的化学计量组成来进行。
能量供应给前体、含氮源、还原剂、其它前体或其组合中的至少一种以诱导反应和在衬底上形成含硅膜或涂层。这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体(helicon plasma)、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,二次RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及到等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接发生)或可替代地远程等离子体发生过程(其中等离子体在反应器外发生并供应到反应器中)。
有机氨基硅烷前体和/或其它含硅前体可以以多种方式输送到反应室如CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在可替代的实施方式中,可以采用组合的液体输送和闪蒸处理单元,例如,举例来说,由Shoreview,MN的MSP Corporation制造的涡轮气化器(turbo vaporizer),以使得低挥发性的物质能够定量地输送,这导致可重现的输送和沉积而没有前体的热分解。在液体输送方式中,本文描述的前体可以以纯液体形式输送,或者可选择地,可以以包含前体的溶剂制剂或组合物形式使用。因此,在某些实施方式中,前体制剂可以包括在给定的终端应用中希望和有利的适当特性的溶剂成分以在衬底上形成膜。
对于其中具有式A-E的前体用于包含溶剂和具有在本文中所述的式A-E的有机氨基硅烷前体的组合物的那些实施方式,所选择的溶剂或其混合物不与有机氨基硅烷反应。组合物中溶剂以重量百分比计的量的范围是从0.5重量%到99.5重量%或者从10重量%到75重量%。在该实施方式或其他实施方式中,所述溶剂具有和式A-E的有机氨基硅烷的沸点(b.p.)相近的沸点或者溶剂的沸点与式A-E的有机氨基硅烷的沸点之差是40℃或更小、30℃或更小、或20℃或更小或者10℃。或者,沸点之差的范围具有下面任意一个或多个端点:0、10、20、30或40℃。沸点差的合适范围的实例包括,但不限于0-40℃、20-30℃或10-30℃。组合物中合适的溶剂的实例包括,但不限于:醚(例如1,4-二氧杂环己烷、二丁基醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(例如苯甲腈)、烷烃(如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(例如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或者它们的混合物。
在另一实施方式中,本文描述了用于沉积含硅膜的容器,其包含一种或多种具有式A-E的有机氨基硅烷前体。在一个特别的实施方式中,容器包含至少一个配备有适当的阀和配件的可加压容器(优选由不锈钢制成),以允许一种或多种前体输送到用于CVD或ALD工艺的反应器。在该实施方式或其它实施方式中,具有式A-E的有机氨基硅烷前体提供在由不锈钢构成的可加压容器中,且前体的纯度为98%重量或更高或者99.5%或更高,这适合于大多数的半导体应用。在某些实施方式中,这类容器也可以具有用于混合所述前体和一种或多种另外的前体(如果需要)的装置。在这些实施方式或其它实施方式中,容器的内容物可以与另外的前体预混合。可替代地,有机氨基硅烷前体和/或其它前体可以保持在独立的容器中或在单一容器中,所述单一容器具有用于在储存期间保持有机氨基硅烷前体和其它前体隔离的分隔装置的。
在又一个实施方式中,提供了制备有机氨基硅烷例如本文描述的具有式A-E的那些的方法,其中所述方法包括以下步骤:
使具有式R1R2NH或R1NH2的胺,其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,与至少一种选自具有以下结构的化合物的硅源:
其中R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基,在催化剂存在下在足以使硅源和胺在有或没有有机溶剂的情况下反应并提供包含由下式A-E之一表示的化合物的有机氨基硅烷前体的反应条件下进行反应:
其中式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环。示例性的催化剂包括但不限于,三(五氟苯基)硼烷、BR3(其中R选自直链、支链或环状C1-C10烷基、C5-C10芳基或C1-C10烷氧基),1,3-二异丙基-4,5-二甲基咪唑-2-亚基(ylidene)、2,2′-联吡啶、菲咯啉、Mg[N(SiMe3)2]2、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]MgMe、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]MgH、三甲基铝、三乙基铝、氯化铝、Ca[N(SiMe3)2]2、二苄基钙、{CH-[CMeNC6H3-2,6-iPr2]2}CaH、十二羰基三钌、{CH-[CMeNC6H3-2,6-iPr2]2}Ca[N(SiMe3)2]、双(环戊二烯基)二烷基钛(IV)、双(环戊二烯基)二氟化钛(IV)、双(环戊二烯基)二氯化钛(IV)、双(环戊二烯基)二氢化钛(IV)、TiMe2(dmpe)2[dmpe=1,2-双(二甲基膦基)乙烷]、(C5H5)2Ti(OAr)2[Ar=(2,6-(iPr)2C6H3)]、(C5H5)2Ti(SiHRR')PMe3[其中R,R'各自独立地选自氢原子(H)、甲基(Me)和苯基(Ph)]、双(苯)铬(0)、六羰基铬、十羰基二锰、[Mn(CO)4Br]2、五羰基铁、(C5H5)Fe(CO)2Me、八羰基二钴、乙酸镍(II)、氯化镍(II)、[(dippe)Ni(μ-H)]2[dippe=1,2-双(二异丙基膦基)乙烷]、(R-茚基)Ni(PR'3)Me[其中R选自1-i-Pr、1-SiMe3和1,3-(SiMe3)2;其中R'选自甲基(Me)和苯基(Ph)]、[{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}]、乙酰丙酮镍(II)、ni(环辛二烯)2,氟化铜(II)、氯化铜(I)、氯化铜(II)、溴化铜(I)、溴化铜(II)、碘化铜(I)、乙酸铜(I)、Cu(PPh3)3Cl、氯化锌、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]ZnH、Sr[N(SiMe3)2]2、双(环戊二烯基)二烷基锆(IV)、双(环戊二烯基)二氟化锆(IV)、双(环戊二烯基)二氯化锆(IV)、双(环戊二烯基)二氢化锆(IV)、[(Et3P)Ru(2,6-二(三甲苯基)硫代苯酚)][B[3,5-(CF3)2C6H3]4]、(C5Me5)Ru(R3P)x(NCMe)3-x]+(其中R选自直链、支链或环状C1-C10烷基和C5-C10芳基;x=0、1、2、3)、三(三苯基膦)羰基氢化铑(I)、二-μ-氯-四羰基二铑(I)、三(三苯基膦)氯化铑(I)(Wilkinson催化剂)、十六羰基六铑、三(三苯基膦)羰基氢化铑(I)、双(三苯基膦)羰基氯化铑(I)、[RhCl(环辛二烯)]2、三(二亚苄基丙酮)二钯(0)、四(三苯基膦)钯(0)、乙酸钯(II)、氯化钯(II)、碘化钯(II)、碳酸铯、(C5H5)2SmH,(C5Me5)2SmH,(NHC)Yb(N(SiMe3)2)2[NHC=1,3-双(2,4,6-三甲基苯基)咪唑-2-亚基)]、六羰基钨、十羰基二铼、十二羰基三钠、十二羰基四铱、(乙酰丙酮根合)二羰基铱(I)、(POCOP)IrHCl[(POCOP)=2,6-(R2PO)2C6H3,(R选自异丙基(iPr)、正丁基(nBu)和甲基(Me)]、Ir(Me)2(C5Me5)L[其中L选自PMe3和PPh3]、[Ir(环辛二烯)OMe]2、铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷(Karstedt催化剂)、H2PtCl6·nH2O(六氯铂酸)、双(三叔丁基膦)铂(0)、PtO2和Pt(环辛二烯)2
在本文描述的方法的一个实施方式中,可以采用循环沉积工艺如CCVD、ALD或PEALD,其中采用至少一种选自具有本文所描述的式的有机氨基硅烷前体的含硅前体和任选地采用含氮源,例如,举例来说,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氩等离子体、氮/氦等离子体、氮/氢等离子体、有机胺(例如甲胺、乙胺、异丙胺、叔丁胺)和/或源自有机胺的等离子体。
在某些实施方式中,连接前体罐到反应室的气体管线根据工艺要求加热到一个或多个温度,且具有本文描述的式A-E的有机氨基硅烷前体的容器保持在一个或多个温度下以进行鼓泡。在其它实施方式中,将包含至少一种具有本文描述的式的含硅前体的溶液注入到保持在一个或多个温度下的气化器中用于直接液体注射。
氩和/或其它气体的流可以用作载气以在前体脉冲期间帮助输送该至少一种有机氨基硅烷前体的蒸气到反应室。在某些实施方式中,反应室工艺压力为约10托或更低,优选约1托。
在典型的ALD或CCVD工艺中,衬底(例如但不限于氧化硅、碳掺杂的氧化硅、柔性衬底或金属氮化物衬底)在反应室中的加热器台上加热,所述反应室初始暴露于含硅前体以使得有机氨基硅烷化学吸附到衬底表面上。吹扫气体(如氮气、氩气或其他惰性气体)从处理室吹扫掉未吸附的过量有机氨基硅烷。在充分吹扫后,含氧源可以被引入反应室中以与吸附的表面反应,随后进行另一气体吹扫以从该室除去反应副产物。处理循环可以重复以获得希望的膜厚度。在其他实施方式中,可以使用真空下的抽吸以从处理室除去未吸附的过量有机氨基硅烷,在抽吸下充分排空后,含氧源可以被引入反应室中以与吸附的表面反应,随后进行另一次抽吸吹扫(pumping down purge)以从该室除去反应副产物。在再另一实施方式中,有机氨基硅烷和含氧源可以共同流入到反应室中以在衬底表面反应而沉积氧化硅、碳掺杂的氧化硅。在循环CVD的某一实施方式中,不使用吹扫步骤。
在这一实施方式或其它实施方式中,应该理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和含氮源气体的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅膜的化学计量组成。
在本文公开的方法的另一种实施方式中,使用ALD、PEALD、CCVD或PECCVD沉积方法形成包含硅和氮二者的膜,所述方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.向ALD反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.将所述至少一种有机氨基硅烷前体化学吸附到所述衬底上;
d.使用吹扫气体吹扫掉所述未反应的至少一种有机氨基硅烷前体;
e.将含氮源提供至所述加热的衬底上的有机氨基硅烷前体,以与所述所吸附的至少一种有机氨基硅烷前体反应;和
f.任选地吹扫或吹扫掉任何未反应的含氮源。
另一个方面,提供了通过PEALD或PECCVD沉积工艺形成选自氧化硅和碳掺杂的氧化硅的膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入氧以及至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用吹扫气体与氧一起吹扫反应器;
d.施加RF等离子体;
e.用吹扫气体吹扫所述反应器或抽吸所述反应器,以除去未反应有机氨基硅烷和任何副产物;并且其中重复步骤b至e直到获得期望的膜厚度。
在本文公开的方法的另一种实施方式中,使用ALD沉积方法形成含硅膜,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.将所述至少一种有机氨基硅烷前体化学吸附到衬底上;
d.使用吹扫气体吹扫掉所述未反应的至少一种有机氨基硅烷前体;
e.将含氧源提供至所述加热的衬底上的有机氨基硅烷前体,以与所吸附的至少一种有机氨基硅烷前体反应;和
f.任选地吹扫或抽吸掉任何未反应的含氧源。
另一个方面,提供了通过PEALD或PECCVD工艺形成氮化硅和碳氮化硅膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入含氮源和至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用吹扫气体以及含氮源一起吹扫反应器;
d.施加RF等离子体;和
e.用吹扫气体吹扫所述反应器或抽吸所述反应器,以除去未反应的有机氨基硅烷和任何副产物;并且其中重复步骤b至e直到获得期望的膜厚度。
上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望厚度的含硅膜。在这一实施方式或其它实施方式中,应该理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以其任何组合方式进行。供应前体和含氧源的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅膜的化学计量组成,但是相对于可用的硅,总是以低于化学计量的量使用氧。
对于多成分含硅膜,其它前体如含硅前体、含氮前体、还原剂或其它试剂可以交替地引入反应室中。
在本文描述的方法的进一步实施方式中,使用热CVD法沉积含硅膜。在该实施方式中,所述方法包括:
a.将一个或多个衬底置于被加热至从环境温度至约700℃范围内的一个或多个温度的反应器中;
b.引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
c.将含氧源提供至所述反应器中以至少部分地与所述至少一种有机氨基硅烷前体反应并将含硅膜沉积至所述一个或多个衬底上。在CVD方法的某些实施方式中,在引入步骤过程中将反应器维持在10毫托至760托范围的压力下。上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望厚度的含硅膜。在这一实施方式或其它实施方式中,应理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以其任何组合方式进行。供应前体和含氧源的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅膜的化学计量组成,但是相对于可用的硅,总是以低于化学计量的量使用氧。
在本文描述的方法的进一步的实施方式中,使用本文描述的式A至E的前体沉积无定形或晶体硅膜。在该实施方式中,所述方法包括:
a.将一个或多个衬底置于被加热至从环境温度至约700℃范围内的一个或多个温度的反应器中;
b.引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
c.将还原剂提供至所述反应器中,以至少部分地与所述至少一种有机氨基硅烷前体反应并将含硅膜沉积至所述一个或多个衬底上。所述还原剂选自氢、氢等离子体、氯化氢。在CVD方法的某些实施方式中,在引入步骤过程中将反应器维持在10毫托至760托范围的压力下。上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望的膜厚度。
对于多成分含硅膜,其它前体如含硅前体、含氮前体、含氧源、还原剂和/或其它试剂可以交替地引入反应室中。
在本文描述的方法的进一步实施方式中,使用热CVD工艺沉积含硅膜,在该实施方式中,所述方法包括:
a.将一个或多个衬底置于被加热至从环境温度至约700℃范围内的一个或多个温度的反应器中;
b.引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
c.将含氮源提供至所述反应器中,以至少部分地与所述至少一种有机氨基硅烷前体反应并将含硅膜沉积至所述一个或多个衬底上。在CVD方法的某些实施方式中,在引入步骤过程中将反应器维持在10毫托至760托范围的压力下。
在本文描述的方法的进一步实施方式中,有机氨基硅烷前体用于沉积含硅膜,所述含硅膜为无定形膜膜、晶体硅膜或其混合物。在这些实施方式中,使用选自ALD或循环CVD的沉积方法形成所述含硅膜,所述方法包括以下步骤:
将衬底置于其被加热到从环境温度到大约700℃的范围的温度并保持在1托或更低的压力下的反应器中;
引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
将还原剂提供至所述反应器中,以至少部分地与所述至少一种有机氨基硅烷前体反应并将含硅膜沉积至所述一个或多个衬底上,其中还原剂为选自氢、氢等离子体或氯化氢中的至少一种。上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望厚度的含硅膜。所述膜的期望厚度为
另一方面,提供了一种采用选自等离子体增强原子层沉积(PEALD)工艺和等离子体增强循环化学气相沉积(PECCVD)工艺的沉积工艺在衬底的至少一个表面上形成含硅膜的方法,所述方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.在ALD反应器中提供至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用惰性气体吹扫ALD反应器;
d.在ALD反应器中提供等离子体源;
e.用惰性气体吹扫ALD反应器;并且其中重复步骤b至e直到获得期望的含硅膜厚度。所述等离子体源选自氢等离子体、氩等离子体、氦等离子体、氖等离子体、氙等离子体及其混合物。所述含硅膜选自碳氮化硅、碳化硅、氮化硅、碳氮化硅和碳氧氮化硅。
在又一个方面,提供通过原子层沉积或循环化学气相沉积工艺或化学气相沉积在低于常规硅前体的温度下沉积无定形或晶体硅膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用吹扫气体吹扫所述反应器,其中重复步骤b至c直到得到期望的硅膜厚度。
据信式A-E前体在加热时可以产生H2Si:二基或H3Si·基团,这可以促进含Si-Si键的低聚物的形成或锚定在衬底的表面上。这些低聚物或锚定的SiH2或SiH3可以进一步形成无定形硅膜。在这一或其他实施方式中,这些低聚物起到硅或氧化硅膜的后续沉积的晶种层的作用。
在某些实施方式中,具有本文描述的式A-E的有机氨基硅烷前体也可以用作含金属膜(例如,但不限于,金属氧化物膜或金属氮化物膜)的掺杂剂。在这些实施方式中,使用ALD或CVD工艺(如本文描述的那些工艺),利用金属醇盐、金属氨基化物或挥发性有机金属前体沉积含金属膜。可以用于本文描述的方法中的合适的金属醇盐前体的例子包括,但不限于,3-6族金属醇盐、具有烷氧基和烷基取代的环戊二烯基配体二者的3-6族金属络合物、具有烷氧基和烷基取代的吡咯基配体二者的3-6族金属络合物、具有烷氧基和二酮根(diketonate)配体二者的3-6族金属络合物、具有烷氧基和酮酯配体二者的3-6族金属络合物;可以用于本文描述的方法的合适金属氨基化物前体的例子包括,但不限于,四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)双(二乙基氨基)钨、二(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。可以用于本文公开的方法的合适的有机金属前体的例子包括,但不限于,3族金属环戊二烯基化物或烷基环戊二烯基化物。本文的示例性3-6族金属包括,但不限于,Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。
在某些实施方式中,所产生的含硅膜或涂层可以进行沉积后处理,例如,但不限于,等离子体处理、化学处理、紫外光照射、电子束照射和/或影响膜的一种或多种性质的其它处理。
在某些实施方式中,本文描述的含硅膜具有6或更低的介电常数。在这些实施方式或其它实施方式中,膜可以具有大约5或更低的、或者大约4或更低的、或者大约3.5或更低的介电常数。但是,可以预想的是,可以根据膜的预期最终用途形成具有其它介电常数(例如,更高或更低)的膜。使用本文描述的有机氨基硅烷前体和方法形成的含硅膜的实例具有式SixOyCzNvHw,其中Si的范围为大约10%至大约40%,O的范围为大约0%至大约65%,C的范围为大约0%至大约75%或大约0%至大约50%,N的范围为大约0%至大约75%或大约0%至大约50%,和H的范围为大约0%至大约50%,以上百分比为原子重量百分比,其中x+y+z+v+w=100原子重量百分比,如例如通过XPS或其它方法测定的。
如前所述,本文描述的方法可以用于在衬底的至少一部分上沉积含硅膜。合适的衬底的例子包括,但不限于,硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化的碳化硅、氮化硅、氢化的氮化硅、碳氮化硅、氢化的碳氮化硅、氮化硼、抗反射涂层、光致抗蚀剂、柔性衬底、有机聚合物、多孔有机和无机材料、金属(如铜和铝)及扩散屏障层(例如,但不限于,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN)。膜与多种后续的处理步骤相容,例如,举例来说,化学机械抛光(CMP)和各向异性蚀刻处理。
沉积的膜具有包括,但不限于,计算机芯片、光学器件、磁信息存储、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)的应用。
以下实施例举例说明了本文描述的制备有机氨基硅烷前体的方法以及沉积本文描述的含硅膜的方法,但不意图以任何方式限制本发明。
具体实施方式
在以下实施例中,除非另有说明,从沉积到介质电阻率(8-12Ωcm)的单晶硅晶片衬底上的样品膜获得性质。
实施例1:1-二-异丙基氨基-1,4-二硅杂丁烷的合成
在配备有机械搅拌器、冷凝器和加料漏斗的三颈圆底烧瓶中,将1当量的1,4-二硅杂丁烷的己烷溶液用冷水浴冷却到-20℃。在搅拌下通过加料漏斗滴加0.5当量二异丙基氨基锂的四氢呋喃(THF)溶液。添加完成后,允许反应混合物升温到室温。反应混合物在室温下搅拌过夜,然后过滤。将从反应中作为副产物形成的白色沉淀氢化锂滤出。通过蒸馏去除滤液中的溶剂和过量的1,4-二硅杂丁烷。通过真空蒸馏获得产物1-二-异丙基氨基-1,4-二硅杂丁烷。气相色谱(GC)显示它是纯度大于98%的1-二-异丙基氨基-1,4-二硅杂丁烷。GC-MS显示以下峰值:189(M+),188(M-1),174(M-15),159,144,130,102。
实施例2:1-叔丁基-1-氮杂-2,5-二硅杂环戊烷的合成
在配备有机械搅拌器、冷凝器和加料漏斗的三颈圆底烧瓶中,将1当量1,4-二硅杂丁烷的己烷溶液用冷水浴冷却到-20℃。搅拌下通过加料漏斗滴加0.5当量叔丁基氨基锂的THF溶液。添加完成后,允许反应混合物升温到室温。反应混合物在室温下搅拌过夜,然后过滤。将从反应中作为副产物形成的白色沉淀氢化锂滤出。通过蒸馏去除滤液中的溶剂和过量的1,4-二硅杂丁烷。通过真空蒸馏获得产物1-叔丁基-1-氮杂-2,5-二硅杂环戊烷。气相色谱(GC)显示其纯度大于98%。GC-MS显示以下峰值:159(M+),158(M-1),144(M-15),128,114,100。
实施例3:1,4-双(二异丙基氨基)-1,4-二硅杂丁烷的合成
在配备有机械搅拌器、冷凝器和加料漏斗的三颈圆底烧瓶中,将0.5当量1,4-二硅杂丁烷的己烷溶液用冷水浴冷却到-20℃。搅拌下通过加料漏斗滴加1当量二异丙基氨基锂的THF溶液。添加完成后,允许反应混合物升温到室温。反应混合物在室温下搅拌过夜,然后过滤。将从反应中作为副产物形成的白色沉淀氢化锂滤出。通过蒸馏去除滤液中的溶剂。通过真空蒸馏获得产物1,4-双(二异丙基氨基)-1,4-二硅杂丁烷。B.P.124℃/1托。GC-MS显示以下峰值:288(M+),287(M-1),243,229,207,188,144,130。1H NMR:4.59(s,4H),3.03(m,4H),1.08(d,24H),0.73(t,4H)。13C NMR:47.76,24.42,7.76。
实施例4:1-二乙基氨基-1,4-二硅杂丁烷和1,4-双(二乙基氨基)-1,4-二硅杂丁烷的合成
在闪烁管中混合2当量1,4-二硅杂丁烷和1当量二乙胺。向其中添加作为THF溶液的1mol%的十二羰基三钌催化剂,并且所述混合物搅拌过夜。在溶液中观察到的两种主要产物是1-二乙基氨基-1,4-二硅杂丁烷和1,4-双(二乙基氨基)-1,4-二硅杂丁烷。GC-MS显示以下峰值:(a)1-二乙基氨基-1,4-二硅杂丁烷:161(M+),146(M-15),130,116,102,89,72;(b)1,4-双(二乙基氨基)-1,4-二硅杂丁烷:232(M+),217(M-15),203,187,173,160,146,130,116。
式A-E的其他有机氨基硅烷前体经由实施例1-4的类似方式制备并通过质谱法(MS)表征。各有机氨基硅烷前体的分子量(MW)、结构和相应的主要MS片断峰在表1中提供,以证实它们的鉴定。
表1:具有式A、B、C、D和E的有机氨基硅烷。
实施例5:采用1-二-异丙基氨基-1,4-二硅杂丁烷和臭氧的含硅膜的原子层沉积
在两个温度条件55℃和100℃下,在实验室规模ALD处理工具上进行以下沉积。通过蒸气吸引将硅前体递送至腔室。在进入沉积区之前相应地预热所有气体(例如,吹扫和反应气体或前体和氧源)。用具有高速致动的ALD隔膜阀控制气体和前体流速。用于所述沉积的衬底是12英寸长的硅条。将热电偶连接至样品支架以确认沉积期间衬底的温度。采用臭氧(6-19%wt)作为氧源气体进行沉积。
典型的ALD循环包括以下步骤:
a.在ALD反应器中提供衬底;
b.在ALD反应器中提供至少一种有机氨基硅烷前体6秒;
c.用惰性气体吹扫ALD反应器6秒;
d.在ALD反应器中提供臭氧4秒;
e.用惰性气体吹扫ALD反应器6秒。
重复步骤b至e直到获得期望的膜厚度。使用FilmTek 2000SE椭率计通过将来自膜的反射数据拟合至预设置的物理模型(例如洛伦兹振荡器模型)来测量膜的厚度和折射率。采用49%氢氟酸(HF)在去离子水中的1%溶液进行湿蚀刻率测定。热氧化晶片用作各批的参照以确认溶液浓度。1%HF水溶液的典型的热氧化晶片湿蚀刻率是蚀刻前后的膜厚度用于计算湿蚀刻率。由6点测量使用以下方程式计算厚度非均匀性:%非均匀性=((最大值–最小值)/(2*平均值))。采用X射线光电子光谱法(XPS)表征膜元素组成和密度。通过所生成膜的厚度除以总循环数来测定生长速率(GPC)。
表2:使用1-二-异丙基氨基-1,4-二硅杂丁烷和臭氧的含硅膜的工艺参数、每循环的生长(GPC)和折射率
aEx.膜5在有机氨基硅烷前体剂量后使用60秒的排气时间。
表3:使用1-二-异丙基氨基-1,4-二硅杂丁烷和臭氧的含硅膜通过XPS测定的膜组成
图1提供1-二-异丙基氨基-1,4-二硅杂丁烷膜(Ex.膜1、3、5在100℃的平均值)和Ex.膜4以及经由热ALD工艺采用以下有机氨基硅烷沉积的膜的每循环生长率对比温度:双(二乙基氨基)硅烷(BDEAS:I.Suzuki,K.Yanagita,and C.Dussarrat,ECS Trans.3(15),119(2007)andM.W.O’Neill,H.R.Bowen,A.Derecskei-Kovacs,K.S.Cuthill,B.Han andM.Xiao,Electrochemistry Society Interface Winter 2011,33(2011))、双(叔丁基氨基)硅烷(BTBAS:M.W.O’Neill,H.R.Bowen,A.Derecskei-Kovacs,K.S.Cuthill,B.Han and M.Xiao,ElectrochemistrySociety Interface Winter 2011,33(2011))、双(乙基甲基氨基)硅烷(BEMAS:S.J.Won,H-S.Jung,S.Suh,Y.J.Choi,N.-I.Lee,C.S.Hwang,H.J.Kim,J.Vac.Sci.Technol.A 30(1),01A126(2012))、三(二甲基氨基)硅烷(TRDMAS:L.Han,and Z.Chen,Z.ECS Journal of Solid State Scienceand Technology 2(11):N228-N236(2013))、二仲丁基氨基硅烷(DSBAS:A.Mallikarjunan,A.Derecskei-kovacs,H.Chandra,B.Han,M.Xiao,X.Lei,M.L.O.Neill,H.Liang,H.Bo,Z.Qingfan,H.Cheng,13th InternationalConference on Atomic Layer Deposition(2013))。如图1所示,采用本文描述的有机氨基硅烷沉积的含硅膜相对于其他参照有机氨基硅烷前体具有更高的生长速率。此外,沉积温度可以扩展至低于100℃的一个或多个温度,例如Ex.膜4在55℃的温度下沉积。取决于臭氧浓度,膜中的碳浓度为0.3wt%-9.6wt%,表明可以调整所生成的含硅膜的物理性质。
实施例6:使用1-二-异丙基氨基-1,4-二硅杂丁烷和氮/氩等离子体的含硅膜的等离子体增强的原子层沉积
采用1-二-异丙基氨基-1,4-二硅杂丁烷和氮/氩等离子体进行含硅膜的沉积。分别将硅晶片加热至100℃或300℃。沉积工艺采用300mm生产工具ASM Stellar 3000进行,重复1000次,采用以下工艺条件:
a.在ALD反应器中提供衬底
b.引入有机氨基硅烷前体:1-二-异丙基氨基-1,4-二硅杂丁烷
递送条件:Ar载气200sccm,将前体容器保持在室温下
腔室压力:2托
前体脉冲:1秒
c.惰性气体吹扫
氩气流:300sccm
腔室压力:2托
吹扫时间:5秒
d.氮/氩等离子体
氩气流:500sccm
氮气流:200sccm
腔室压力:2托
等离子体功率:500W
等离子体时间:5秒
e.吹扫等离子体
氩气流:300sccm
腔室压力:2托
吹扫时间:0.5秒
所生成的膜的沉积速率、折射率、密度以及在稀HF中湿蚀刻率列于下表4中。关于表4中的数据,据信氧来自沉积后当样品送往XPS分析时的空气暴露。
表4:使用1-二-异丙基氨基-1,4-二硅杂丁烷和氮/氩等离子体的沉积膜的沉积速率、折射率和膜性质
实施例7:使用1-二-异丙基氨基-1,4-二硅杂丁烷和氩等离子体的含硅膜的等离子体增强原子层沉积。
使用1-二-异丙基氨基-1,4-二硅杂丁烷和氩等离子体进行含硅膜的沉积。分别将硅晶片加热至100℃或300℃。沉积工艺采用300mm生产工具ASM Stellar 3000进行,重复1000次,采用以下工艺条件:
a.在ALD反应器中提供衬底
b.引入有机氨基硅烷前体:1-二-异丙基氨基-1,4-二硅杂丁烷
递送条件:Ar载气200sccm,将前体容器保持在室温下
腔室压力:2托
前体脉冲:1秒
c.惰性气体吹扫
氩气流:300sccm
腔室压力:2托
吹扫时间:2秒
d.氩等离子体
氩气流:500sccm
腔室压力:2托
等离子体功率:500W
等离子体时间:5秒
e.吹扫等离子体
氩气流:300sccm
腔室压力:2托
吹扫时间:2秒
所生成的膜的沉积速率、折射率、膜组成、密度以及在稀HF中湿蚀刻率列于下表5中。关于表5中的数据,据信氧来自沉积后当样品送往XPS分析时的空气暴露。
表5:使用1-二-异丙基氨基-1,4-二硅杂丁烷和氩等离子体的沉积膜的沉积速率、折射率和膜性质
稀HF中的湿蚀刻率小于其远低于相同条件下典型热氧化膜的湿蚀刻率表明本文描述的有机氨基硅烷影响由其沉积的含硅膜的所得的性质。

Claims (34)

1.一种有机氨基硅烷,其包含由下式A-E之一表示的化合物:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环。
2.根据权利要求1的有机氨基硅烷,其中R1和R2连接在一起形成环。
3.根据权利要求1的有机氨基硅烷,其包含具有式A的化合物,其中R1和R2相同并选自甲基、乙基、异丙基、正丙基和仲丁基,且其中R3选自亚甲基和亚乙基;n=1;和m=0。
4.根据权利要求1的有机氨基硅烷,其包含具有式D的化合物,其中当R3是亚乙基或亚丙基时R1不是异丙基(Pri)。
5.根据权利要求1的有机氨基硅烷,其包含具有式A的化合物,其中所述化合物是选自以下的至少一种:1-二甲基氨基-1,3-二硅杂丙烷、二异丙基氨基-1,3-二硅杂丙烷、1-二仲丁基氨基-1,3-二硅杂丙烷、1-二异丁基氨基-1,3-二硅杂丙烷、1-二叔戊基氨基-1,3-二硅杂丙烷、1-二乙基氨基-1,3-二硅杂丙烷、1-二甲基氨基-1,4-二硅杂丁烷、1-二乙基氨基-1,4-二硅杂丁烷、1-二异丙基氨基-1,4-二硅杂丁烷、1,3-双(二甲基氨基)-1,3-二硅杂丙烷、1,3-双(二异丙基氨基)-1,3-二硅杂丙烷、1,3-双(二仲丁基氨基)-1,3-二硅杂丙烷、1,3-双(二异丁基氨基-1,3-二硅杂丙烷、1,3-双(二叔戊基氨基)-1,3-二硅杂丙烷、1,3-双(二乙基氨基)-1,3-二硅杂丙烷、1,4-双(二甲基氨基)-1,4-二硅杂丁烷、1,4-双(二乙基氨基)-1,4-二硅杂丁烷、1,4-双(二异丙基氨基)-1,3-二硅杂丁烷、1,4-双(二仲丁基氨基)-1,4-二硅杂丁烷、1,4-双(二异丁基氨基)-1,4-二硅杂丁烷、1,4-双(异丙基-正丙基-氨基)-1,4-二硅杂丁烷、1,3-双(乙基甲基氨基)-1,3-二硅杂丁烷和1,4-双(乙基甲基氨基)-1,4-二硅杂丁烷。
6.根据权利要求1的有机氨基硅烷,其选自1-二异丙基氨基-1,4-二硅杂丁烷、1-乙基甲基氨基-1,4-二硅杂丁烷、1-二仲丁基氨基-1,4-二硅杂丁烷、1-苯基甲基氨基-1,4-二硅杂丁烷、2,6-二甲基哌啶子基-1,4-二硅杂丁烷、苯基乙基氨基-1,4-二硅杂丁烷、1-二异丙基氨基-1,3-二硅杂丙烷、1-乙基甲基氨基-1,3-二硅杂丙烷、1-二仲丁基氨基-1,3-二硅杂丙烷、1-苯基甲基氨基-1,3-二硅杂丙烷、2,6-二甲基哌啶子基-1,3-二硅杂丙烷和苯基乙基氨基-1,3-二硅杂丙烷。
7.一种组合物,其包含
(a)至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
(b)溶剂,其中所述溶剂具有沸点且其中所述溶剂的沸点和至少一种有机氨基硅烷的沸点之差为40℃或更小。
8.根据权利要求7的组合物,其包含选自以下的至少一种有机氨基硅烷前体:1-二甲基氨基-1,4-二硅杂丁烷、1-二乙基氨基-1,4-二硅杂丁烷、1-乙基甲基氨基-1,4-二硅杂丁烷、1-二异丙基氨基-1,4-二硅杂丁烷、1-二仲丁基氨基-1,4-二硅杂丁烷、1-苯基甲基氨基-1,4-二硅杂丁烷、2,6-二甲基哌啶子基-1,4-二硅杂丁烷、苯基乙基氨基-1,4-二硅杂丁烷、1-二甲基氨基-1,3-二硅杂丙烷、1-二乙基氨基-1,3-二硅杂丙烷、1-乙基甲基氨基-1,3-二硅杂丙烷、二异丙基氨基-1,3-二硅杂丙烷、1-二仲丁基氨基-1,3-二硅杂丙烷、1-苯基甲基氨基-1,3-二硅杂丙烷、2,6-二甲基哌啶子基-1,3-二硅杂丙烷和苯基乙基氨基-1,3-二硅杂丙烷。
9.根据权利要求7或8所述的组合物,其包含选自醚、叔胺、烷烃、芳烃、和叔氨基醚中的至少一种溶剂。
10.一种通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺在衬底的至少一个表面上形成含硅膜的方法,所述方法包括:
在反应室中提供所述衬底的所述至少一个表面;
引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
向所述反应器中引入含氮源,其中所述至少一种有机氨基硅烷前体和所述含氮源反应以在所述至少一个表面上形成膜。
11.一种通过原子层沉积(ALD)工艺形成含硅膜的方法,所述方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.向所述ALD反应器中提供至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用惰性气体吹扫所述ALD反应器;
d.向所述ALD反应器中提供含氮源;
e.用惰性气体吹扫所述ALD反应器;和其中重复步骤b至e直到获得期望的膜厚度。
12.一种使用选自等离子体增强原子层沉积(PEALD)工艺和PECCVD工艺的沉积工艺在衬底的至少一个表面上形成含硅膜的方法,所述方法包括:
a.在ALD反应器中提供衬底;
b.在ALD反应器中提供至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用惰性气体吹扫所述ALD反应器;
d.在所述ALD反应器中提供等离子体含氮源;
e.用惰性气体吹扫所述ALD反应器;和其中重复步骤b至e直到获得期望的含硅膜厚度。
13.权利要求10-12任一项所述的方法,其中所述含硅膜选自氮化硅和碳氮化硅。
14.根据权利要求10-13任一项所述的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氩等离子体、氮/氦等离子体、氮/氢等离子体、有机胺、有机胺等离子体及其混合物。
15.一种在衬底上形成氧化硅或碳掺杂的氧化硅膜的方法,其包括:
使含氧源与包含至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷的前体在气相沉积中反应以在所述衬底上形成膜:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环。
16.根据权利要求15的方法,其中所述气相沉积为选自化学气相沉积、低压气相沉积、等离子体增强化学气相沉积、循环化学气相沉积、等离子体增强循环化学气相沉积、原子层沉积和等离子体增强原子层沉积中的至少一种。
17.根据权利要求15或16所述的方法,其中所述反应步骤在200℃或更低的温度下、优选在100℃或更低的温度下、并更优选在50℃或更低的温度下进行。
18.一种在衬底上形成氧化硅或碳掺杂的氧化硅膜的方法,其包括:
通过气相沉积从包含至少一种有机氨基硅烷前体和至少一种含氧源的组合物在所述衬底上形成膜,所述有机氨基硅烷前体包含由下式A-E之一表示的化合物:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
其中所述气相沉积为选自化学气相沉积、低压气相沉积、等离子体增强化学气相沉积、循环化学气相沉积、等离子体增强循环化学气相沉积、原子层沉积和等离子体增强原子层沉积中的至少一种。
19.根据权利要求18所述的方法,其中所述形成步骤在200℃或更低的温度下、优选在100℃或更低的温度下、并更优选在50℃或更低的温度下进行。
20.一种在衬底上形成氧化硅或碳掺杂的氧化硅膜的方法,其包括:
向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
向所述反应器中引入至少一种含氧源,其中所述至少一种含氧源与所述有机氨基硅烷反应以在所述衬底上提供膜。
21.一种在衬底上形成氧化硅或碳掺杂的氧化硅膜的方法,其中所述膜具有一定厚度,所述方法包括:
a.引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
b.将所述至少一种有机氨基硅烷前体化学吸附到所述衬底上;
c.使用吹扫气体吹扫掉未反应的所述至少一种有机氨基硅烷前体;
d.将含氧源提供至所述加热的衬底上的有机氨基硅烷前体以与所吸附的至少一种有机氨基硅烷前体反应;和
e.任选地吹扫掉任何未反应的含氧源。
22.根据权利要求21的方法,其中重复步骤a到d和任选的步骤e直到形成所述的膜厚度。
23.根据权利要求21或22所述的方法,其中所述化学吸附步骤在200℃或更低的温度下、优选在100℃或更低的温度下、并更优选在50℃或更低的温度下进行。
24.权利要求21-23任一项所述的方法,其是原子层沉积工艺或等离子体增强循环化学气相沉积工艺。
25.一种使用选自ALD或循环CVD的沉积方法形成含硅膜的方法,所述方法包括以下步骤:
a.将衬底置于被加热到从大约环境温度到大约700℃的范围的一个或多个温度下的反应器中;
b.引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.任选地使用吹扫气体吹扫掉未反应的所述至少一种有机氨基硅烷前体;
d.向所述反应器中提供还原剂以至少部分地与所吸附的有机氨基硅烷反应;和
e.任选地吹扫掉任何未反应的还原剂,其中重复步骤b到e直到获得期望的厚度。
26.权利要求25的方法,其中所述还原剂是选自氢、氢等离子体或氯化氢中的至少一种。
27.一种通过选自原子层沉积、循环化学气相沉积工艺和化学气相沉积的沉积工艺沉积无定形或晶体硅膜的方法,所述方法包括步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
c.用吹扫气体吹扫所述反应器或抽吸所述反应器,其中重复步骤b到c直到获得期望的膜厚度。
28.一种采用选自等离子体增强原子层沉积(PEALD)工艺和等离子体增强循环化学气相沉积(PECCVD)工艺的沉积工艺在衬底的至少一个表面上形成含硅膜的方法,所述方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.在ALD反应器中提供至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;
c.用惰性气体吹扫ALD反应器;
d.在所述ALD反应器中提供等离子体源;
e.用惰性气体吹扫所述ALD反应器;并且其中重复步骤b至e直到获得期望的含硅膜厚度。
29.根据权利要求28所述的方法,其中所述等离子体源选自氢等离子体、氢/氩等离子体、氩等离子体、氦等离子体、氢/氦等离子体、氖等离子体、氢/氖等离子体、氙等离子体、氢/氙等离子体及其混合物。
30.根据权利要求28或29所述的方法,其中所述含硅膜选自碳氮化硅、碳化硅、氮化硅、碳氮化硅和碳氧氮化硅。
31.根据权利要求10-30任一项所述的方法,其中所述至少一种有机氨基硅烷前体选自:1-二甲基氨基-1,4-二硅杂丁烷、1-二乙基氨基-1,4-二硅杂丁烷、1-乙基甲基氨基-1,4-二硅杂丁烷、1-二异丙基氨基-1,4-二硅杂丁烷、1-二仲丁基氨基-1,4-二硅杂丁烷、1-苯基甲基氨基-1,4-二硅杂丁烷、2,6-二甲基哌啶子基-1,4-二硅杂丁烷、苯基乙基氨基-1,4-二硅杂丁烷、1-二甲基氨基-1,3-二硅杂丙烷、1-二乙基氨基-1,3-二硅杂丙烷、1-乙基甲基氨基-1,3-二硅杂丙烷、二异丙基氨基-1,3-二硅杂丙烷、1-二仲丁基氨基-1,3-二硅杂丙烷、1-苯基甲基氨基-1,3-二硅杂丙烷、2,6-二甲基哌啶子基-1,3-二硅杂丙烷和苯基乙基氨基-1,3-二硅杂丙烷。
32.一种用于输送前体以沉积含硅膜的容器,所述容器包含:
至少一种包含由下式A-E之一表示的化合物的有机氨基硅烷前体:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;和
其中所述前体的纯度为约98%或更高。
33.权利要求32的容器,其中所述容器由不锈钢构成。
34.一种制备包含由下式A-E之一表示的化合物的有机氨基硅烷前体的方法:
其中R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基;式A中的n等于1或2;式A中的m等于0、1、2或3;式E中的p和q等于1或2;且任选其中式D中的R3形成选自具有两个硅原子和至少一个氮原子的四元、五元或六元环的环;所述方法包括以下步骤:
使具有选自R1R2NH和R1NH2的通式的胺,其中所述胺中的R1选自直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基;其中所述胺中的R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C3-C10环烷基、和C5-C10芳基,与包含至少一种选自以下的化合物的硅源:
其中所述硅源中的R3和R4各自独立地选自直链或支链C1-C10亚烷基、直链或支链C3-C6亚烯基、直链或支链C3-C6亚炔基、C3-C10亚环烷基、C3-C10亚杂环烷基、C5-C10亚芳基、和C5-C10亚杂芳基,在催化剂存在下在足以使至少一部分所述硅源和至少一部分所述胺反应以提供所述有机氨基硅烷的反应条件下进行反应。
CN201410486674.7A 2013-09-20 2014-09-22 有机氨基硅烷前体和包含该前体的沉积膜的方法 Active CN104672265B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361880261P 2013-09-20 2013-09-20
US61/880,261 2013-09-20
US14/483,751 US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same
US14/483,751 2014-09-11

Publications (2)

Publication Number Publication Date
CN104672265A true CN104672265A (zh) 2015-06-03
CN104672265B CN104672265B (zh) 2020-10-30

Family

ID=51660307

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410486674.7A Active CN104672265B (zh) 2013-09-20 2014-09-22 有机氨基硅烷前体和包含该前体的沉积膜的方法

Country Status (6)

Country Link
US (4) US10453675B2 (zh)
EP (4) EP2860182B1 (zh)
JP (6) JP2015096489A (zh)
KR (3) KR101749705B1 (zh)
CN (1) CN104672265B (zh)
TW (4) TWI535729B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107002236A (zh) * 2014-09-23 2017-08-01 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
CN107794515A (zh) * 2016-09-01 2018-03-13 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN108395450A (zh) * 2017-02-08 2018-08-14 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
CN109476848A (zh) * 2016-03-23 2019-03-15 乔治洛德方法研究和开发液化空气有限公司 形成含si膜的组合物及其制造与使用方法
CN109804101A (zh) * 2016-10-14 2019-05-24 弗萨姆材料美国有限责任公司 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
CN109890997A (zh) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 产生薄含硅膜的方法
CN109963963A (zh) * 2016-09-19 2019-07-02 弗萨姆材料美国有限责任公司 用于沉积氧化硅膜的组合物和方法
CN110612364A (zh) * 2017-03-17 2019-12-24 弗萨姆材料美国有限责任公司 在含硅表面上的选择性沉积
CN111094181A (zh) * 2017-07-31 2020-05-01 Ddp特种电子材料美国第9有限公司 1,1,1-三(有机氨基)二硅烷化合物及其制备方法
CN111128719A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 图案形成方法和用于制造半导体器件的方法
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
CN115504477A (zh) * 2016-09-28 2022-12-23 美国陶氏有机硅公司 氯二硅氮烷

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20150034123A (ko) 2012-07-20 2015-04-02 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2016007708A1 (en) * 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6484478B2 (ja) * 2015-03-25 2019-03-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9815858B2 (en) * 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
EP3310942B1 (en) * 2015-06-16 2022-07-13 Versum Materials US, LLC Processes for depositing silicon-containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20180202042A1 (en) * 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR101786230B1 (ko) * 2015-12-01 2017-10-18 주식회사 포스코 내식성 및 에칭성이 우수한 금속 박판 및 그 제조방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20220178028A1 (en) * 2019-04-25 2022-06-09 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
KR20220163999A (ko) 2020-04-02 2022-12-12 버슘머트리얼즈 유에스, 엘엘씨 실리콘 함유 필름의 증착을 위한 유기아미노-작용기화된 사이클릭 올리고실록산
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024505193A (ja) * 2021-01-26 2024-02-05 インテグリス・インコーポレーテッド 高スループット堆積方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
WO2023027816A1 (en) * 2021-08-25 2023-03-02 Entegris, Inc. Silicon precursors
CN117980531A (zh) * 2021-08-30 2024-05-03 恩特格里斯公司 硅前体材料、含硅膜和相关方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002158223A (ja) * 2000-11-17 2002-05-31 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP2003151972A (ja) * 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
CN102295657A (zh) * 2010-06-02 2011-12-28 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
CN103012457A (zh) * 2011-09-27 2013-04-03 气体产品与化学公司 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
WO1998043952A1 (fr) * 1997-03-31 1998-10-08 Daikin Industries, Ltd. Procede de production de derives d'acide ethersulfonique de perfluorovinyle et copolymere correspondant
IT1301973B1 (it) * 1998-07-31 2000-07-20 Ausimont Spa Idro-fluoroalchilvinileteri e procedimento per il loro ottenimento
JP2004018454A (ja) * 2002-06-14 2004-01-22 Daikin Ind Ltd 含フッ素フルオロスルフォニルアルキルビニルエーテル製造方法
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20090110884A1 (en) 2007-10-29 2009-04-30 Integrated Surface Technologies Surface Coating
CN102317496A (zh) 2009-02-16 2012-01-11 三菱树脂株式会社 阻气性层压膜的制造方法
CN102247821B (zh) 2010-05-21 2013-06-26 月旭材料科技(上海)有限公司 高pH耐受性色谱填料及制备方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5596654B2 (ja) 2011-10-14 2014-09-24 丸善製薬株式会社 リパーゼ阻害剤
JP5969253B2 (ja) * 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
CN105555383B (zh) 2013-06-11 2018-03-20 沃特世科技公司 包含表面多孔材料的色谱柱和分离装置及其用于超临界流体色谱及其他色谱的用途
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2016007708A1 (en) 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
WO2016049154A1 (en) * 2014-09-23 2016-03-31 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002158223A (ja) * 2000-11-17 2002-05-31 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
JP2003151972A (ja) * 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
CN102295657A (zh) * 2010-06-02 2011-12-28 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
CN103012457A (zh) * 2011-09-27 2013-04-03 气体产品与化学公司 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HUBERT SCHMIDBAUR ET AL.: "Die unterschiedliche Reaktivität von 1,4-Disilabutan und n-Tetrasilan gegenüber sekundären Aminen", 《Z. NATURFORSCH.》 *

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
CN107002236A (zh) * 2014-09-23 2017-08-01 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
CN109476848A (zh) * 2016-03-23 2019-03-15 乔治洛德方法研究和开发液化空气有限公司 形成含si膜的组合物及其制造与使用方法
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
TWI753794B (zh) * 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
CN109476848B (zh) * 2016-03-23 2021-06-22 乔治洛德方法研究和开发液化空气有限公司 形成含si膜的组合物及其制造与使用方法
CN107794515A (zh) * 2016-09-01 2018-03-13 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN109963963B (zh) * 2016-09-19 2021-06-25 弗萨姆材料美国有限责任公司 用于沉积氧化硅膜的组合物和方法
CN109963963A (zh) * 2016-09-19 2019-07-02 弗萨姆材料美国有限责任公司 用于沉积氧化硅膜的组合物和方法
CN115504477A (zh) * 2016-09-28 2022-12-23 美国陶氏有机硅公司 氯二硅氮烷
TWI661082B (zh) * 2016-10-14 2019-06-01 美商慧盛材料美國責任有限公司 用於高成長速率含矽膜的碳橋接的胺基矽烷化合物
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
CN109804101A (zh) * 2016-10-14 2019-05-24 弗萨姆材料美国有限责任公司 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
US11149349B2 (en) 2016-10-25 2021-10-19 Basf Se Process for the generation of thin silicon-containing films
CN109890997A (zh) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 产生薄含硅膜的方法
CN114318299A (zh) * 2017-02-08 2022-04-12 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
CN114318291A (zh) * 2017-02-08 2022-04-12 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
CN108395450A (zh) * 2017-02-08 2018-08-14 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
CN110612364A (zh) * 2017-03-17 2019-12-24 弗萨姆材料美国有限责任公司 在含硅表面上的选择性沉积
CN110612364B (zh) * 2017-03-17 2022-04-05 弗萨姆材料美国有限责任公司 在含硅表面上的选择性沉积
CN111094181A (zh) * 2017-07-31 2020-05-01 Ddp特种电子材料美国第9有限公司 1,1,1-三(有机氨基)二硅烷化合物及其制备方法
CN111094181B (zh) * 2017-07-31 2023-04-21 南大光电半导体材料有限公司 1,1,1-三(有机氨基)二硅烷化合物及其制备方法
CN111128719A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 图案形成方法和用于制造半导体器件的方法
CN111128719B (zh) * 2018-10-31 2023-01-06 台湾积体电路制造股份有限公司 图案形成方法和用于制造半导体器件的方法
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积

Also Published As

Publication number Publication date
CN104672265B (zh) 2020-10-30
JP2019194226A (ja) 2019-11-07
US20170207084A1 (en) 2017-07-20
KR101749705B1 (ko) 2017-06-23
TW201722968A (zh) 2017-07-01
EP3594219A1 (en) 2020-01-15
EP3339312B1 (en) 2021-05-12
JP6928035B2 (ja) 2021-09-01
JP2021185150A (ja) 2021-12-09
TW201629074A (zh) 2016-08-16
US10453675B2 (en) 2019-10-22
EP3095788A3 (en) 2017-04-05
EP2860182A3 (en) 2015-08-05
EP3339312A1 (en) 2018-06-27
EP3095788A2 (en) 2016-11-23
EP2860182B1 (en) 2020-01-22
TW201609767A (zh) 2016-03-16
JP6777680B2 (ja) 2020-10-28
EP3594219B1 (en) 2023-01-25
JP2018150312A (ja) 2018-09-27
JP2023145538A (ja) 2023-10-11
TWI582100B (zh) 2017-05-11
JP6588480B2 (ja) 2019-10-09
TWI535729B (zh) 2016-06-01
TW201512210A (zh) 2015-04-01
EP2860182A2 (en) 2015-04-15
US20210407793A1 (en) 2021-12-30
KR20160080099A (ko) 2016-07-07
KR20170073562A (ko) 2017-06-28
JP2015096489A (ja) 2015-05-21
US20150087139A1 (en) 2015-03-26
TWI636988B (zh) 2018-10-01
US11139162B2 (en) 2021-10-05
TWI652278B (zh) 2019-03-01
JP2017125017A (ja) 2017-07-20
US20200051811A1 (en) 2020-02-13
KR20150032816A (ko) 2015-03-30
EP3095788B1 (en) 2019-07-03
US10460929B2 (en) 2019-10-29

Similar Documents

Publication Publication Date Title
JP6928035B2 (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
CN103451619B (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN103450242B (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN102295657B (zh) 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
CN102827198B (zh) 有机氨基硅烷前体及其制备和使用方法
TW201900660A (zh) 作為高成長速率含矽膜的前驅物的官能化環矽氮烷

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170602

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant