CN107002236A - 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法 - Google Patents

用于沉积含Si膜的碳硅烷取代的胺前体以及其方法 Download PDF

Info

Publication number
CN107002236A
CN107002236A CN201580050013.2A CN201580050013A CN107002236A CN 107002236 A CN107002236 A CN 107002236A CN 201580050013 A CN201580050013 A CN 201580050013A CN 107002236 A CN107002236 A CN 107002236A
Authority
CN
China
Prior art keywords
sih
precursor
nme
oet
ome
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580050013.2A
Other languages
English (en)
Other versions
CN107002236B (zh
Inventor
克洛迪娅·法法尔德
文卡特斯瓦拉·R·帕伦姆
让-马克·吉拉尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN107002236A publication Critical patent/CN107002236A/zh
Application granted granted Critical
Publication of CN107002236B publication Critical patent/CN107002236B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Thermal Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

披露了包含碳硅烷取代的胺前体的含Si膜形成组合物。该碳硅烷取代的胺前体具有式(R1)aN(‑SiHR2‑CH2‑SiH2R3)3‑a,其中a=0或1;R1是H、C1至C6烷基、或卤素;R2和R3各自独立地是H;卤素;具有式OR’的烷氧基,其中R’是烷基(C1至C6);或具有式NR”2的烷基氨基,其中每个R”独立地是H、C1‑C6烷基、C1‑C6烯基、或C3‑C10芳基或杂环基。还披露了合成该碳硅烷取代的胺前体的方法及它们用于沉积工艺的用途。

Description

用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
相关申请的交叉引用
本申请要求2014年9月23日提交的美国临时申请序列号62/054,198的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
技术领域
披露了包含碳硅烷取代的胺前体的含Si膜形成组合物。该碳硅烷取代的胺前体具有式(R1)aN(-SiHR2-CH2-SiH2R3)3-a,其中a=0或1;R1是H、C1至C6烷基、或卤素;R2和R3各自独立地是H;卤素;具有式OR'的烷氧基,其中R’是烷基(C1至C6);或具有式NR”2的烷基氨基,其中每个R”独立地是H、C1-C6烷基、C1-C6烯基、或C3-C10芳基或杂环基。还披露了合成该碳硅烷取代的胺前体的方法及它们用于沉积工艺的用途。
背景
含Si薄膜广泛用于半导体、光伏、LCD-TFT、平板型器件、耐火材料或航空工业中。含Si薄膜可以例如被用作可以是绝缘的具有电特性的介电材料(SiO2、SiN、SiC、SiCN、SiCOH、MSiOx,其中M是Hf、Zr、Ti、Nb、Ta、或Ge并且x是0-4)。含Si薄膜还可以被用作导电膜,如金属硅化物或金属硅氮化物。由于由电器件构造朝向纳米尺度(尤其低于28nm节点)的缩小规模施加的严格要求,要求越来越微调的分子前体,这些前体满足挥发性(对于蒸气工艺)、较低的工艺温度、与各种氧化剂的反应性和低膜污染,还有所产生的膜的高沉积速率、保形性和一致性的要求。
三甲硅烷基胺[N(SiH3)3]已经被用于氮化硅和氮氧化硅膜的气相沉积(参见例如,Dussarrat等人的WO 2004/030071)。
Hizawa和Nojimoto(Kogyo Kagaku Zasshi,1956,59,1359-63)描述了从Me3SiCH2SiMe2Cl和NH3的反应合成(Me3SiCH2SiMe2)2NH。
Topchiev等人(Doklady Akademii Nauk SSSR,1956,109,787-90和Issled.vObl.Kremniiorgan.Soedin.,Sintez i Fiz.-Khim.Svoistva,Akad.Nauk SSSR,Inst.Neftekhim.Sinteza,Sb.Statei,1962,130-45)披露了在5℃-10℃下、并且最终在60℃-80℃下将Br添加至R3SiCH2SiR2H中,产生了R3SiCH2SiR2Br,其中R=Me、Et、Pr、或Bu。将Et2O或MePh中的这些用NH3处理、在回流MePh中制备二级衍生物,产生了胺衍生物(R3SiCH2SiR2)2NH、以及R3SiCH2SiR2NH2。二级衍生物最好由伯胺衍生物和单-Br衍生物通过在MePh中回流接着是NH3的通过10小时制备的。
O'Neill等人(美国专利申请公开号2015/0087139)披露了五类有机氨基硅烷前体,包括H3Si-R3-SiH2-NR1-SiH2-R3-SiH3,其中R1是直链或支链的C1至C10烷基、直链或支链的C3至C10烯基、直链或支链的C3至C10炔基、C3至C10环烷基、或C5至C10芳基,并且R3是直链或支链的C1至C10亚烷基、直链或支链的C3至C6亚炔基、C3至C10环亚烷基、C3至C10杂环亚烷基、C5至C10亚芳基、或C5至C10杂亚芳基。
尽管可用于含Si膜的沉积的宽范围选择,但是连续不断地寻求附加的前体以向设备工程师提供调整制造工艺要求并且实现具有令人希望的电特性和物理特性的膜的能力。
标记和命名
特定缩写、符号以及术语贯穿以下说明书和权利要求书使用,并且包括:
如在此所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如在此所使用,术语“大约(approximately)”或“约(about)”意指所陈述的值的±10%。
如在此所使用,当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于前述那个R基团的任何附加物种独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x是2或3,两个或三个R1基团可以但是不必是彼此或与R2或与R2相同的。进一步地,应理解,除非另外确切地指明,当用于不同式中时,R基团的值彼此独立。
如在此所使用,术语“烷基”是指仅仅含有碳和氢原子的饱和官能团。进一步地,术语“烷基”是指直链、支链、或环状烷基。直链烷基的实例包括但不限于,甲基、乙基、丙基、丁基等。支链烷基的实例包括但不限于叔丁基。环烷基的实例包括但不限于,环丙基、环戊基、环己基等。
如在此所使用,术语“芳基”是指其中一个氢原子已经从环上去除的芳环化合物。如在此所使用,术语“杂环”是指具有至少两种不同元素(不包括H)如C和S和/或N的原子作为其环的成员的环状化合物。
如在此所使用,术语“碳硅烷”是指具有骨架的直链或支链分子,该骨架具有交替的Si和C原子和至少一个Si-C-Si单元;首字母缩略词“DSP”代表二硅丙烷(disilapropane),并且更具体地说H3Si-CH2-SiH3或其配体类似物-H2Si-CH2-SiH3;术语“碳硅烷取代的胺前体”是指NR3分子,其中至少一个R并且优选地2或3个R是碳硅烷配体。
如在此所使用,缩写“Me”是指甲基;缩写“Et”是指乙基;缩写“Pr”是指任何丙基(即,正丙基或异丙基);缩写“iPr”是指异丙基;缩写“Bu”是指任何丁基(正丁基、异丁基、叔丁基、仲丁基);缩写“tBu”是指叔丁基;缩写“sBu”是指仲丁基;缩写“iBu”是指异丁基;缩写“Ph”是指苯基;缩写“Am”是指任何戊基(异戊基、仲戊基、叔戊基);缩写“Cy”是指环烷基(环丁基、环戊基、环己基等)。
如在此所使用,首字母缩略词“SRO”代表锶钌氧化物膜;首字母缩略词“HCDS”代表六氯二硅烷(Si2Cl6);并且首字母缩略词“PCDS”代表五氯二硅烷(Si2HCl5)。
在此使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳等)。
附图简要说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在所述附图中相似元件给予相同或类似的参考号,并且其中:
图1是展示了对于iPrN(-SiH2-CH2-SiH3)2随着温度变化重量损失的百分比的热重量分析(TGA)曲线图;并且
图2是展示了对于N(-SiH2-CH2-SiH3)3随着温度变化重量损失的百分比的TGA曲线图。
概述
披露的是含Si膜形成组合物,这些组合物包含具有式(R1)aN(-SiHR2-CH2-SiH2R3)3-a的碳硅烷取代的胺前体,其中a=0或1;R1是H、C1至C6烷基、或卤素;R2和R3各自独立地是H,卤素,具有式OR’的烷氧基,其中R’是烷基(C1至C6),或具有式NR”2的烷基氨基,其中每个R”独立地是H、C1-C6烷基、C1-C6烯基、或C3-C10芳基或杂环基。所披露的前体可以包括以下方面中的一项或多项:
●a=0:
●该式是N(-SiHR2-CH2-SiH2R3)3
●R2=H:
●该式是N(-SiH2-CH2-SiH2R3)3
●该前体是N(SiH2-CH2-SiH3)3
●该前体是N(SiH2-CH2-SiH2(Cl))3
●该前体是N(SiH2-CH2-SiH2(Br))3
●该前体是N(SiH2-CH2-SiH2(I))3
●该前体是N(SiH2-CH2-SiH2(NH2))3
●该前体是N(SiH2-CH2-SiH2(NMe2))3
●该前体是N(SiH2-CH2-SiH2(NMeEt))3
●该前体是N(SiH2-CH2-SiH2(NEt2))3
●该前体是N(SiH2-CH2-SiH2(NnPr2))3
●该前体是N(SiH2-CH2-SiH2(NiPr2))3
●该前体是N(SiH2-CH2-SiH2(NBu2))3
●该前体是N(SiH2-CH2-SiH2(NiBu2))3
●该前体是N(SiH2-CH2-SiH2(NtBu2))3
●该前体是N(SiH2-CH2-SiH2(NAm2))3
●该前体是N(SiH2-CH2-SiH2(NCy戊基2))3
●该前体是N(SiH2-CH2-SiH2(N己基2))3
●该前体是N(SiH2-CH2-SiH2(NCyHex2))3
●该前体是N(SiH2-CH2-SiH2(NMeH))3
●该前体是N(SiH2-CH2-SiH2(NEtH))3
●该前体是N(SiH2-CH2-SiH2(NnPrH))3
●该前体是N(SiH2-CH2-SiH2(NiPrH))3
●该前体是N(SiH2-CH2-SiH2(NBuH))3
●该前体是N(SiH2-CH2-SiH2(NiBuH))3
●该前体是N(SiH2-CH2-SiH2(NtBuH))3
●该前体是N(SiH2-CH2-SiH2(NAmH))3
●该前体是N(SiH2-CH2-SiH2(OH))3
●该前体是N(SiH2-CH2-SiH2(OMe))3
●该前体是N(SiH2-CH2-SiH2(OEt))3
●该前体是N(SiH2-CH2-SiH2(OnPr))3
●该前体是N(SiH2-CH2-SiH2(OiPr))3
●该前体是N(SiH2-CH2-SiH2(OBu))3
●该前体是N(SiH2-CH2-SiH2(OiBu))3
●该前体是N(SiH2-CH2-SiH2(OtBu))3
●该前体是N(SiH2-CH2-SiH2(OAm))3
●该前体是N(SiH2-CH2-SiH2(O己基))3
●该前体是N(SiH2-CH2-SiH2(Cl))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(Br))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(I))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NH2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NMe2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NMeEt))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NEt2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NnPr2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NiPr2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NBu2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NiBu2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NtBu2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NHtBu))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NAm2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NHAm))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NCy戊基2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(N己基2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NCyHex2))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NMeH))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NEtH))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NnPrH))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(NiPrH))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OH))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OMe))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OEt))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OnPr))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OiPr))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OBu))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OiBu))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OtBu))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(OAm))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(O己基))2(SiH2-CH2SiH3);
●该前体是N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NMeEt))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NEt2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NnPr2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NiPr2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NBu2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NiBu2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NtBu2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NHtBu))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NAm2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NHAm))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NCy戊基2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(N己基2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NCyHex2))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NMeH))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NEtH))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NnPrH))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(NiPrH))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OH))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OnPr))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OiPr))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OBu))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OiBu))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OtBu))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(OAm))(SiH2-CH2SiH3)2
●该前体是N(SiH2-CH2-SiH2(O己基))(SiH2-CH2SiH3)2
●R3=H;
●该式是N(-SiHR2-CH2-SiH3)3
●该前体是N(Si(H)(Cl)-CH2-SiH3)3
●该前体是N(Si(H)(Br)-CH2-SiH3)3
●该前体是N(Si(H)(I)-CH2-SiH3)3
●该前体是N(Si(H)(NH2)-CH2-SiH3)3
●该前体是N(Si(H)(NMe2)-CH2-SiH3)3
●该前体是N(Si(H)(NEtMe)-CH2-SiH3)3
●该前体是N(Si(H)(NEt2)-CH2-SiH3)3
●该前体是N(Si(H)(NnPr2)-CH2-SiH3)3
●该前体是N(Si(H)(NiPr2)-CH2-SiH3)3
●该前体是N(Si(H)(NBu2)-CH2-SiH3)3
●该前体是N(Si(H)(NiBu2)-CH2-SiH3)3
●该前体是N(Si(H)(NtBu2)-CH2-SiH3)3
●该前体是N(Si(H)(NHtBu)-CH2-SiH3)3
●该前体是N(Si(H)(NAm2)-CH2-SiH3)3
●该前体是N(Si(H)(NHAm)-CH2-SiH3)3
●该前体是N(Si(H)(NCy戊基2)-CH2-SiH3)3
●该前体是N(Si(H)(N己基2)-CH2-SiH3)3
●该前体是N(Si(H)(NCyHex2)-CH2-SiH3)3
●该前体是N(Si(H)(NMeH)-CH2-SiH3)3
●该前体是N(Si(H)(NEtH)-CH2-SiH3)3
●该前体是N(Si(H)(NnPrH)-CH2-SiH3)3
●该前体是N(Si(H)(NiPrH)-CH2-SiH3)3
●该前体是N(Si(H)(NBuH)-CH2-SiH3)3
●该前体是N(Si(H)(NtBuH)-CH2-SiH3)3
●该前体是N(Si(H)(OH)-CH2-SiH3)3
●该前体是N(Si(H)(OMe)-CH2-SiH3)3
●该前体是N(Si(H)(OEt)-CH2-SiH3)3
●该前体是N(Si(H)(OnPr)-CH2-SiH3)3
●该前体是N(Si(H)(OiPr)-CH2-SiH3)3
●该前体是N(Si(H)(OBu)-CH2-SiH3)3
●该前体是N(Si(H)(OiBu)-CH2-SiH3)3
●该前体是N(Si(H)(OtBu)-CH2-SiH3)3
●该前体是N(Si(H)(OAm)-CH2-SiH3)3
●该前体是N(Si(H)(O己基)-CH2-SiH3)3
●该前体是N(Si(H)(Cl)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(Br)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(I)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NH2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NMe2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NMeEt)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NEt2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NnPr2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NiPr2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NBu2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NiBu2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NtBu2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NHtBu)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NAm2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NHAm)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NCy戊基2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(N己基2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NCyHex2)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NMeH)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NEtH)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NnPrH)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(NiPrH)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OH)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OMe)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OEt)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OnPr)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OiPr)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OBu)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OiBu)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OtBu)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(OAm)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(O己基)-CH2-SiH3)2(SiH2-CH2SiH3);
●该前体是N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NMeEt)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NEt2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NnPr2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NiPr2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NBu2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NiBu2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NtBu2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NHtBu)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NAm2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NHAm)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NCy戊基2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(N己基2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NCyHex2)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NMeH)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NEtH)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NnPrH)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(NiPrH)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OH)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OnPr)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OiPr)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OBu)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是(Si(H)(OiBu)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OtBu)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(OAm)-CH2-SiH3)(SiH2-CH2SiH3)2
●该前体是N(Si(H)(O己基)-CH2-SiH3)(SiH2-CH2SiH3)2
●a=1;
●该式是R1N(-SiHR2-CH2-SiH2R3)2
●该式是R1N(-SiH2-CH2-SiH2R3)2
●R1是H;
●该前体是HN(SiH2-CH2SiH3)2
●该前体是HN(SiH2-CH2-SiH2(Cl))2
●该前体是HN(SiH2-CH2-SiH2(Br))2
●该前体是HN(SiH2-CH2-SiH2(I))2
●该前体是HN(SiH2-CH2-SiH2(NH2))2
●该前体是HN(SiH2-CH2-SiH2(NMe2))2
●该前体是HN(SiH2-CH2-SiH2(NMeH))2
●该前体是HN(SiH2-CH2-SiH2(NEtH))2
●该前体是HN(SiH2-CH2-SiH2(NMeEt、))2
●该前体是HN(SiH2-CH2-SiH2(NiPrH))2
●该前体是HN(SiH2-CH2-SiH2(OMe))2
●该前体是HN(SiH2-CH2-SiH2(OEt))2
●该前体是(Cl)N(SiH2-CH2SiH3)2
●该前体是(Br)N(SiH2-CH2SiH3)2
●该前体是(I)N(SiH2-CH2SiH3)2
●该前体是(Me)N(SiH2-CH2SiH3)2
●该前体是(Et)N(SiH2-CH2SiH3)2
●该前体是(nPr)N(SiH2-CH2SiH3)2
●该前体是(iPr)N(SiH2-CH2SiH3)2
●该前体是(Bu)N(SiH2-CH2SiH3)2
●该前体是(iBu)N(SiH2-CH2SiH3)2
●该前体是(tBu)N(SiH2-CH2SiH3)2
●该前体是(戊基)N(SiH2-CH2SiH3)2
●该前体是(己基)N(SiH2-CH2SiH3)2
●该前体是(Me)N(SiH2-CH2-SiH2(Cl))2
●该前体是(Et)N(SiH2-CH2-SiH2(Cl))2
●该前体是(nPr)N(SiH2-CH2-SiH2(Cl))2
●该前体是(iPr)N(SiH2-CH2-SiH2(Cl))2
●该前体是(Bu)N(SiH2-CH2-SiH2(Cl))2
●该前体是(iBu)N(SiH2-CH2-SiH2(Cl))2
●该前体是(tBu)N(SiH2-CH2-SiH2(Cl))2
●该前体是(戊基)N(SiH2-CH2-SiH2(Cl))2
●该前体是(己基)N(SiH2-CH2-SiH2(Cl))2
●该前体是(Me)N(SiH2-CH2-SiH2(Br))2
●该前体是(Et)N(SiH2-CH2-SiH2(Br))2
●该前体是(nPr)N(SiH2-CH2-SiH2(Br))2
●该前体是(iPr)N(SiH2-CH2-SiH2(Br))2
●该前体是(Bu)N(SiH2-CH2-SiH2(Br))2
●该前体是(iBu)N(SiH2-CH2-SiH2(Br))2
●该前体是(tBu)N(SiH2-CH2-SiH2(Br))2
●该前体是(戊基)N(SiH2-CH2-SiH2(Br))2
●该前体是(己基)N(SiH2-CH2-SiH2(Br))2
●该前体是(Me)N(SiH2-CH2-SiH2(I))2
●该前体是(Et)N(SiH2-CH2-SiH2(I))2
●该前体是(nPr)N(SiH2-CH2-SiH2(I))2
●该前体是(iPr)N(SiH2-CH2-SiH2(I))2
●该前体是(Bu)N(SiH2-CH2-SiH2(I))2
●该前体是(iBu)N(SiH2-CH2-SiH2(I))2
●该前体是(tBu)N(SiH2-CH2-SiH2(I))2
●该前体是(戊基)N(SiH2-CH2-SiH2(I))2
●该前体是(己基)N(SiH2-CH2-SiH2(I))2
●该前体是(Me)N(SiH2-CH2-SiH2(NH2))2
●该前体是(Et)N(SiH2-CH2-SiH2(NH2))2
●该前体是(nPr)N(SiH2-CH2-SiH2(NH2))2
●该前体是(iPr)N(SiH2-CH2-SiH2(NH2))2
●该前体是(Bu)N(SiH2-CH2-SiH2(NH2))2
●该前体是(iBu)N(SiH2-CH2-SiH2(NH2))2
●该前体是(tBu)N(SiH2-CH2-SiH2(NH2))2
●该前体是(戊基)N(SiH2-CH2-SiH2(NH2))2
●该前体是(己基)N(SiH2-CH2-SiH2(NH2))2
●该前体是(Me)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(Et)N(SiH2-CH2-iH2(NMe2))2
●该前体是(nPr)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(iPr)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(Bu)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(iBu)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(tBu)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(戊基)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(己基)N(SiH2-CH2-SiH2(NMe2))2
●该前体是(Me)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(Et)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(nPr)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(iPr)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(Bu)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(iBu)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(tBu)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(戊基)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(己基)N(SiH2-CH2-SiH2(NMeH))2
●该前体是(Me)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(Et)N(SiH2-CH2-SiH2(NMeFt))2
●该前体是(nPr)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(iPr)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(Bu)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(iBu)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(tBu)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(戊基)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(己基)N(SiH2-CH2-SiH2(NMeEt))2
●该前体是(Me)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(Et)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(nPr)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(iPr)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(Bu)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(iBu)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(tBu)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(戊基)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(己基)N(SiH2-CH2-SiH2(NEtH))2
●该前体是(Me)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(Et)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(nPr)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(iPr)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(Bu)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(iBu)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(tBu)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(戊基)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(己基)N(SiH2-CH2-SiH2(NiPrH))2
●该前体是(Me)N(SiH2-CH2-SiH2(OMe))2
●该前体是(Et)N(SiH2-CH2-SiH2(OMe))2
●该前体是(nPr)N(SiH2-CH2-SiH2(OMe))2
●该前体是(iPr)N(SiH2-CH2-SiH2(OMe))2
●该前体是(Bu)N(SiH2-CH2-SiH2(OMe))2
●该前体是(iBu)N(SiH2-CH2-SiH2(OMe))2
●该前体是(tBu)N(SiH2-CH2-SiH2(OMe))2
●该前体是(戊基)N(SiH2-CH2-SiH2(OMe))2
●该前体是(己基)N(SiH2-CH2-SiH2(OMe))2
●该前体是(Me)N(SiH2-CH2-SiH2(OEt))2
●该前体是(Et)N(SiH2-CH2-SiH2(OEt))2
●该前体是(nPr)N(SiH2-CH2-SiH2(OEt))2
●该前体是(iPr)N(SiH2-CH2-SiH2(OEt))2
●该前体是(Bu)N(SiH2-CH2-SiH2(OEt))2
●该前体是(iBu)N(SiH2-CH2-SiH2(OEt))2
●该前体是(tBu)N(SiH2-CH2-SiH2(OEt))2
●该前体是(戊基)N(SiH2-CH2-SiH2(OEt))2
●该前体是(己基)N(SiH2-CH2-SiH2(OEt))2
●该式是R1N(-SiHR2-CH2-SiH3)2
●该前体是HN(Si(H)(Cl)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(Cl)-CH2-SiH3)2
●该前体是HN(Si(H)(Br)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(Br)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(Br)-CH2-SiH3)2
●该前体是HN(Si(H)(I)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(I)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(I)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(I)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(I)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(I)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(I)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(I)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(I)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(I)-CH2-SiH3)2
●该前体是HN(Si(H)(NH2)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NH2)-CH2-SiH3)2
●该前体是HN(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NMe2)-CH2-SiH3)2
●该前体是HN(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NMeFt)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NMeEt)-CH2-SiH3)2
●该前体是HN(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NMeH)-CH2-SiH3)2
●该前体是HN(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NEtH)-CH2-SiH3)2
●该前体是HN(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NiPrH)-CH2-SiH3)2
●该前体是HN(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(NtBuH)-CH2-SiH3)2
●该前体是HN(Si(H)(OEt)-CH2-SiH3)2
●该前体是(Me)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(Et)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(nPr)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(iPr)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(Bu)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(iBu)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(tBu)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(戊基)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是(己基)N(Si(H)(OEt)-CH2-SiH3)2
●该前体是HN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3);
●该前体是HN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3);
●该前体是HN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3);
●该前体是HN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3);
●该前体是HN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3);
●该前体是HN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3);
●该前体是HN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是MeN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是EtN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是iPrN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是(Cl)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是(Br)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是(I)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3);
●该前体是HN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是HN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是HN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是HN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是HN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(Ne2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是HN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是HN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是MeN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是EtN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是iPrN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Cl)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(Br)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该前体是(I)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3);
●该含Si膜形成组合物包含在大约0.1摩尔%与大约50摩尔%之间的该碳硅烷取代的胺前体;
●该含Si膜形成组合物包含在大约93%w/w至大约100%w/w之间的该碳硅烷取代的胺前体;
●该含Si膜形成组合物包含在大约99%w/w至大约100%w/w之间的该碳硅烷取代的胺前体;
●该含Si膜形成组合物包含在大约0%w/w与5%w/w之间的己烷、取代的己烷、戊烷、取代的戊烷、二甲醚、或苯甲醚;
●该含Si膜形成组合物包含在大约0ppmw与200ppm之间的C1;
●进一步包含溶剂;
●该溶剂选自下组,该组由以下各项组成:C1-C16烃、THF、DMO、醚、吡啶及其组合;
●该溶剂是C1-C16烃;
●该溶剂是四氢呋喃(THF);
●该溶剂是草酸二甲酯(DMO);
●该溶剂是醚;
●该溶剂是吡啶;
●该溶剂是乙醇;或
●该溶剂是异丙醇。
还披露的是将含Si层沉积在基板上的方法。将以上披露的任何含Si膜形成组合物的蒸气引入至具有基板安置在其中的反应器内。使用沉积方法将该碳硅烷取代的胺前体的至少一部分沉积到该基板上以形成含Si层。所披露的方法可以包括以下方面中的一项或多项:
●将包含第二前体的蒸气引入至该反应器内;
●该第二前体包含选自下组的元素,该组由以下各项组成:第2族、第13族、第14族、过渡金属、镧系元素及其组合;
●该第二前体的元素选自Mg、Ca、Sr、Ba、Zr、Hf、Ti、Nb、Ta、Al、Si、Ge、Y、或镧系元素;
●将共反应物引入至该反应器内;
●该共反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、NO、NO2、羧酸、其自由基、以及其组合;
●该共反应物是等离子体处理的氧;
●该共反应物是臭氧;
●该含Si层是氧化硅层;
●该共反应物选自下组,该组由以下各项组成:H2、NH3、(SiH3)3N、氢化硅烷(如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯硅烷和氯聚硅烷(如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基硅烷(如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3)、肼(如N2H4、MeHNNH2、MeHNNHMe)、有机胺(如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(如B2H6、9-硼二环[3,3,1]壬烷(9-borabicylo[3,3,1]none)、三甲基硼、三乙基硼、硼吖嗪)、烷基金属(如三甲基铝、三乙基铝、二甲基锌、二乙基锌)、其自由基物种、以及其混合物。
●该共反应物选自下组,该组由以下各项组成:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、以及其混合物;
●该共反应物是HCDS或PCDS;
●该气相沉积工艺是化学气相沉积工艺;
●该气相沉积工艺是原子层沉积(ALD)工艺;
●该气相沉积工艺是空间ALD工艺;
●该气相沉积工艺是化学气相沉积工艺;
●该含硅层为Si;
●该含硅层为SiO2
●该含硅层为SiC;
●该含硅层为SiN;
●该含硅层为SiON;
●该含硅层为SiCN;并且
●该含硅层为SiCOH。
还披露了在基板上形成含Si膜的方法。将包含以上披露的任何含Si膜形成组合物的溶液与该基板接触并且经由形成该含Si膜的旋涂、喷涂、浸涂、或缝隙涂覆技术形成该含Si膜。所披露的方法可以包括以下方面:
●该含Si膜形成组合物包含乙醇;
●该含Si膜形成组合物包含异丙醇;
●经由旋涂技术形成该含Si膜;
●经由喷涂技术形成该含Si膜;
●经由浸涂技术形成该含Si膜;
●经由缝隙涂覆技术形成该含Si膜;
●使该含Si膜退火;或
●激光处理该含Si膜。
优选实施方式的详细说明
披露了包含碳硅烷取代的胺前体的含Si膜形成组合物。还披露了合成这些碳硅烷取代的胺前体的方法以及使用它们来沉积用于制造半导体的含硅膜的方法。
所披露的碳硅烷取代的胺前体具有式(R1)aN(-SiHR2-CH2-SiH2R3)3-a,其中a=0或1;R1是H、烷基(Cl至C6)或卤素(Cl、Br或I);R2和/或R3独立地是H,卤素(Cl、Br或I),具有式OR’的烷氧基,其中R’是烷基(Cl至C6),或具有式NR”2的烷基氨基,其中每个R”独立地是H、Cl-C6烷基、C1-C6烯基、或C3-C10芳基或杂环基。
当a=0时,所披露的碳硅烷取代的胺前体具有下式:
其中R2和R3各自独立地是H,卤素(Cl、Br或I),烷氧基(OR’),其中R’是烷基(C1至C6),或具有式NR”2的烷基氨基,其中每个R”独立地是H、C1-C6烷基、C1-C6烯基、或C3-C10芳基或杂环基。烷基氨基的R”可以接合以在N原子上形成环状链。例如,NR”2可以形成吡啶、吡咯、吡咯烷或咪唑环结构。在每个(-SiHR2-CH2-SiH2R3)基团上的最小5个H可以提供改进的挥发性。
当a=0并且R2和R3=H时,该碳硅烷取代的胺前体是N(SiH2-CH2-SiH3)3
其中a=0并且R2=H的示例性前体包括:N(SiH2-CH2-SiH2(Cl))3、N(SiH2-CH2-SiH2(Br))3、N(SiH2-CH2-SiH2(I))3、N(SiH2-CH2-SiH2(NH2))3、N(SiH2-CH2-SiH2(NMe2))3、N(SiH2-CH2-SiH2(NMeEt))3、N(SiH2-CH2-SiH2(NEt2))3、N(SiH2-CH2-SiH2(NnPr2))3、N(SiH2-CH2-SiH2(NiPr2))3、N(SiH2-CH2-SiH2(NBu2))3、N(SiH2-CH2-SiH2(NiBu2))3、N(SiH2-CH2-SiH2(NtBu2))3、N(SiH2-CH2-SiH2(NAm2))3、N(SiH2-CH2-SiH2(NCy戊基2))3、N(SiH2-CH2-SiH2(N己基2))3、N(SiH2-CH2-SiH2(NCyHex2))3、N(SiH2-CH2-SiH2(NMeH))3、N(SiH2-CH2-SiH2(NEtH))3、N(SiH2-CH2-SiH2(NnPrH))3、N(SiH2-CH2-SiH2(NiPrH))3、N(SiH2-CH2-SiH2(NBuH))3、N(SiH2-CH2-SiH2(NiBuH))3、N(SiH2-CH2-SiH2(NtBuH))3、N(SiH2-CH2-SiH2(NAmH))3、N(SiH2-CH2-SiH2(吡啶))3、N(SiH2-CH2-SiH2(吡咯))3、N(SiH2-CH2-SiH2(吡咯烷))3、N(SiH2-CH2-SiH2(咪唑))3、N(SiH2-CH2-SiH2(OH))3、N(SiH2-CH2-SiH2(OMe))3、N(SiH2-CH2-SiH2(OEt))3、N(SiH2-CH2-SiH2(OnPr))3、N(SiH2-CH2-SiH2(OiPr))3、N(SiH2-CH2-SiH2(OBu))3、N(SiH2-CH2-SiH2(OiBu))3、N(SiH2-CH2-SiH2(OtBu))3、N(SiH2-CH2-SiH2(OAm))3、以及N(SiH2-CH2-SiH2(O己基))3
其中a=0并且R3=H的示例性前体包括:N(Si(H)(Cl)-CH2-SiH3)3、N(Si(H)(Br)-CH2-SiH3)3、N(Si(H)(I)-CH2-SiH3)3、N(Si(H)(NH2)-CH2-SiH3)3、N(Si(H)(NMe2)-CH2-SiH3)3、N(Si(H)(NEtMe)-CH2-SiH3)3、N(Si(H)(NEt2)-CH2-SiH3)3、N(Si(H)(NnPr2)-CH2-SiH3)3、N(Si(H)(NiPr2)-CH2-SiH3)3、N(Si(H)(NBu2)-CH2-SiH3)3、N(Si(H)(NiBu2)-CH2-SiH3)3、N(Si(H)(NtBu2)-CH2-SiH3)3、N(Si(H)(NHtBu)-CH2-SiH3)3、N(Si(H)(NAm2)-CH2-SiH3)3、N(Si(H)(NHAm)-CH2-SiH3)3、N(Si(H)(NCy戊基2)-CH2-SiH3)3、N(Si(H)(N己基2)-CH2-SiH3)3、N(Si(H)(NCyHex2)-CH2-SiH3)3、N(Si(H)(NMeH)-CH2-SiH3)3、N(Si(H)(NEtH)-CH2-SiH3)3、N(Si(H)(NnPrH)-CH2-SiH3)3、N(Si(H)(NiPrH)-CH2-SiH3)3、N(Si(H)(NBuH)-CH2-SiH3)3、N(Si(H)(NtBuH)-CH2-SiH3)3、N(Si(H)(吡啶)-CH2-SiH3)3、N(Si(H)(吡咯)-CH2-SiH3)3、N(Si(H)(吡咯烷)-CH2-SiH3)3、N(Si(H)(咪唑)-CH2-SiH3)3、N(Si(H)(OH)-CH2-SiH3)3、N(Si(H)(OMe)-CH2-SiH3)3、N(Si(H)(OEt)-CH2-SiH3)3、N(Si(H)(OnPr)-CH2-SiH3)3、N(Si(H)(OiPr)-CH2-SiH3)3、N(Si(H)(OBu)-CH2-SiH3)3、N(Si(H)(OiBu)-CH2-SiH3)3、N(Si(H)(OtBu)-CH2-SiH3)3、N(Si(H)(OAm)-CH2-SiH3)3、以及N(Si(H)(O己基)-CH2-SiH3)3
所披露的碳硅烷取代的胺前体还可以包括:混合的碳硅烷配体,如,N(Si(H)(Cl)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(Br)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(I)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NH2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NMe2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NMeEt)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NEt2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NnPr2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NiPr2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NBu2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NiBu2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NtBu2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NHtBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NAm2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NHAm)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NCy戊基2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(N己基2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NCyHex2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NMeH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NEtH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NnPrH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NiPrH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OMe)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OEt)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OnPr)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OiPr)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OiBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OtBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OAm)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(O己基)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NMeEt)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NEt2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NnPr2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NiPr2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NBu2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NiBu2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NtBu2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NHtBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NAm2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NHAm)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NCy戊基2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(N己基2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NCyHex2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NMeH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NEtH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NnPrH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NiPrH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OnPr)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OiPr)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OiBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OtBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OAm)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(O己基)-CH2-SiH3)(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(Cl))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(Br))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(I))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NH2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NMe2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NMeEt))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NEt2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NnPr2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NiPr2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NBu2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NiBu2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NtBu2))2(SiH2CH2SiH3)、N(SiH2-CH2-SiH2(NHtBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NAm2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NHAm))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NCy戊基2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(N己基2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NCyHeX2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NMeH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NEtH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NnPrH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NiPrH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OMe))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OEt))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OnPr))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OiPr))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OiBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OtBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OAm))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(O己基))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NMeEt))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NEt2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NnPr2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NiPr2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NBu2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NiBu2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NtBu2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NHtBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NAm2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NHAm))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NCy戊基2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(N己基2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NCyHex2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NMeH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NEtH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NnPrH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NiPrH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OnPr))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OiPr))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OiBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OtBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OAm))(SiH2-CH2SiH3)2、以及N(SiH2-CH2-SiH2(O己基))(SiH2-CH2SiH3)2。所披露的混合配体三(1,3-二硅丙烷)胺前体可以提供所希望的反应性和最小膜污染的组合。
这些三(1,3-二硅丙烷)胺前体[N(-SiHR2-CH2-SiH2R3)3]可以在从-78℃至20℃的温度下通过将1-卤代-1,3-二硅丙烷、1,1-二卤代-1,3-二硅丙烷或1,3-二卤代-1,3-二硅丙烷于非极性溶剂中混合或溶解进行合成。1-卤代-1,3-二硅丙烷可以如在有机金属化学杂志(J.Organomet.Chem)92,1975 163-168中描述的进行合成。可以根据相同的方法通过改变试剂的化学计量学来合成1,1-二卤代-1,3-二硅丙烷或1,3-二卤代-1,3-二硅丙烷。将氨缓慢添加至该混合物中或者鼓泡至该溶液内以形成该N(-SiHR2-CH2-SiH2R3)3化合物,其中R2和R3各自独立地是H或卤素(Cl、Br或I)。
在另一种替代方案中,这些N(-SiHR2-CH2-SiH2R3)3前体,其中R2和R3各自独立地是H或卤素(Cl、Br或I),可以从N(SiH2-CH2-SiH3)3;歧化催化剂,如nBu4PCl、nBu4NCl、或含有二烷基氨基的弱碱性阴离子交换树脂;以及适当的RnSiX4-n源(其中n=0-3,R=H或烷基,并且X=Cl,Br或I)来形成。N(SiH2-CH2-SiH3)3可以通过使NH3与1-Cl-SiH2-CH2-SiH3反应来合成,其可以根据在有机金属化学杂志(J.Organomet.Chem)92,1975 163-168中披露的方法来合成。
必要时然后可以取代该二硅丙烷链上的卤化物基团(Cl、Br或I)。例如,该卤化物基团可以通过在非极性溶剂中在温度(大约-78℃至20℃)下与过量的伯胺或仲胺(NH2R或NHR2)反应被酰胺基团取代,由此产生所希望的化合物。
可替代地,该卤化物基团可以通过在碱(如吡啶)存在下在非极性溶剂中在低温(大约-78℃至20℃)下与适当的醇反应被烷氧基取代,由此产生所希望的化合物。
在另一个替代方案中,使用适当的氨基锂可以取代在该二硅丙烷链上的卤化物基团(Cl、Br或I)。该氨基锂可以通过以下方式形成:在溶剂如醚或任何其他极性溶剂中在低温(大约-78℃至20℃)下将烷基锂与伯胺或仲胺(NH2R或NHR2)组合以形成氨基锂。可以将该氨基锂分离并且与N(-SiHR2-CH2-SiH2R3)反应以形成所希望的化合物,其中R2和R3独立地是H或卤素(Cl、Br、I)。可替代地,可以将该氨基锂溶液添加至N(-SiHR2-CH2-SiH2R3)中以形成所希望的化合物,其中R2和R3独立地是H或卤素(Cl、Br或I)。
这些反应物是可商购的或可以根据有机金属化学杂志(J.Organomet.Chem)92,1975 163-168合成的。
当a=1时,所披露的碳硅烷取代的胺前体具有下式:
其中R1可以是H、烷基或卤素(Cl、Br或I);R2和R3各自独立地是H,卤素(Cl、Br或I),烷氧基(OR’),其中R’是烷基(C1至C6),或具有式NR”2的烷基氨基,其中每个R”独立地是H、C1-C6烷基、C1-C6烯基、或C3-C10芳基或杂环基。烷基氨基的R”可以接合以在N原子上形成环状链。例如,NR”2可以形成吡啶、吡咯、吡咯烷或咪唑环结构。
其中a=1并且R1=H的示例性前体包括:(H)N(SiH2-CH2SiH3)2、HN(SiH2-CH2-SiH2(Cl))2、HN(SiH2-CH2-SiH2(Br))2、HN(SiH2-CH2-SiH2(I))2、HN(SiH2-CH2-SiH2(NH2))2、HN(SiH2-CH2-SiH2(NMe2))2、HN(SiH2-CH2-SiH2(NMeH))2、HN(SiH2-CH2-SiH2(NEtH))2、HN(SiH2-CH2-SiH2(NMeEt))2、HN(SiH2-CH2-SiH2(NiPrH))2、HN(SiH2-CH2-SiH2(吡啶))2、HN(SiH2-CH2-SiH2(吡咯))2、HN(SiH2-CH2-SiH2(吡咯烷))2、HN(SiH2-CH2-SiH2(咪唑))2、HN(SiH2-CH2-SiH2(OMe))2、以及HN(SiH2-CH2-SiH2(OEt))2。N-H键比N-烷基键更具反应性,这可提供与该基板或共反应物的改进的反应性。
其中a=1并且R1=卤化物的示例性前体包括:(Cl)N(SiH2-CH2SiH3)2、(Cl)N(SiH2-CH2-SiH2(Cl))2、(Cl)N(SiH2-CH2-SiH2(Br))2、(Cl)N(SiH2-CH2-SiH2(I))2、(Cl)N(SiH2-CH2-SiH2(NH2))2、(Cl)N(SiH2-CH2-SiH2(NMe2))2、(Cl)N(SiH2-CH2-SiH2(NMeH))2、(Cl)N(SiH2-CH2-SiH2(NEtH))2、(Cl)N(SiH2-CH2-SiH2(NMeEt))2、(Cl)N(SiH2-CH2-SiH2(NiPrH))2、(Cl)N(SiH2-CH2-SiH2(吡啶))2、(Cl)N(SiH2-CH2-SiH2(吡咯))2、(Cl)N(SiH2-CH2-SiH2(吡咯烷))2、(Cl)N(SiH2-CH2-SiH2(咪唑))2、(Cl)N(SiH2-CH2-SiH2(OMe))2、(Cl)N(SiH2-CH2SiH2(OEt))2、(Br)N(SiH2-CH2SiH3)2、(Br)N(SiH2-CH2-SiH2(Cl))2、(Br)N(SiH2-CH2-SiH2(Br))2、(Br)N(SiH2-CH2-SiH2(I))2、(Br)N(SiH2-CH2-SiH2(NH2))2、(Br)N(SiH2-CH2-SiH2(NMe2))2、(Br)N(SiH2-CH2-SiH2(NMeH))2、(Br)N(SiH2-CH2-SiH2(NEtH))2、(Br)N(SiH2-CH2-SiH2(NMeEt))2、(Br)N(SiH2-CH2-SiH2(NiPrH))2、(Br)N(SiH2-CH2-SiH2(吡啶))2、(Br)N(SiH2-CH2-SiH2(吡咯))2、(Br)N(SiH2-CH2-SiH2(吡咯烷))2、(Br)N(SiH2-CH2-SiH2(咪唑))2、(Br)N(SiH2-CH2-SiH2(OMe))2、(Br)N(SiH2-CH2-SiH2(OEt))2、(I)N(SiH2-CH2SiH3)2、(I)N(SiH2-CH2-SiH2(Cl))2、(I)N(SiH2-CH2-SiH2(Br))2、(I)N(SiH2-CH2-SiH2(I))2、(I)N(SiH2-CH2-SiH2(NH2))2、(I)N(SiH2-CH2-SiH2(NMe2))2、(I)N(SiH2-CH2-SiH2(NMeH))2、(I)N(SiH2-CH2-SiH2(NEtH))2、(I)N(SiH2-CH2SiH2(NMeEt))2、(I)N(SiH2-CH2-SiH2(NiPrH))2、(I)N(SiH2-CH2-SiH2(吡啶))2、(I)N(SiH2-CH2-SiH2(吡咯))2、(I)N(SiH2-CH2-SiH2(吡咯烷))2、(I)N(SiH2-CH2-SiH2(咪唑))2、(I)N(SiH2-CH2-SiH2(OMe))2、以及(I)N(SiH2-CH2-SiH2(OEt))2。N-X键(其中X是Cl、Br或I)比N-H或N-烷基键更具反应性,这可提供与该基板或共反应物的改进的反应性。然而,可能不令人希望的是在所得含Si膜上具有任何卤化物污染。
其中a=1、R1=烷基并且R3=H的示例性前体包括:(Me)N(SiH2-CH2SiH3)2、(Et)N(SiH2-CH2SiH3)2、(nPr)N(SiH2-CH2SiH3)2、(iPr)N(SiH2-CH2SiH3)2、(Bu)N(SiH2-CH2SiH3)2、(iBu)N(SiH2-CH2SiH3)2、(tBu)N(SiH2-CH2SiH3)2、(戊基)N(SiH2-CH2SiH3)2、(己基)N(SiH2-CH2SiH3)2、(Me)N(Si(H)(Cl)-CH2-SiH3)2、(Et)N(Si(H)(Cl)-CH2-SiH3)2、(nPr)N(Si(H)(Cl)-CH2-SiH3)2、(iPr)N(Si(H)(Cl)-CH2-SiH3)2、(Bu)N(Si(H)(Cl)-CH2-SiH3)2、(iBu)N(Si(H)(Cl)-CH2-SiH3)2、(tBu)N(Si(H)(Cl)-CH2-SiH3)2、(戊基)N(Si(H)(Cl)-CH2-SiH3)2、(己基)N(Si(H)(Cl)-CH2-SiH3)2、(Me)N(Si(H)(Br)-CH2-SiH3)2、(Et)N(Si(H)(Br)-CH2-SiH3)2、(nPr)N(Si(H)(Br)-CH2-SiH3)2、(iPr)N(Si(H)(Br)-CH2-SiH3)2、(Bu)N(Si(H)(Br)-CH2-SiH3)2、(iBu)N(Si(H)(Br)-CH2-SiH3)2、(tBu)N(Si(H)(Br)-CH2-SiH3)2、(戊基)N(Si(H)(Br)-CH2-SiH3)2、(己基)N(Si(H)(Br)-CH2-SiH3)2、(Me)N(Si(H)(I)-CH2-SiH3)2、(Et)N(Si(H)(I)-CH2-SiH3)2、(nPr)N(Si(H)(I)-CH2-SiH3)2、(iPr)N(Si(H)(I)-CH2-SiH3)2、(Bu)N(Si(H)(I)-CH2-SiH3)2、(iBu)N(Si(H)(I)-CH2-SiH3)2、(tBu)N(Si(H)(I)-CH2-SiH3)2、(戊基)N(Si(H)(I)-CH2-SiH3)2、(己基)N(Si(H)(I)-CH2-SiH3)2、(Me)N(Si(H)(NH2)-CH2-SiH3)2、(Et)N(Si(H)(NH2)-CH2-SiH3)2、(nPr)N(Si(H)(NH2)-CH2-SiH3)2、(iPr)N(Si(H)(NH2)-CH2-SiH3)2、(Bu)N(Si(H)(NH2)-CH2-SiH3)2、(iBu)N(Si(H)(NH2)-CH2-SiH3)2、(tBu)N(Si(H)(NH2)-CH2-SiH3)2、(戊基)N(Si(H)(NH2)-CH2-SiH3)2、(己基)N(Si(H)(NH2)-CH2-SiH3)2、(Me)N(Si(H)(NMe2)-CH2-SiH3)2、(Et)N(Si(H)(NMe2)-CH2-SiH3)2、(nPr)N(Si(H)(NMe2)-CH2-SiH3)2、(iPr)N(Si(H)(NMe2)-CH2-SiH3)2、(Bu)N(Si(H)(NMe2)-CH2-SiH3)2、(iBu)N(Si(H)(NMe2)-CH2-SiH3)2、(tBu)N(Si(H)(NMe2)-CH2-SiH3)2、(戊基)N(Si(H)(NMe2)-CH2-SiH3)2、(己基)N(Si(H)(NMe2)-CH2-SiH3)2、(Me)N(Si(H)(NMeEt)-CH2-SiH3)2、(Et)N(Si(H)(NMeEt)-CH2-SiH3)2、(nPr)N(Si(H)(NMeEt)-CH2-SiH3)2、(iPr)N(Si(H)(NMeEt)-CH2-SiH3)2、(Bu)N(Si(H)(NMeEt)-CH2-SiH3)2、(iBu)N(Si(H)(NMeEt)-CH2-SiH3)2、(tBu)N(Si(H)(NMeEt)-CH2-SiH3)2、(戊基)N(Si(H)(NMeEt)-CH2-SiH3)2、(己基)N(Si(H)(NMeEt)-CH2-SiH3)2、(Me)N(Si(H)(NMeH)-CH2-SiH3)2、(Et)N(Si(H)(NMeH)-CH2-SiH3)2、(nPr)N(Si(H)(NMeH)-CH2-SiH3)2、(iPr)N(Si(H)(NMeH)-CH2-SiH3)2、(Bu)N(Si(H)(NMeH)-CH2-SiH3)2、(iBu)N(Si(H)(NMeH)-CH2-SiH3)2、(tBu)N(Si(H)(NMeH)-CH2-SiH3)2、(戊基)N(Si(H)(NMeH)-CH2-SiH3)2、(己基)N(Si(H)(NMeH)-CH2-SiH3)2、(Me)N(Si(H)(NEtH)-CH2-SiH3)2、(Et)N(Si(H)(NEtH)-CH2-SiH3)2、(nPr)N(Si(H)(NEtH)-CH2-SiH3)2、(iPr)N(Si(H)(NEtH)-CH2-SiH3)2、(Bu)N(Si(H)(NEtH)-CH2-SiH3)2、(iBu)N(Si(H)(NEtH)-CH2-SiH3)2、(tBu)N(Si(H)(NEtH)-CH2-SiH3)2、(戊基)N(Si(H)(NEtH)-CH2-SiH3)2、(己基)N(Si(H)(NEtH)-CH2-SiH3)2、(Me)N(Si(H)(NiPrH)-CH2-SiH3)2、(Et)N(Si(H)(NiPrH)-CH2-SiH3)2、(nPr)N(Si(H)(NiPrH)-CH2-SiH3)2、(iPr)N(Si(H)(NiPrH)-CH2-SiH3)2、(Bu)N(Si(H)(NiPrH)-CH2-SiH3)2、(iBu)N(Si(H)(NiPrH)-CH2-SiH3)2、(tBu)N(Si(H)(NiPrH)-CH2-SiH3)2、(戊基)N(Si(H)(NiPrH)-CH2-SiH3)2、(己基)N(Si(H)(NiPrH)-CH2-SiH3)2、(Me)N(Si(H)(NtBuH)-CH2-SiH3)2、(Et)N(Si(H)(NtBuH)-CH2-SiH3)2、(nPr)N(Si(H)(NtBuH)-CH2-SiH3)2、(iPr)N(Si(H)(NtBuH)-CH2-SiH3)2、(Bu)N(Si(H)(NtBuH)-CH2-SiH3)2、(iBu)N(Si(H)(NtBuH)-CH2-SiH3)2、(tBu)N(Si(H)(NtBuH)-CH2-SiH3)2、(戊基)N(Si(H)(NtBuH)-CH2-SiH3)2、(己基)N(Si(H)(NtBuH)-CH2-SiH3)2、(Me)N(Si(H)(OEt)-CH2-SiH3)2、(Et)N(Si(H)(OEt)-CH2-SiH3)2、(nPr)N(Si(H)(OEt)-CH2-SiH3)2、(iPr)N(Si(H)(OEt)-CH2-SiH3)2、(Bu)N(Si(H)(OEt)-CH2-SiH3)2、(iBu)N(Si(H)(OEt)-CH2-SiH3)2、(tBu)N(Si(H)(OEt)-CH2-SiH3)2、(戊基)N(Si(H)(OEt)-CH2-SiH3)2、以及(己基)N(Si(H)(OEt)-CH2-SiH3)2。可能所希望的作为烷基的R1基团将一些碳结合在所得含Si膜中。
其中a=1、R1=烷基并且R2=H的示例性前体包括:(Me)N(SiH2-CH2-SiH2(Cl))2、(Et)N(SiH2-CH2-SiH2(Cl))2、(nPr)N(SiH2-CH2-SiH2(Cl))2、(iPr)N(SiH2-CH2-SiH2(Cl))2、(Bu)N(SiH2-CH2-SiH2(Cl))2、(iBu)N(SiH2-CH2-SiH2(Cl))2、(tBu)N(SiH2-CH2-SiH2(Cl))2、(戊基)N(SiH2-CH2-SiH2(Cl))2、(己基)N(SiH2-CH2-SiH2(Cl))2、(Me)N(SiH2-CH2-SiH2(Br))2、(Et)N(SiH2-CH2-SiH2(Br))2、(nPr)N(SiH2-CH2-SiH2(Br))2、(iPr)N(SiH2-CH2-SiH2(Br))2、(Bu)N(SiH2-CH2-SiH2(Br))2、(iBu)N(SiH2-CH2-SiH2(Br))2、(tBu)N(SiH2-CH2-SiH2(Br))2、(戊基)N(SiH2-CH2-SiH2(Br))2、(己基)N(SiH2-CH2-SiH2(Br))2、(Me)N(SiH2-CH2-SiH2(I))2、(Et)N(SiH2-CH2-SiH2(I))2、(nPr)N(SiH2-CH2-SiH2(I))2、(iPr)N(SiH2-CH2-SiH2(I))2、(Bu)N(SiH2-CH2-SiH2(I))2、(iBu)N(SiH2-CH2-SiH2(I))2、(tBu)N(SiH2-CH2-SiH2(I))2、(戊基)N(SiH2-CH2-SiH2(I))2、(己基)N(SiH2-CH2-SiH2(I))2、(Me)N(SiH2-CH2-SiH2(NH2))2、(Et)N(SiH2-CH2-SiH2(NH2))2、(nPr)N(SiH2-CH2-SiH2(NH2))2、(iPr)N(SiH2-CH2-SiH2(NH2))2、(Bu)N(SiH2-CH2-SiH2(NH2))2、(iBu)N(SiH2-CH2-SiH2(NH2))2、(tBu)N(SiH2-CH2-SiH2(NH2))2、(戊基)N(SiH2-CH2-SiH2(NH2))2、(己基)N(SiH2-CH2-SiH2(NH2))2、(Me)N(SiH2-CH2-SiH2(NMe2))2、(Et)N(SiH2-CH2-SiH2(NMe2))2、(nPr)N(SiH2-CH2-SiH2(NMe2))2、(iPr)N(SiH2-CH2-SiH2(NMe2))2、(Bu)N(SiH2-CH2-SiH2(NMe2))2、(iBu)N(SiH2-CH2-SiH2(NMe2))2、(tBu)N(SiH2-CH2-SiH2(NMe2))2、(戊基)N(SiH2-CH2-SiH2(NMe2))2、(己基)N(SiH2-CH2-SiH2(NMe2))2、(Me)N(SiH2-CH2-SiH2(NMeH))2、(Et)N(SiH2-CH2-SiH2(NMeH))2、(nPr)N(SiH2-CH2-SiH2(NMeH))2、(iPr)N(SiH2-CH2-SiH2(NMeH))2、(Bu)N(SiH2-CH2-SiH2(NMeH))2、(iBu)N(SiH2-CH2-SiH2(NMeH))2、(tBu)N(SiH2-CH2-SiH2(NMeH))2、(戊基)N(SiH2-CH2-SiH2(NMeH))2、(己基)N(SiH2-CH2-SiH2(NMeH))2、(Me)N(SiH2-CH2-SiH2(NMeEt))2、(Et)N(SiH2-CH2-SiH2(NMeEt))2、(nPr)N(SiH2-CH2-SiH2(NMeEt))2、(iPr)N(SiH2-CH2-SiH2(NMeEt))2、(Bu)N(SiH2-CH2-SiH2(NMeEt))2、(iBu)N(SiH2-CH2-SiH2(NMeEt))2、(tBu)N(SiH2-CH2-SiH2(NMeEt))2、(戊基)N(SiH2-CH2-SiH2(NMeEt))2、(己基)N(SiH2-CH2-SiH2(NMeEt))2、(Me)N(SiH2-CH2-SiH2(NEtH))2、(Et)N(SiH2-CH2-SiH2(NEtH))2、(nPr)N(SiH2-CH2-SiH2(NEtH))2、(iPr)N(SiH2-CH2-SiH2(NEtH))2、(Bu)N(SiH2-CH2-SiH2(NEtH))2、(iBu)N(SiH2-CH2-SiH2(NEtH))2、(tBu)N(SiH2-CH2-SiH2(NEtH))2、(戊基)N(SiH2-CH2-SiH2(NEtH))2、(己基)N(SiH2-CH2-SiH2(NEtH))2、(Me)N(SiH2-CH2-SiH2(NiPrH))2、(Et)N(SiH2-CH2-SiH2(NiPrH))2、(nPr)N(SiH2-CH2-SiH2(NiPrH))2、(iPr)N(SiH2-CH2-SiH2(NiPrH))2、(Bu)N(SiH2-CH2-SiH2(NiPrH))2、(iBu)N(SiH2-CH2-SiH2(NiPrH))2、(tBu)N(SiH2-CH2-SiH2(NiPrH))2、(戊基)N(SiH2-CH2-SiH2(NiPrH))2、(己基)N(SiH2-CH2-SiH2(NiPrH))2、(Me)N(SiH2-CH2-SiH2(吡啶))2、(Et)N(SiH2-CH2-SiH2(吡啶))2、(nPr)N(SiH2-CH2-SiH2(吡啶))2、(iPr)N(SiH2-CH2-SiH2(吡啶))2、(Bu)N(SiH2-CH2-SiH2(吡啶))2、(iBu)N(SiH2-CH2-SiH2(吡啶))2、(tBu)N(SiH2-CH2-SiH2(吡啶))2、(戊基)N(SiH2-CH2-SiH2(吡啶))2、(己基)N(SiH2-CH2-SiH2(吡啶))2、(Me)N(SiH2-CH2-SiH2(吡咯))2、(Et)N(SiH2-CH2-SiH2(吡咯))2、(nPr)N(SiH2-CH2-SiH2(吡咯))2、(iPr)N(SiH2-CH2-SiH2(吡咯))2、(Bu)N(SiH2-CH2-SiH2(吡咯))2、(iBu)N(SiH2-CH2-SiH2(吡咯))2、(tBu)N(SiH2-CH2-SiH2(吡咯))2、(戊基)N(SiH2-CH2-SiH2(吡咯))2、(己基)N(SiH2-CH2-SiH2(吡咯))2、(Me)N(SiH2-CH2-SiH2(吡咯烷))2、(Et)N(SiH2-CH2-SiH2(吡咯烷))2、(nPr)N(SiH2-CH2-SiH2(吡咯烷))2、(iPr)N(SiH2-CH2-SiH2(吡咯烷))2、(Bu)N(SiH2-CH2-SiH2(吡咯烷))2、(iBu)N(SiH2-CH2-SiH2(吡咯烷))2、(tBu)N(SiH2-CH2-SiH2(吡咯烷))2、(戊基)N(SiH2-CH2-SiH2(吡咯烷))2、(己基)N(SiH2-CH2-SiH2(吡咯烷))2、(Me)N(SiH2-CH2-SiH2(咪唑))2、(Et)N(SiH2-CH2-SiH2(咪唑))2、(nPr)N(SiH2-CH2-SiH2(咪唑))2、(iPr)N(SiH2-CH2-SiH2(咪唑))2、(Bu)N(SiH2-CH2-SiH2(咪唑))2、(iBu)N(SiH2-CH2-SiH2(咪唑))2、(tBu)N(SiH2-CH2-SiH2(咪唑))2、(戊基)N(SiH2-CH2-SiH2(咪唑))2、(己基)N(SiH2-CH2-SiH2(咪唑))2、(Me)N(SiH2-CH2-SiH2(OMe))2、(Et)N(SiH2-CH2-SiH2(OMe))2、(nPr)N(SiH2-CH2-SiH2(OMe))2、(iPr)N(SiH2-CH2-SiH2(OMe))2、(Bu)N(SiH2-CH2-SiH2(OMe))2、(iBu)N(SiH2-CH2-SiH2(OMe))2、(tBu)N(SiH2-CH2-SiH2(OMe))2、(戊基)N(SiH2-CH2-SiH2(OMe))2、(己基)N(SiH2-CH2-SiH2(OMe))2、(Me)N(SiH2-CH2-SiH2(OEt))2、(Et)N(SiH2-CH2-SiH2(OEt))2、(nPr)N(SiH2-CH2-SiH2(OEt))2、(iPr)N(SiH2-CH2-SiH2(OEt))2、(Bu)N(SiH2-CH2-SiH2(OEt))2、(iBu)N(SiH2-CH2-SiH2(OEt))2、(tBu)N(SiH2-CH2-SiH2(OEt))2、(戊基)N(SiH2-CH2-SiH2(OEt))2、以及(己基)N(SiH2-CH2-SiH2(OEt))2
所披露的碳硅烷取代的胺前体还可以包括混合的碳硅烷配体,如,HN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(OEt)-CH2-SiH3)(SiH2CH2SiH3)、iPrN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、或(I)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、以及(I)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)。所披露的混合配体双(1,3-二硅丙烷)胺前体可以提供所希望的反应性和最小膜污染的组合。
这些双(1,3-二硅丙烷)胺前体(R1N(-SiHR2-CH2-SiH2R3)2)可以在温度(-78℃至20℃)下通过将1-卤代-1,3-二硅丙烷、1,1-二卤代-1,3-二硅丙烷或1,3-二卤代-1,3-二硅丙烷于非极性溶剂中混合或溶解进行合成。1-卤代-1,3-二硅丙烷可以如在有机金属化学杂志(J.Organomet.Chem)92,1975163-168中描述的进行合成。可以根据相同的方法通过改变试剂的化学计量学来合成1,1-二卤代-1,3-二硅丙烷或1,3-二卤代-1,3-二硅丙烷。将伯胺(R1NH2)缓慢添加至该混合物中以形成该R1N(-SiHR2-CH2-SiH2R3)2化合物,其中R和R3各自独立地是H或卤素(Cl、Br或I)。
可替代地,这些R1N(-SiHR2-CH2-SiH2R3)2前体,其中R2和R3独立地是H或卤素(Cl、Br或I),可以从R1N(SiH2-CH2-SiH3)2;歧化催化剂,如nBu4PCl、nBu4NCl、或含有二烷基氨基的弱碱性阴离子交换树脂;以及适当的RnSiX4-n源(其中n=0-3,R=H或烷基,并且X=Cl,Br或I)来形成。N(SiH2-CH2-SiH3)3可以通过使NH3与1-Cl-SiH2-CH2-SiH3反应来合成,其可以根据在有机金属化学杂志(J.Organomet.Chem)92,1975163-168中披露的方法来合成。
必要时然后可以取代该二硅丙烷链上的卤化物基团(Cl、Br或I)。
例如,该卤化物基团可以通过在非极性溶剂中在温度(大约-78℃至20℃)下与过量的伯胺或仲胺(NH2R或NHR2)反应被酰胺基团取代,由此产生所希望的化合物。
可替代地,该卤化物基团可以通过在碱(如吡啶)存在下在非极性溶剂中在低温(大约-78℃至20℃)下与适当的醇反应被烷氧基取代,由此产生所希望的化合物。
在另一个替代方案中,使用适当的氨基锂可以取代卤化物基团(Cl、Br或I)。该氨基锂可以通过以下方式形成:在溶剂如醚或任何其他极性溶剂中在温度(从大约-78℃至20℃)下将烷基锂与伯胺或仲胺(NH2R或NHR2)组合以形成氨基锂。可以将该氨基锂分离并且与R1N(-SiHR2-CH2-SiH2R3)2反应以形成所希望的化合物,其中R2和R3独立地是H或卤素(Cl、Br、I)。可替代地,可以将该氨基锂溶液添加至R1N(-SiHR2-CH2-SiH2R3)2中以形成所希望的化合物,其中R2和R3独立地是H或卤素(Cl、Br或I)。
使用来自文献的已知氯化方法可以从这些HN(-SiHR2-CH2-SiH2R3)2化合物形成XN(-SiHR2-CH2-SiH2R3)2前体,其中X=Cl、Br或I。例如,但不限于,使HN(-SiHR2-CH2-SiH2R3)2与卤化剂N-氯-、溴-、或碘-丁二酰亚胺在甲苯中在范围是从0℃至回流的温度下反应持续1至12小时;根据Warren等人,自然(Nature),508,2014,402-405,以及在此的参考文件。
这些反应物是可商购的或可以根据有机金属化学杂志(J.Organomet.Chem)92,1975 163-168合成的。
为了确保工艺可靠性,在使用范围是从大约90%w/w至大约100%w/w、优选地范围是从大约99%w/w至大约100%w/w的纯度之前,所得含Si膜形成组合物可以通过连续或部分分批蒸馏或升华进行纯化。该含Si膜形成组合物可以含有以下杂质中的任何:所不希望的同族物种;溶剂;氯化的金属化合物;或其他反应产物。优选地,这些杂质的总量是低于0.1%w/w。
己烷、取代的己烷、戊烷、取代的戊烷、二甲氧基醚、或苯甲醚在纯化的材料中的各自浓度范围可以是从大约0%w/w至大约5%w/w、优选地从大约0%w/w至大约0.1%w/w。溶剂可以用于该组合物的合成中。如果这些溶剂和这些组合物具有相似的沸点,则从这些组合物中分离这些溶剂可能是困难的。冷却该混合物可以在液体溶剂中产生固体前体,其可以通过过滤分离。还可以使用真空蒸馏,只要该前体产物不在大约其分解点以上加热。
在一个实施例中,所披露的含Si膜形成组合物含有小于5%v/v、优选小于1%v/v、更优选小于0.1%v/v、并且甚至更优选小于0.01%v/v的其所不希望的同族物种、反应物、或其他反应产物中的任一种。此实施例可提供更好的工艺可重复性。此实施例可通过该含Si膜形成组合物的蒸馏产生。在替代性实施例中,所披露的含Si膜形成组合物可以含有在5%v/v与50%v/v之间的该碳硅烷取代的胺前体,特别地当该混合物提供了改进的工艺参数或目标前体的分离过于困难或昂贵时。例如,反应产物的混合物可以产生适合于旋压或气相沉积的稳定的液体混合物。
痕量金属和类金属在该含Si膜形成组合物中的浓度范围各自可以是从大约0ppb至大约100ppb,并且更优选地从大约0ppb至大约10ppb。X(其中X=Cl、Br、I、或F)在该纯化的含Si膜形成组合物中的浓度范围可以是从大约0ppm至大约100ppm并且更优选地从大约0ppm至大约10ppm。
还披露了使用所披露的碳硅烷取代的胺前体用于气相沉积方法的方法。所披露的方法提供了该含Si膜形成组合物用于沉积含硅膜的用途。所披露的方法可以在制造半导体、光伏、LCD-TFT、或平板型器件中是有用的。该方法包括:将所披露的含Si膜形成组合物的蒸气引入至具有基板安置在其中的反应器内;并且经由沉积工艺将所披露的碳硅烷取代的胺前体的至少一部分沉积到该基板上以形成含Si层。
所披露的方法还提供了使用气相沉积工艺在基板上形成含双金属的层,并且更具体地说,用于沉积SiMOx膜,其中x可以是0-4并且M是Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Er)、或其组合。
所披露的在基板上形成含硅层的方法可以在制造半导体、光伏、LCD-TFT、或平板型器件中是有用的。可以将所披露的含Si膜形成组合物使用本领域中已知的任何气相沉积方法沉积含Si膜。适合的气相沉积方法的实例包括化学气相沉积(CVD)或原子层沉积(ALD)。示例性CVD方法包括热CVD、等离子体增强的CVD(PECVD)、脉冲的CVD(PCVD)、低压CVD(LPCVD)、低于大气压的CVD(SACVD)或大气压的CVD(APCVD)、可流动的CVD(f-CVD)、热丝CVD(HWCVD,还被称为cat-CVD,其中热丝充当用于沉积工艺的能源),自由基结合的CVD、以及其组合。示例性ALD方法包括热ALD、等离子体增强ALD(PEALD)、空间隔离ALD、热丝ALD(HWALD)、自由基结合的ALD、以及其组合。还可以使用超临界流体沉积。沉积方法优选地是ALD、空间ALD、或PE-ALD,以便提供适合的阶梯覆盖和膜厚度控制。
将该含Si膜形成组合物的蒸气引入至含有基板的反应室中。该反应室内的温度和压力以及该基板的温度保持在适合于将该碳硅烷取代的胺前体的至少一部分气相沉积到该基板上的条件下。换言之,在将该蒸发的组合物引入至该室内之后,该室内的条件是使得将该蒸发的前体的至少一部分沉积到该基板上以形成该含硅膜。还可以使用共反应物以帮助形成该含Si层。
该反应室可以是其中进行沉积方法的器件的任何封闭空间或室,如但不限于:平行板型反应器、冷壁型反应器、热壁型反应器、单晶圆反应器、多晶圆反应器、或其他此类类型的沉积系统。所有这些示例性反应室能够充当ALD反应室。可以将该反应室维持在范围是从约0.5毫托至约20托的压力下。此外,该反应室内的温度范围可以是从约20℃至约600℃。本领域普通技术人员将认识到,可通过纯粹的实验优化温度以实现所希望的结果。
该反应器的温度可通过或者控制该基板固定器的温度或控制该反应器壁的温度来进行控制。现有技术中已知用于加热该基板的器件。该反应器壁被加热至足够温度以在足够增长率下获得所希望的膜且具有所希望的物理状态和组成。非限制性示例性温度范围(可以将该反应器壁加热到该温度范围)包括从大约20℃至大约600℃。当利用等离子体沉积工艺时,该沉积温度范围可以是从大约20℃至大约550℃。可替代地,当进行热工艺时,该沉积温度范围可以是从大约300℃至大约600℃。
可替代地,该基板可以被加热至足够温度以在足够增长率下获得所希望的含硅膜且具有所希望的物理状态和组成。非限制性示例性温度范围(可以将该基板加热到该温度范围)包括从150℃至600℃。优选地,该基板的温度保持小于或等于500℃。
在其上将沉积该含硅膜的基板的类型将取决于预期最终用途而改变。基板一般定义为在其上进行工艺的材料。基板可为用于半导体、光伏、平板或LCD-TFT器件制造中的任何合适的基板。适合的基板的实例包括晶圆,如硅、二氧化硅、玻璃、Ge、或GaAs晶圆。从先前的制造步骤,该晶圆可具有在其上沉积的一或多个不同材料层。例如,这些晶圆可包括硅层(结晶、非晶形、多孔等)、氧化硅层、氮化硅层、氮氧化硅层、碳掺杂的氧化硅(SiCOH)层或其组合。附加地,这些晶圆可以包括铜层、钨层或金属层(例如铂、钯、镍、铑、或金)。这些晶圆可以包括阻挡层,如锰、氧化锰、钽、氮化钽等。也可使用塑料层诸如聚(3,4-亚乙基二氧噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。这些层可以是平面的或图案化的。在一些实施例中,该基板可以是由氢化碳例如CHx制成的图案化的光致抗蚀剂膜,其中x大于零(例如,x≤4)。在一些实施例中,该基板可以包括被用作MIM、DRAM、或FeRam技术中的介电材料(例如,ZrO2基材料、HfO2基材料、TiO2基材料、稀土氧化物基材料、三元氧化物基材料等)或者来自被用作在铜与低k层之间的氧阻挡的氮化物基膜(例如,TaN)的氧化物层。所披露的工艺可直接在晶圆上或直接在晶圆顶部的一个或多于一个(当图案化层形成该基板时)层上沉积该含硅层。此外,本领域普通技术人员将认识到,在此所使用的术语“膜”或“层”指的是放置或铺展在表面上的一些材料的厚度且该表面可为沟槽或线条。贯穿本说明书和权利要求书,晶圆和其上的任何结合层称为基板。所利用的实际基板还可取决于所利用的特定前体实施例。尽管在许多情况下,所利用的优选的基板将选自氢化碳、TiN、SRO、Ru和Si型基板,如多晶硅或晶体硅基板。
该基板可以被图案化以包括具有高纵横比的通孔或沟槽。例如,可以使用任何ALD技术将保形的含Si膜如SiO2沉积在具有范围是从大约20∶1至大约100∶1纵横比的硅通孔(TSV)上。
该含Si膜形成组合物可以或者以纯净的的形式或以与适合于气相沉积的溶剂(如甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷、叔胺、丙酮、四氢呋喃、乙醇、乙基甲基酮、1,4-二噁烷、或其他)的共混物进行供应。可替代地,该含Si膜形成组合物可包含适合于流延沉积的溶剂,如水、乙醇、异丙醇、石脑油、甲基异丁基酮(MIBK)、正甲基异丁基酮(NMIBK)或其组合。本领域普通技术人员将认识到,流延沉积溶液可以进一步包含pH调节剂或表面活性剂。所披露的前体可以是以变化浓度存在于该溶剂中。例如,该气相沉积溶液的所得浓度范围可以是从大约0.05M至大约2M。本领域普通技术人员将认识到,该流延沉积溶液的摩尔浓度与所希望的膜厚度成正比例并且因此可以调节摩尔浓度。
对于气相沉积,通过常规手段如装管和/或流量计将纯净的或共混的含Si膜形成组合物以蒸气形式引入至反应器内。以蒸气形式的该组合物可以通过以下方式产生:通过常规蒸发步骤(如直接蒸发、蒸馏)、通过鼓泡、或通过使用升华器(如Xu等人的PCT公开WO2009/087609中披露的那种)蒸发该纯净的或共混的组合物。该纯净的或共混的组合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。可替代地,可通过将载气传送至含有该前体的容器内或通过将载气鼓泡进该前体内使该纯净的或共混的组合物汽化。该载气可包括但不限于Ar、He、或N2、及其混合物。用载气鼓泡还可移除该纯净的或共混的组合物中存在的任何溶解氧。该载气和前体然后作为蒸气被引入反应器内。
必要时,可将该容器加热至允许该含Si膜形成组合物处于其液相并且具有足够蒸气压的温度。可以将该容器维持在例如0℃-150℃的范围内的温度下。本领域技术人员认识到可以按已知方式调节该容器的温度以控制气化的含Si膜形成组合物的量。
除了所披露的组合物之外,还可以将反应气体引入至该反应器内。该反应气体可以是氧化剂,如以下项中的一项:O2;O3;H2O;H2O2;含氧自由基如O·或OH·;NO;NO2;羧酸,如甲酸、乙酸、丙酸;NO、NO2、或羧酸的自由基物种;对甲醛;以及其混合物。优选地,该氧化剂选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、其含氧自由基(如O·或OH·)、以及其混合物。优选地,当进行ALD工艺时,该共反应物是等离子体处理的氧气、臭氧、或其组合。当使用氧化气体时,所得含硅膜还将含有氧。
可替代地,该反应气体可以是还原剂,如以下项中的一项:H2、NH3、(SiH3)3N、氢化硅烷(如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯硅烷和氯聚硅烷(如SiHCl3、SiH2Cl2、SIH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基硅烷(如(CH3)2SiH2、(C2H5)2SiH2、(CH3)SiH3、(C2H5)SiH3)、肼(如N2H4、MeHNNH2、MeHNNHMe)、有机胺(如N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(如B2H6、9-硼二环[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖嗪)、烷基金属(如三甲基铝、三乙基铝、二甲基锌、二乙基锌)、其自由基物种、以及其混合物。优选地,该还原剂是H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、或其混合物。当使用还原剂时,所得含硅膜可以是纯Si。
该反应气体可以通过等离子体处理,以便将该反应气体分解成其自由基形式。当用等离子体处理时,N2还可以被用作还原剂。例如,可以用范围是从约50W至约500W、优选地从约100W至约200W的功率产生等离子体。等离子体可产生或存在于反应器本身内。可替代地,例如在远程定位的等离子体系统中,等离子体总体上可处于远离该反应器的位置处。本领域技术人员将认识到适用于此种等离子体处理的方法及装置。
当所希望的含硅膜还含有另一种元素,例如像并且不限于,Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Er)、或其组合时,这些共反应物可包括前体,该前体选自但不限于,烷基化合物(如Ln(RCp)3或Co(RCp)2)、胺(如Nb(Cp)(NtBu)(NMe2)3)以及其组合。
所披露的含Si膜形成组合物还可以与卤代硅烷或多卤代二硅烷或多卤代三硅烷(如六氟二硅烷、五氯二硅烷或四氯二硅烷、或八氯三硅烷)和一种或多种共反应物气体一起使用以形成SiN或SiCN膜,如在PCT公开号WO 2011/123792中披露的,其全部内容以其全文结合在此。
可以将该含Si膜形成组合物和一种或多种共反应物同时地(化学气相沉积)、顺序地(原子层沉积)或以其他组合引入至该反应室内。例如,可以在一个脉冲中引入该含Si膜形成组合物,并且可以在单独的脉冲中一起引入两种附加的金属源[修改的原子层沉积]。可替代地,在引入该含Si膜形成组合物之前,该反应室可能已经含有该共反应物。可使该共反应物通过定位或远程远离该反应室的等离子系统,且使其分解成自由基。可替代地,可以将该含Si膜形成组合物连续地引入该反应室中,同时通过脉冲(脉冲的化学气相沉积)引入其他金属源。在各实例中,在脉冲之后可以后跟随吹扫或排空步骤,以去除引入的过量组分。在各实例中,脉冲可持续范围是从约0.01s至约10s、可替代地从约0.3s至约3s、可替代地从约0.5s至约2s的时间周期。在另一个替代方案中,可以同时地从喷头喷射该含Si膜形成组合物和一种或多种共反应物,在该喷头下旋转基座保持的若干晶圆(空间ALD)。
在一个非限制性示例性原子层沉积型工艺中,将该含Si膜形成组合物的气相引入至该反应室内,其中使其与适合的基板接触。然后可通过吹扫和/或排空该反应室从该反应室中去除过量的组合物。将氧源引入至该反应室内,在该反应室中使其与吸收的碳硅烷取代的胺前体以自限的方式反应。通过吹扫和/或排空该反应室从该反应室中去除任何过量的氧源。如果所希望的膜是氧化硅膜,则此两步工艺可提供所希望的膜厚度或可被重复直至获得了具有必要厚度的膜。
可替代地,如果所希望的膜是硅金属/类金属氧化物膜(即SiMOx,其中x可以是0-4并且M是Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Er)或其组合),在以上两步工艺之后可以后跟随将含金属或类金属的前体的第二蒸气引入至该反应室内。基于沉积的硅金属/类金属氧化物膜的性质,将选择该含金属或类金属的前体。在引入至该反应室内后,使该含金属或类金属的前体与该基板接触。通过吹扫和/或排空该反应室从该反应室中去除任何过量的含金属或类金属的前体。再一次,可将氧源引入至该反应室内以与该含金属或类金属的前体反应。通过吹扫和/或排空该反应室从该反应室中去除过量的氧源。如果已经实现了所希望的膜厚度,则可终止该工艺。然而,如果较厚的膜是所希望的,则可重复整个四步骤工艺。通过交替提供该含Si膜形成组合物、含金属或类金属的前体、以及氧源,可以沉积所希望的组成和厚度的膜。
附加地,通过变化脉冲的数目,可获得具有所希望的化学计量的M∶Si比的膜。例如,可以通过以下方式获得SiMO2膜:具有一个脉冲的该含Si膜形成组合物和一个脉冲的该含金属或类金属的前体,其中每个脉冲后接着是该氧源的脉冲。然而,本领域普通技术人员将认识到,获得所希望的膜要求的脉冲的数目可以不与所得膜的化学计量比相同。
在另一个替代方案中,Si或致密的SiCN膜可以经由ALD或修改的ALD工艺使用以下物质沉积:所披露的组合物以及具有式SiaH2a+2-bXb的卤代硅烷化合物,其中X是F、Cl、Br、或I;a=1至6;并且b=1至(2a+2);或具有式-SicH2c-dXd-的环状卤代硅烷化合物,其中X是F、Cl、Br、或I;c=3-8;并且d=1至2c。优选地,该卤代硅烷化合物是三氯硅烷、六氯二硅烷(HCDS)、五氯二硅烷(PCDS)、四氯二硅烷、或六氯环己硅烷。本领域普通技术人员将认识到,当较低的沉积温度是必要的时,由于在Si-X键中的较低的键能(即,Si-Cl=456kJ/mol;Si-Br=343kJ/mol;Si-I=339kJ/mol),这些化合物中的Cl可以被Br或I取代。必要时,该沉积可进一步利用含N共反应物,如NH3。取决于最终膜的所希望的浓度,可以将所披露的含Si膜形成组合物和该卤代硅烷化合物的蒸气顺序地或同时地引入至该反应器内。基于所希望的目标膜组成,将确定前体注入的选择的顺序。可以重复前体引入步骤直到沉积层实现了适合的厚度。本领域普通技术人员将认识到,当使用空间ALD器件时,引导脉冲可以是同时的。如在PCT公布号WO 2011/123792中描述的,这些前体的引入顺序可以是变化的,并且可以有或没有该NH3共反应物下进行该沉积,以便调整该SiCN膜中的碳和氮的量。
在又另一个替代方案中,含硅膜可以通过美国专利申请公开号2014/0051264披露的可流动PECVD方法使用所披露的含Si膜形成组合物和自由基含氮或含氧共反应物进行沉积。在远程等离子体系统中分别产生了自由基含氮或含氧共反应物,如NH3或H2O。将该自由基共反应物和所披露的组合物的气相引入至该反应室内,其中它们反应并将最初可流动的膜沉积在该基板上。申请人相信,所披露的化合物的氮原子帮助进一步改进该沉积膜的流动性,从而导致具有较少空隙的膜。
还披露了在流延沉积方法(如旋涂、喷涂、浸涂或缝隙涂覆技术)中使用所披露的碳硅烷取代的胺前体的方法。所披露的方法提供了该含Si膜形成组合物用于沉积含硅膜的用途。所披露的方法可以在制造半导体、光伏、LCD-TFT、或平板型器件中是有用的。该方法包括:在反应器中将液体形式的所披露的含Si膜形成组合物施加在基板上;并且在该基板上形成该含Si层。如先前讨论的,所披露的含Si薄膜的液体形式可以是该碳硅烷取代的胺前体的纯净溶液或者该前体与溶剂和任选的pH调节剂或表面活性剂的混合物。可以将液体形式的所披露的含Si膜形成组合物直接施加在该基板的中心上或者可以将其通过喷雾施加在整个基板上。当直接施加在该基板的中心上时,可以旋转该基板以利用离心力将该组合物均匀地分布该基板上。可替代地,可以将该基板浸在该含Si膜形成组合物中。可以在室温下干燥所得膜持续一段时间以蒸发该膜的任何溶剂或挥发性组分。在干燥工艺过程中,可将水薄雾喷雾到该基板上以促进该膜的水解反应。
所披露的在这些含Si膜形成组合物中的碳硅烷取代的胺前体可证明作为用于合成含碳硅烷的聚合物的单体是有用的。这些含Si膜形成组合物可用于形成用于可图案化的膜或用于抗反射膜的旋压介电膜配制品。例如,所披露的含Si膜形成组合物可以被包括在溶剂中并被施加在基板上以形成膜。必要时,可旋转该基板以将该含Si膜形成组合物均匀分布遍及该基板。本领域普通技术人员将认识到,这些含Si膜形成组合物的粘度将贡献,关于该基板的旋转是否是必要的。可在惰性气体(如氩气、氦气或氮气)下和/或在减压下加热所得膜。可替代地,可以将电子束或紫外线辐射施加到所得膜上。所披露的碳硅烷取代的胺前体的11-18个可水解基团(即,直接Si-N或Si-H或Si-X键)可证明增加所得聚合物的连通性是有用的。
由以上讨论的工艺得到的含硅膜可以包括Si、SiC、SiO2、SiN、SiON、SiCN、SiCOH、pSiCOH、或MSiOx,其中M是元素如Hf、Zr、Ti、Nb、Ta、或Ge,并且x可以是0-4,当然取决于M的氧化态。本领域普通技术人员将认识到,通过适当的含Si膜形成组合物和共反应物的明断选择,可以获得所希望的膜组成。
一经获得所希望的膜厚度,可以使该膜经受另外的加工,如热退火、炉退火、快速热退火、UV或电子束固化、和/或等离子体气体暴露。本领域技术人员认识到用于进行这些附加的加工步骤的系统和方法。例如,可以使该含硅膜暴露于在惰性气氛、含H气氛、含N气氛、含O气氛、或其组合下范围是从大约200℃与大约1000℃的温度持续范围是从大约0.1秒至大约7200秒的时间。最优选地,在含H气氛下,该温度为600℃持续小于3600秒。所得膜可含有较少的杂质,并且因此可具有改进的性能特征。退火步骤可以在进行该沉积工艺的同一反应室中进行。可替代地,可以从该反应室中移除该基板,其中在单独的装置中进行退火/快速退火工艺。已经发现任何以上后处理方法但尤其热退火有效地减少该含硅膜的碳和氮污染。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例并不旨在包括所有情况并且并不旨在限制在此所描述的发明的范围。
实例1:合成iPrN(-SiH2-CH2-SiH3)2
ClSiH2-CH2-SiH3+iPrNH2+iPr2EtN→iPrN(-SiH2-CH2-SiH3)2
两升的3颈烧瓶配备有-78℃(干冰/丙酮)冷凝器,将戊烷(250mL)装入该烧瓶中并且将该烧瓶冷却至-78℃。将iPrNH2(14.7g,0.248mol)和iPr2EtN(64g,0.497mol)添加至该烧瓶中。在大约1小时内缓慢滴加1-氯-1,3-二硅丙烷(53.3g,0.48mol)。观察到在透明液体中形成白色固体。在完成该添加后,将悬浮液在剧烈搅拌下缓慢升至室温。继续搅拌过夜。将反应混合物在介质烧结玻璃过滤器上进行过滤,并且将固体用附加的戊烷进行洗涤。在减压下去除溶剂,产生了浑浊液体。
然后使用短程柱蒸馏所得滤液。将最终产物在22℃/170毫托下蒸馏,为无色液体。产量:7g(14%)。
在400MHz仪器上收集的最终产物NMR的NMR。C6D6中的iPrN(-SiH2CH2SiH3)21HNMR:δ-0.15(sext.4H,-CH2-),1.07(d,6H,3.69,JHH=6.5Hz,-CHMe2),3.07(mult.,1H,-CHMe2),3.70(t,6H,JHH=4.5Hz,-SiH3),4.57(t,4H,JHH=4Hz,-SiH2-);29Si NMR:δ-27.1,-65.24。在开杯(oc)条件下的热重量分析(TGA)产生了小于1%w/w的残余物。闭杯(cc)TGA产生了小于2%w/w的残余物。参见图1。
实例2:合成HN(-SiH2-CH2-SiH3)2
ClSiH2-CH2-SiH3+NH3→HN(-SiH2-CH2-SiH3)2
在甲苯中在-15℃下制备1.21M的ClSiH2-CH2-SiH3(53.6g)溶液。将混合物升温至+5℃,并且在约1.5h内将NH3(11.5g)缓慢鼓泡至该混合物内。允许该反应混合物其自身升温。在添加之后,允许该混合在室温(大约23℃)下搅拌持续0.5h,接着是GC取样。GC仅仅示出了单一产物NHDSP2。GC示出了在9.032分钟保留时间下的产物,以及溶剂和反应物峰。
实例3:合成N(-SiH2-CH2-SiH3)3
ClSiH2-CH2-SiH3+NH3→N(-SiH2-CH2-SiH3)3
向配备有-78℃(干冰/丙酮)冷凝器的500mL的3颈烧瓶中装入干戊烷(100mL)和1-氯-1,3-二硅丙烷(15g,0.135mol)并且冷却至0℃。将气态氨冷凝至该烧瓶内(2.5g,0.147mol)。最初观察到一些发烟,接着是在清澈液体中形成大量的白色固体。将悬浮液在剧烈搅拌下缓慢升至室温。在室温下继续搅拌持续大约60小时。将反应混合物在介质烧结玻璃过滤器上进行过滤,并且将固体用附加的100mL干戊烷进行洗涤以提供清澈无色液体。在32℃-37℃下在大气压下使用短程柱去除溶剂和高挥发物。使用短程柱将最终产物在26℃-36℃/40-45毫托下蒸馏,为无色液体。产量:4.3g(50%)。
在400MHz仪器上收集的最终产物NMR的NMR。C6D6中的N(-SiH2-CH2-SiH3)31H NMR:δ-0.16(sext.6H,-CH2-),3.69(t,9H,JHH=4.5Hz,-SiH3),4.60(t,6H,JHH=4Hz,-SiH2-);29Si NMR:δ-21.6,-65.23。在开杯(oc)闭杯(cc)条件下的热重量分析(TGA)产生了小于1%w/w的残余物。参见图2。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明原则和范围内做出在此已经描述且阐明以解释本发明的性质的细节、材料、步骤和零件安排上的许多附加的改变。因此,本发明不旨在限于以上给出的实例和/或附图中的特定实施例。

Claims (14)

1.一种含Si膜形成组合物,该组合物包含具有式(R1)aN(-SiHR2-CH2-SiH2R3)3-a的碳硅烷取代的胺前体,其中a=0或1;R1是H、C1至C6烷基、或卤素;R2和R3各自独立地是H,卤素,具有式OR’的烷氧基,其中R’是烷基(C1至C6),或具有式NR”2的烷基氨基,其中每个R”独立地是H、C1-C6烷基、C1-C6烯基、或C3-C10芳基或杂环基。
2.如权利要求1所述的含Si膜形成组合物,其中a=0并且该碳硅烷取代的胺前体具有式N(-SiHR2-CH2-SiH2R3)3
3.如权利要求2所述的含Si膜形成组合物,其中该碳硅烷取代的胺前体选自下组,该组由以下各项组成:N(SiH2-CH2-SiH2(Cl))3、N(SiH2-CH2-SiH2(Br))3、N(SiH2-CH2-SiH2(I))3、N(SiH2-CH2-SiH2(NH2))3、N(SiH2-CH2-SiH2(NMe2))3、N(SiH2-CH2-SiH2(NMeEt))3、N(SiH2-CH2-SiH2(NEt2))3、N(SiH2-CH2-SiH2(NnPr2))3、N(SiH2-CH2-SiH2(NiPr2))3、N(SiH2-CH2-SiH2(NBu2))3、N(SiH2-CH2-SiH2(NiBu2))3、N(SiH2-CH2-SiH2(NtBu2))3、N(SiH2-CH2-SiH2(NAm2))3、N(SiH2-CH2-SiH2(NCy戊基2))3、N(SiH2-CH2-SiH2(N己基2))3、N(SiH2-CH2-SiH2(NCyHex2))3、N(SiH2-CH2-SiH2(NMeH))3、N(SiH2-CH2-SiH2(NEtH))3、N(SiH2-CH2-SiH2(NnPrH))3、N(SiH2-CH2-SiH2(NiPrH))3、N(SiH2-CH2-SiH2(NBuH))3、N(SiH2-CH2-SiH2(NiBuH))3、N(SiH2-CH2-SiH2(NtBuH))3、N(SiH2-CH2-SiH2(NAmH))3、N(SiH2-CH2-SiH2(OH))3、N(SiH2-CH2-SiH2(OMe))3、N(SiH2-CH2-SiH2(OEt))3、N(SiH2-CH2-SiH2(OnPr))3、N(SiH2-CH2-SiH2(OiPr))3、N(SiH2-CH2-SiH2(OBu))3、N(SiH2-CH2-SiH2(OiBu))3、N(SiH2-CH2-SiH2(OtBu))3、N(SiH2-CH2-SiH2(OAm))3、N(SiH2-CH2-SiH2(O己基))3、N(SiH2-CH2-SiH2(Cl))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(Br))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(I))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NH2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NMe2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NMeEt))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NEt2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NnPr2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NiPr2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NBu2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NiBu2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NtBu2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NHtBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NAm2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NHAm))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NCy戊基2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(N己基2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NCyHex2))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NMeH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NEtH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NnPrH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(NiPrH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OH))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OMe))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OEt))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OnPr))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OiPr))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OiBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OtBu))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(OAm))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(O己基))2(SiH2-CH2SiH3)、N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NMeEt))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NEt2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NnPr2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NiPr2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NBu2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NiBu2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NtBu2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NHtBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NAm2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NHAm))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NCy戊基2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(N己基2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NCyHex2))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NMeH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NEtH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NnPrH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(NiPrH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OH))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OnPr))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OiPr))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OiBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OtBu))(SiH2-CH2SiH3)2、N(SiH2-CH2-SiH2(OAm))(SiH2-CH2SiH3)2、以及N(SiH2-CH2-SiH2(O己基))(SiH2-CH2SiH3)2
4.如权利要求2所述的含Si膜形成组合物,其中该碳硅烷取代的胺前体选自下组,该组由以下各项组成:N(SiH2-CH2-SiH3)3、N(Si(H)(Cl)-CH2-SiH3)3、N(Si(H)(Br)-CH2-SiH3)3、N(Si(H)(I)-CH2-SiH3)3、N(Si(H)(NH2)-CH2-SiH3)3、N(Si(H)(NMe2)-CH2-SiH3)3、N(Si(H)(NEtMe)-CH2-SiH3)3、N(Si(H)(NEt2)-CH2-SiH3)3、N(Si(H)(NnPr2)-CH2-SiH3)3、N(Si(H)(NiPr2)-CH2-SiH3)3、N(Si(H)(NBu2)-CH2-SiH3)3、N(Si(H)(NiBu2)-CH2-SiH3)3、N(Si(H)(NtBu2)-CH2-SiH3)3、N(Si(H)(NHtBu)-CH2-SiH3)3、N(Si(H)(NAm2)-CH2-SiH3)3、N(Si(H)(NHAm)-CH2-SiH3)3、N(Si(H)(NCy戊基2)-CH2-SiH3)3、N(Si(H)(N己基2)-CH2-SiH3)3、N(Si(H)(NCyHex2)-CH2-SiH3)3、N(Si(H)(NMeH)-CH2-SiH3)3、N(Si(H)(NEtH)-CH2-SiH3)3、N(Si(H)(NnPrH)-CH2-SiH3)3、N(Si(H)(NiPrH)-CH2-SiH3)3、N(Si(H)(NBuH)-CH2-SiH3)3、N(Si(H)(NtBuH)-CH2-SiH3)3、N(Si(H)(OH)-CH2-SiH3)3、N(Si(H)(OMe)-CH2-SiH3)3、N(Si(H)(OEt)-CH2-SiH3)3、N(Si(H)(OnPr)-CH2-SiH3)3、N(Si(H)(OiPr)-CH2-SiH3)3、N(Si(H)(OBu)-CH2-SiH3)3、N(Si(H)(OiBu)-CH2-SiH3)3、N(Si(H)(OtBu)-CH2-SiH3)3、N(Si(H)(OAm)-CH2-SiH3)3、N(Si(H)(O己基)-CH2-SiH3)3、N(Si(H)(Cl)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(Br)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(I)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NH2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NMe2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NMeEt)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NEt2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NnPr2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NiPr2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NBu2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NiBu2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NtBu2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NHtBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NAm2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NHAm)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H、)(NCy戊基2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(N己基2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NCyHex2)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NMeH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NEtH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NnPrH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(NiPrH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OH)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OMe)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OEt)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OnPr)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OiPr)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OiBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OtBu)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(OAm)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(O己基)-CH2-SiH3)2(SiH2-CH2SiH3)、N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NMeEt)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NEt2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NnPr2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NiPr2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NBu2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NiBu2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NtBu2)-CH2-SiH3)(SiH2CH2SiH3)2、N(Si(H)(NHtBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NAm2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NHAm)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NCy戊基2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(N己基2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NCyHex2)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NMeH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NEtH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NnPrH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(NiPrH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OH)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OnPr)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OiPr)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OiBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OtBu)-CH2-SiH3)(SiH2-CH2SiH3)2、N(Si(H)(OAm)-CH2-SiH3)(SiH2-CH2SiH3)2、以及N(Si(H)(O己基)-CH2-SiH3)(SiH2-CH2SiH3)2
5.如权利要求2所述的含Si膜形成组合物,其中该碳硅烷取代的胺前体是N(-SiH2-CH2-SiH3)3
6.如权利要求1所述的含Si膜形成组合物,其中a=1并且该碳硅烷取代的胺前体具有式R1N(-SiHR2-CH2-SiH2R3)2
7.如权利要求6所述的含Si膜形成组合物,其中该碳硅烷取代的胺前体选自下组,该组由以下各项组成:HN(SiH2-CH2-SiH2(Cl))2、(Me)N(SiH2-CH2-SiH2(Cl))2、(Et)N(SiH2-CH2-SiH2(Cl))2、(nPr)N(SiH2-CH2-SiH2(Cl))2、(iPr)N(SiH2-CH2-SiH2(Cl))2、(Bu)N(SiH2-CH2-SiH2(Cl))2、(iBu)N(SiH2-CH2-SiH2(Cl))2、(tBu)N(SiH2-CH2-SiH2(Cl))2、(戊基)N(SiH2-CH2-SiH2(Cl))2、(己基)N(SiH2-CH2-SiH2(Cl))2、HN(SiH2-CH2-SiH2(Br))2、(Me)N(SiH2-CH2-SiH2(Br))2、(Et)N(SiH2-CH2-SiH2(Br))2、(nPr)N(SiH2-CH2-SiH2(Br))2、(iPr)N(SiH2-CH2-SiH2(Br))2、(Bu)N(SiH2-CH2-SiH2(Br))2、(iBu)N(SiH2-CH2-SiH2(Br))2、(tBu)N(SiH2-CH2-SiH2(Br))2、(戊基)N(SiH2-CH2-SiH2(Br))2、(己基)N(SiH2-CH2-SiH2(Br))2、HN(SiH2-CH2-SiH2(I))2、(Me)N(SiH2-CH2-SiH2(I))2、(Et)N(SiH2-CH2-SiH2(I))2、(nPr)N(SiH2-CH2-SiH2(I))2、(iPr)N(SiH2-CH2-SiH2(I))2、(Bu)N(SiH2-CH2-SiH2(I))2、(iBu)N(SiH2-CH2-SiH2(I))2、(tBu)N(SiH2-CH2-SiH2(I))2、(戊基)N(SiH2-CH2-SiH2(I))2、(己基)N(SiH2-CH2-SiH2(I))2、HN(SiH2-CH2-SiH2(NH2))2、(Me)N(SiH2-CH2-SiH2(NH2))2、(Et)N(SiH2-CH2-SiH2(NH2))2、(nPr)N(SiH2-CH2-SiH2(NH2))2、(iPr)N(SiH2-CH2-SiH2(NH2))2、(Bu)N(SiH2-CH2-SiH2(NH2))2、(iBu)N(SiH2-CH2-SiH2(NH2))2、(tBu)N(SiH2-CH2-SiH2(NH2))2、(戊基)N(SiH2-CH2-SiH2(NH2))2、(己基)N(SiH2-CH2-SiH2(NH2))2、HN(SiH2-CH2-SiH2(NMe2))2、(Me)N(SiH2-CH2-SiH2(NMe2))2、(Et)N(SiH2-CH2-SiH2(NMe2))2、(nPr)N(SiH2-CH2-SiH2(NMe2))2、(iPr)N(SiH2-CH2-SiH2(NMe2))2、(Bu)N(SiH2-CH2-SiH2(NMe2))2、(iBu)N(SiH2-CH2-SiH2(NMe2))2、(tBu)N(SiH2-CH2-SiH2(NMe2))2、(戊基)N(SiH2-CH2-SiH2(NMe2))2、(己基)N(SiH2-CH2-SiH2(NMe2))2、HN(SiH2-CH2-SiH2(NMeH))2、(Me)N(SiH2-CH2-SiH2(NMeH))2、(Et)N(SiH2-CH2-SiH2(NMeH))2、(nPr)N(SiH2-CH2-SiH2(NMeH))2、(iPr)N(SiH2-CH2-SiH2(NMeH))2、(Bu)N(SiH2-CH2-SiH2(NMeH))2、(iBu)N(SiH2-CH2-SiH2(NMeH))2、(tBu)N(SiH2-CH2-SiH2(NMeH))2、(戊基)N(SiH2-CH2-SiH2(NMeH))2、(己基)N(SiH2-CH2-SiH2(NMeH))2、HN(SiH2-CH2-SiH2(NMeEt))2、(Me)N(SiH2-CH2-SiH2(NMeEt))2、(Et)N(SiH2-CH2-SiH2(NMeEt))2、(nPr)N(SiH2-CH2-SiH2(NMeEt))2、(iPr)N(SiH2-CH2-SiH2(NMeEt))2、(Bu)N(SiH2-CH2-SiH2(NMeEt))2、(iBu)N(SiH2-CH2-SiH2(NMeEt))2、(tBu)N(SiH2-CH2-SiH2(NMeEt))2、(戊基)N(SiH2-CH2-SiH2(NMeEt))2、(己基)N(SiH2-CH2-SiH2(NMeEt))2、HN(SiH2-CH2-SiH2(NEtH))2、(Me)N(SiH2-CH2-SiH2(NEtH))2、(Et)N(SiH2-CH2-SiH2(NEtH))2、(nPr)N(SiH2-CH2-SiH2(NEtH))2、(iPr)N(SiH2-CH2-SiH2(NEtH))2、(Bu)N(SiH2-CH2-SiH2(NEtH))2、(iBu)N(SiH2-CH2-SiH2(NEtH))2、(tBu)N(SiH2-CH2-SiH2(NEtH))2、(戊基)N(SiH2-CH2-SiH2(NEtH))2、(己基)N(SiH2-CH2-SiH2(NEtH))2、HN(SiH2-CH2-SiH2(NiPrH))2、(Me)N(SiH2-CH2-SiH2(NiPrH))2、(Et)N(SiH2-CH2-SiH2(NiPrH))2、(nPr)N(SiH2-CH2-SiH2(NiPrH))2、(iPr)N(SiH2-CH2-SiH2(NiPrH))2、(Bu)N(SiH2-CH2-SiH2(NiPrH))2、(iBu)N(SiH2-CH2-SiH2(NiPrH))2、(tBu)N(SiH2-CH2-SiH2(NiPrH))2、(戊基)N(SiH2-CH2-SiH2(NiPrH))2、(己基)N(SiH2-CH2-SiH2(NiPrH))2、HN(SiH2-CH2-SiH2(OMe))2、(Me)N(SiH2-CH2-SiH2(OMe))2、(Et)N(SiH2-CH2-SiH2(OMe))2、(nPr)N(SiH2-CH2-SiH2(OMe))2、(iPr)N(SiH2-CH2-SiH2(OMe))2、(Bu)N(SiH2-CH2-SiH2(OMe))2、(iBu)N(SiH2-CH2-SiH2(OMe))2、(tBu)N(SiH2-CH2-SiH2(OMe))2、(戊基)N(SiH2-CH2-SiH2(OMe))2、(己基)N(SiH2-CH2-SiH2(OMe))2、HN(SiH2-CH2-SiH2(OEt))2、(Me)N(SiH2-CH2-SiH2(OEt))2、(Et)N(SiH2-CH2-SiH2(OEt))2、(nPr)N(SiH2-CH2-SiH2(OEt))2、(iPr)N(SiH2-CH2-SiH2(OEt))2、(Bu)N(SiH2-CH2-SiH2(OEt))2、(iBu)N(SiH2-CH2-SiH2(OEt))2、(tBu)N(SiH2-CH2-SiH2(OEt))2、(戊基)N(SiH2-CH2-SiH2(OEt))2、(己基)N(SiH2-CH2-SiH2(OEt))2、HN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、(I)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)、HN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、MeN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、EtN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、iPrN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、(Cl)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、(Br)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)、以及(I)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)。
8.如权利要求6所述的含Si膜形成组合物,其中该碳硅烷取代的胺前体选自下组,该组由以下各项组成:(Cl)N(SiH2-CH2SiH3)2、(Br)N(SiH2-CH2SiH3)2、(I)N(SiH2-CH2SiH3)2、(H)N(SiH2-CH2SiH3)2、(Me)N(SiH2-CH2SiH3)2、(Et)N(SiH2-CH2SiH3)2、(nPr)N(SiH2-CH2SiH3)2、(iPr)N(SiH2-CH2SiH3)2、(Bu)N(SiH2-CH2SiH3)2、(iBu)N(SiH2-CH2SiH3)2、(tBu)N(SiH2-CH2SiH3)2、(戊基)N(SiH2-CH2SiH3)2、(己基)N(SiH2-CH2SiH3)2、(Me)N(Si(H)(Cl)-CH2-SiH3)2、(Et)N(Si(H)(Cl)-CH2-SiH3)2、(nPr)N(Si(H)(Cl)-CH2-SiH3)2、(iPr)N(Si(H)(Cl)-CH2-SiH3)2、(Bu)N(Si(H)(Cl)-CH2-SiH3)2、(iBu)N(Si(H)(Cl)-CH2-SiH3)2、(tBu)N(Si(H)(Cl)-CH2-SiH3)2、(戊基)N(Si(H)(Cl)-CH2-SiH3)2、(己基)N(Si(H)(Cl)-CH2-SiH3)2、(Me)N(Si(H)(Br)-CH2-SiH3)2、(Et)N(Si(H)(Br)-CH2-SiH3)2、(nPr)N(Si(H)(Br)-CH2-SiH3)2、(iPr)N(Si(H)(Br)-CH2-SiH3)2、(Bu)N(Si(H)(Br)-CH2-SiH3)2、(iBu)N(Si(H)(Br)-CH2-SiH3)2、(tBu)N(Si(H)(Br)-CH2-SiH3)2、(戊基)N(Si(H)(Br)-CH2-SiH3)2、(己基)N(Si(H)(Br)-CH2-SiH3)2、(Me)N(Si(H)(I)-CH2-SiH3)2、(Et)N(Si(H)(I)-CH2-SiH3)2、(nPr)N(Si(H)(I)-CH2-SiH3)2、(iPr)N(Si(H)(I)-CH2-SiH3)2、(Bu)N(Si(H)(I)-CH2-SiH3)2、(iBu)N(Si(H)(I)-CH2-SiH3)2、(tBu)N(Si(H)(I)-CH2-SiH3)2、(戊基)N(Si(H)(I)-CH2-SiH3)2、(己基)N(Si(H)(I)-CH2-SiH3)2、(Me)N(Si(H)(NH2)-CH2-SiH3)2、(Et)N(Si(H)(NH2)-CH2-SiH3)2、(nPr)N(Si(H)(NH2)-CH2-SiH3)2、(iPr)N(Si(H)(NH2)-CH2-SiH3)2、(Bu)N(Si(H)(NH2)-CH2-SiH3)2、(iBu)N(Si(H)(NH2)-CH2-SiH3)2、(tBu)N(Si(H)(NH2)-CH2-SiH3)2、(戊基)N(Si(H)(NH2)-CH2-SiH3)2、(己基)N(Si(H)(NH2)-CH2-SiH3)2、(Me)N(Si(H)(NMe2)-CH2-SiH3)2、(Et)N(Si(H)(NMe2)-CH2-SiH3)2、(nPr)N(Si(H)(NMe2)-CH2-SiH3)2、(iPr)N(Si(H)(NMe2)-CH2-SiH3)2、(Bu)N(Si(H)(NMe2)-CH2-SiH3)2、(iBu)N(Si(H)(NMe2)-CH2-SiH3)2、(tBu)N(Si(H)(NMe2)-CH2-SiH3)2、(戊基)N(Si(H)(NMe2)-CH2-SiH3)2、(己基)N(Si(H)(NMe2)-CH2-SiH3)2、(Me)N(Si(H)(NMeEt)-CH2-SiH3)2、(Et)N(Si(H)(NMeEt)-CH2-SiH3)2、(nPr)N(Si(H)(NMeEt)-CH2-SiH3)2、(iPr)N(Si(H)(NMeEt)-CH2-SiH3)2、(Bu)N(Si(H)(NMeEt)-CH2-SiH3)2、(iBu)N(Si(H)(NMeEt)-CH2-SiH3)2、(tBu)N(Si(H)(NMeEt)-CH2-SiH3)2、(戊基)N(Si(H)(NMeEt)-CH2-SiH3)2、(己基)N(Si(H)(NMeEt)-CH2-SiH3)2、(Me)N(Si(H)(NMeH)-CH2-SiH3)2、(Et)N(Si(H)(NMeH)-CH2-SiH3)2、(nPr)N(Si(H)(NMeH)-CH2-SiH3)2、(iPr)N(Si(H)(NMeH)-CH2-SiH3)2、(Bu)N(Si(H)(NMeH)-CH2-SiH3)2、(iBu)N(Si(H)(NMeH)-CH2-SiH3)2、(tBu)N(Si(H)(NMeH)-CH2-SiH3)2、(戊基)N(Si(H)(NMeH)-CH2-SiH3)2、(己基)N(Si(H)(NMeH)-CH2-SiH3)2、(Me)N(Si(H)(NEtH)-CH2-SiH3)2、(Et)N(Si(H)(NEtH)-CH2-SiH3)2、(nPr)N(Si(H)(NEtH)-CH2-SiH3)2、(iPr)N(Si(H)(NEtH)-CH2-SiH3)2、(Bu)N(Si(H)(NEtH)-CH2-SiH3)2、(iBu)N(Si(H)(NEtH)-CH2-SiH3)2、(tBu)N(Si(H)(NEtH)-CH2-SiH3)2、(戊基)N(Si(H)(NEtH)-CH2-SiH3)2、(己基)N(Si(H)(NEtH)-CH2-SiH3)2、(Me)N(Si(H)(NiPrH)-CH2-SiH3)2、(Et)N(Si(H)(NiPrH)-CH2-SiH3)2、(nPr)N(Si(H)(NiPrH)-CH2-SiH3)2、(iPr)N(Si(H)(NiPrH)-CH2-SiH3)2、(Bu)N(Si(H)(NiPrH)-CH2-SiH3)2、(iBu)N(Si(H)(NiPrH)-CH2-SiH3)2、(tBu)N(Si(H)(NiPrH)-CH2-SiH3)2、(戊基)N(Si(H)(NiPrH)-CH2-SiH3)2、(己基)N(Si(H)(NiPrH)-CH2-SiH3)2、(Me)N(Si(H)(NtBuH)-CH2-SiH3)2、(Et)N(Si(H)(NtBuH)-CH2-SiH3)2、(nPr)N(Si(H)(NtBuH)-CH2-SiH3)2、(iPr)N(Si(H)(NtBuH)-CH2-SiH3)2、(Bu)N(Si(H)(NtBuH)-CH2-SiH3)2、(iBu)N(Si(H)(NtBuH)-CH2-SiH3)2、(tBu)N(Si(H)(NtBuH)-CH2-SiH3)2、(戊基)N(Si(H)(NtBuH)-CH2-SiH3)2、(己基)N(Si(H)(NtBuH)-CH2-SiH3)2、(Me)N(Si(H)(OEt)-CH2-SiH3)2、(Et)N(Si(H)(OEt)-CH2-SiH3)2、(nPr)N(Si(H)(OEt)-CH2-SiH3)2、(iPr)N(Si(H)(OEt)-CH2-SiH3)2、(Bu)N(Si(H)(OEt)-CH2-SiH3)2、(iBu)N(Si(H)(OEt)-CH2-SiH3)2、(tBu)N(Si(H)(OEt)-CH2-SiH3)2、(戊基)N(Si(H)(OEt)-CH2-SiH3)2、(己基)N(Si(H)(OEt)-CH2-SiH3)2、HN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、(I)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)、HN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、MeN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、EtN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、iPrN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、(Cl)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、(Br)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)、以及(I)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)。
9.如权利要求6所述的含Si膜形成组合物,其中该碳硅烷取代的胺前体选自下组,该组由以下各项组成:HN(SiH2-CH2-SiH3)2、(Me)N(SiH2-CH2-SiH3)2、(Et)N(SiH2-CH2-SiH3)2、(nPr)N(SiH2-CH2-SiH3)2、(iPr)N(SiH2-CH2-SiH3)2、(Bu)N(SiH2-CH2-SiH3)2、(iBu)N(SiH2-CH2-SiH3)2、(tBu)N(SiH2-CH2-SiH3)2、(戊基)N(SiH2-CH2-SiH3)2、(己基)N(SiH2-CH2-SiH3)2、(Br)N(SiH2-CH2-SiH3)2、(Cl)N(SiH2-CH2-SiH3)2、以及(I)N(SiH2-CH2-SiH3)2
10.一种将含Si层沉积在基板上的方法,该方法包括:
将如权利要求1至9中任一项所述的含Si膜形成组合物的蒸气引入至具有基板安置在其中的反应器内;并且
使用气相沉积方法将该碳硅烷取代的胺前体的至少一部分沉积到该基板上以形成含Si层。
11.如权利要求10所述的方法,进一步包括将共反应物引入至该反应器内。
12.如权利要求10所述的方法,其中该气相沉积工艺是化学气相沉积工艺。
13.如权利要求10所述的方法,其中该气相沉积工艺是原子层沉积(ALD)工艺。
14.一种在基板上形成含Si膜的方法,该方法包括形成包含如权利要求1至9中任一项所述的含Si膜形成组合物的溶液;并且经由旋涂、喷涂、浸涂、或缝隙涂覆技术使该溶液与该基板接触以形成该含Si膜。
CN201580050013.2A 2014-09-23 2015-09-23 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法 Active CN107002236B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462054198P 2014-09-23 2014-09-23
US62/054,198 2014-09-23
PCT/US2015/051678 WO2016049154A1 (en) 2014-09-23 2015-09-23 Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof

Publications (2)

Publication Number Publication Date
CN107002236A true CN107002236A (zh) 2017-08-01
CN107002236B CN107002236B (zh) 2019-04-05

Family

ID=55581940

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580050013.2A Active CN107002236B (zh) 2014-09-23 2015-09-23 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法

Country Status (5)

Country Link
US (1) US9969756B2 (zh)
JP (1) JP6578353B2 (zh)
KR (1) KR102398827B1 (zh)
CN (1) CN107002236B (zh)
WO (1) WO2016049154A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110062817A (zh) * 2016-12-30 2019-07-26 乔治洛德方法研究和开发液化空气有限公司 镧系元素前体以及使用其沉积含镧系元素的膜

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
WO2016191194A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Pentachlorosilane
JP6803368B2 (ja) * 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アルキルアミノ置換ハロカルボシラン前駆体
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
WO2018182309A1 (en) * 2017-03-29 2018-10-04 Dnf Co., Ltd. Composition for depositing silicon-containing thin film containing bis(aminosilyl)alkylamine compound and method for manufacturing silicon-containing thin film using the same
WO2018182318A1 (en) * 2017-03-29 2018-10-04 Dnf Co., Ltd. Composition for depositing silicon-containing thin film and method for manufacturing silicon-containing thin film using the same
KR20180110612A (ko) 2017-03-29 2018-10-10 (주)디엔에프 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102190532B1 (ko) 2017-11-22 2020-12-15 (주)디엔에프 실리콘 함유 박막 증착용 조성물 및 이를 이용한 실리콘 함유 박막의 제조방법
US11499014B2 (en) * 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
US20220220132A1 (en) * 2020-12-29 2022-07-14 American Air Liquide, Inc. Organosilane precursors for ald/cvd/sod of silicon-containing film applications
KR20230151303A (ko) * 2022-04-25 2023-11-01 엠케미칼 주식회사 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 전구체 이용한 실리콘 함유 박막의 제조방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103864837A (zh) * 2012-12-11 2014-06-18 气体产品与化学公司 烷氧基甲硅烷基胺化合物及其应用
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0764642B2 (ja) 1986-06-13 1995-07-12 東燃株式会社 窒化物系セラミツクスの製法
US4847400A (en) * 1987-09-11 1989-07-11 Dow Corning Corporation Polyalkoxysilylalkylenedisilazanes and silylamines
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
ES2265291B1 (es) * 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8765899B2 (en) * 2007-11-06 2014-07-01 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103864837A (zh) * 2012-12-11 2014-06-18 气体产品与化学公司 烷氧基甲硅烷基胺化合物及其应用
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110062817A (zh) * 2016-12-30 2019-07-26 乔治洛德方法研究和开发液化空气有限公司 镧系元素前体以及使用其沉积含镧系元素的膜

Also Published As

Publication number Publication date
US20170291915A1 (en) 2017-10-12
WO2016049154A1 (en) 2016-03-31
JP2017529361A (ja) 2017-10-05
US9969756B2 (en) 2018-05-15
JP6578353B2 (ja) 2019-09-18
CN107002236B (zh) 2019-04-05
KR102398827B1 (ko) 2022-05-16
KR20170058957A (ko) 2017-05-29

Similar Documents

Publication Publication Date Title
CN107002236B (zh) 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
US9371338B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
US20190362961A1 (en) Si-CONTAINING FILM FORMING PRECURSORS AND METHODS OF USING THE SAME
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
TWI659035B (zh) 經烷胺基取代之碳矽烷前驅物
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications
WO2016094711A2 (en) Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
WO2017127044A1 (en) Si-containing film forming compositions for ald/cvd of silicon-containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant