KR102398827B1 - Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법 - Google Patents

Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법 Download PDF

Info

Publication number
KR102398827B1
KR102398827B1 KR1020177009337A KR20177009337A KR102398827B1 KR 102398827 B1 KR102398827 B1 KR 102398827B1 KR 1020177009337 A KR1020177009337 A KR 1020177009337A KR 20177009337 A KR20177009337 A KR 20177009337A KR 102398827 B1 KR102398827 B1 KR 102398827B1
Authority
KR
South Korea
Prior art keywords
sih
precursor
nme
oet
ome
Prior art date
Application number
KR1020177009337A
Other languages
English (en)
Other versions
KR20170058957A (ko
Inventor
클로디아 파파드
벤카테스웨어 알. 팔렘
장-마크 지라드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20170058957A publication Critical patent/KR20170058957A/ko
Application granted granted Critical
Publication of KR102398827B1 publication Critical patent/KR102398827B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Thermal Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

카보실란 치환 아민 전구체를 포함하는 Si-함유 막 형성 조성물이 개시되어 있다. 카보실란 치환 아민 전구체는 화학식 (R1)aN(-SiHR2-CH2-SiH2R3)3 -a(식 중, a는 0 또는 1이고; R1은 H, C1 내지 C6 알킬기 또는 할로겐이며; R2 및 R3은 각각 독립적으로 H; 할로겐; 화학식 OR'(식 중, R'는 알킬기(C1 내지 C6)임)의 알콕시기; 또는 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴 또는 헤테로사이클 기임)의 알킬아미노기임)을 가진다. 또한 카보실란 치환 아민 전구체를 합성하는 방법과, 증착 방법을 위한 이의 용도도 개시되어 있다.

Description

SI-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법{CARBOSILANE SUBSTITUTED AMINE PRECURSORS FOR DEPOSITION OF SI-CONTAINING FILMS AND METHODS THEREOF}
관련 출원들에 관한 상호 참고문헌
본 출원은 2014년 9월 23일에 출원된 미국 가출원 일련 번호 62/054,198의 이익을 주장하며, 이는 모든 목적을 위해서 본원에 전체가 참고문헌으로 포함되어 있다.
기술 분야
카보실란 치환 아민 전구체를 포함하는 Si-함유 막 형성 조성물이 개시되어 있다. 카보실란 치환 아민 전구체는 화학식 (R1)aN(-SiHR2-CH2-SiH2R3)3 -a(식 중, a는 0 또는 1이고; R1은 H, C1 내지 C6 알킬기 또는 할로겐이며; R2 및 R3은 각각 독립적으로 H; 할로겐; 화학식 OR'(식 중, R'는 알킬기(C1 내지 C6)임)의 알콕시기; 또는 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴 또는 헤테로사이클 기임)의 알킬아미노기임)을 가진다. 또한 카보실란 치환 아민 전구체를 합성하는 방법과, 증착 방법을 위한 이의 용도도 개시되어 있다.
Si-함유 박막은 반도체, 광전지, LCD-TFT, 평판형 디바이스, 내화재 또는 항공 산업에서 널리 사용되고 있다. Si-함유 박막은, 예를 들어 절연성일 수 있는 전기적 특성을 갖는 유전성 재료(SiO2, SiN, SiC, SiCN, SiCOH, MSiOx(여기서, M은 Hf, Zr, Ti, Nb, Ta 또는 Ge이고, x는 0 내지 4임))로서 사용될 수 있다. Si-함유 박막은 또한 전도성 막, 예를 들어 금속 규화물 또는 금속 규소 질화물로서 사용될 수도 있다. 전기 디바이스 아키텍쳐의 나노스케일 지향(특히 28 ㎚ 미만의 노드) 규모축소에 의해 부과되는 엄격한 요구조건으로 말미암아, 제조된 막의 빠른 증착 속도, 도포성(conformality) 및 조도(consistency) 이외에, (증착 방법을 위한) 휘발성, 낮은 공정 온도, 다양한 산화제들과의 반응성, 그리고 낮은 막 오염도에 관한 요구조건을 충족하는 미세 조정 분자 전구체가 점점 더 많이 요구되고 있는 실정이다.
트리실릴아민 [N(SiH3)3]은 질화규소 막 및 산화질화규소 막의 증착을 위해 사용되어 왔다(예를 들어, WO2004/030071(Dussarrat et al) 참조).
Hizawa 및 Nojimoto(Kogyo Kagaku Zasshi, 1956, 59, 1359-63)는, Me3SiCH2SiMe2Cl 및 NH3의 반응으로부터 (Me3SiCH2SiMe2)2NH를 합성하는 것을 기술하고 있다.
Topchiev 외 다수(Doklady Akademii Nauk SSSR, 1956, 109, 787-90 및 Issled. v Obl. Kremniiorgan. Soedin., Sintez i Fiz.-Khim. Svoistva, Akad. Nauk SSSR, Inst. Neftekhim. Sinteza, Sb. Statei, 1962, 130-45)는, 5℃ 내지 10℃에서 시작하여 최종적으로 60℃ 내지 80℃에 이를 때까지 Br이 R3SiCH2SiR2H에 첨가되면 R3SiCH2SiR2Br(식 중, R은 Me, Et, Pr 또는 Bu임)이 제조됨을 개시하고 있다. Et2O 또는 MePh에서 이들은 환류하는 MePh 중에 제조되는 2차 유도체인 NH3로 처리되었으며, 그 결과 아민 유도체들, 즉 (R3SiCH2SiR2)2NH 및 R3SiCH2SiR2NH2이 제조되었다. 이 2차 유도체는 MePh 중에서 환류된 다음, NH3가 10 시간 동안 통과(passage)될 때, 1차 아민 유도체들과 모노-Br 유도체들로부터 가장 잘 제조된다.
O'Neill외 다수(미국 특허출원 공개 번호 2015/0087139)는 H3Si-R3-SiH2-NR1-SiH2-R3-SiH3(식 중, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 또는 C5 내지 C10 아릴기이고, R3은 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 또는 C5 내지 C10 헤테로아릴렌기임)을 포함하여 유기아미노실란 전구체 군 5 종을 개시하고 있다.
Si-함유 막의 증착에 적용 가능한 넓은 선택 범위에도 불구하고, 제조 방법 요구조건을 조정하고, 바람직한 전기적 특성 및 물리적 특성을 갖는 막을 제조하는 능력을 디바이스 기술자들에게 제공하는 추가의 전구체들이 지속적으로 요구되고 있다.
표기법 및 명명법
특정 축약어들, 기호들 및 용어들이 이하 발명의 설명과 청구범위 전반에 걸쳐 사용되고 있으며, 다음과 같은 것들을 포함한다:
본원에 사용된 바와 같이, 부정 관사 "하나" 또는 "하나의"("a" 또는 "an")는 하나 이상을 의미한다.
본원에 사용된 바와 같이, 용어 "대략" 또는 "약"은 진술된 값의 ±10%를 의미한다.
본원에 사용된 바와 같이, 용어 "독립적으로"는 R기를 설명하는 문맥에 사용될 때, 해당 R기가, 동일하거나 상이한 아래 첨자 또는 윗 첨자를 가지는 다른 R기에 비하여 독립적으로 선택될 뿐만 아니라, 동일한 R기의 임의의 추가 종에 비하여도 독립적으로 선택되는 것을 나타내는 것으로 이해되어야 한다. 예를 들어 화학식 MR1 x(NR2R3)(4-x)(식 중, x는 2 또는 3임)에 있어서, 2 개 또는 3 개의 R1기들은 서로 간에, 또는 R2 또는 R3와 동일할 수 있지만, 반드시 그러할 필요는 없다. 또한, 구체적으로 달리 진술되지 않는다면, R기의 값들은 상이한 화학식에 사용될 때 서로 간에 독립적임이 이해되어야 한다.
본원에 사용된 바와 같이, 용어 "알킬기"는 탄소 및 수소 원자들을 배타적으로 함유하는 포화된 작용기들을 지칭한다. 또한, 용어 "알킬기"는 선형, 분지형 또는 사이클릭 알킬기를 지칭한다. 선형 알킬기의 예들은 메틸기, 에틸기, 프로필기, 부틸기 등을 포함하나, 이에 한정되는 것은 아니다. 분지형 알킬기의 예들은 t-부틸을 포함하나, 이에 한정되는 것은 아니다. 사이클릭 알킬기의 예들은 사이클로프로필기, 사이클로펜틸기, 사이클로헥실기 등을 포함하나, 이에 한정되는 것은 아니다.
본원에 사용된 바와 같이, 용어 "아릴"은 하나의 수소 원자가 고리로부터 제거된 방향족 고리 화합물을 지칭한다. 본원에 사용된 바와 같이, 용어 "헤테로사이클"은 자체의 고리의 구성원으로서 적어도 2 개의 상이한 원소의 원자(H 제외), 예를 들어 C, S 및/또는 N을 가지는 사이클릭 화합물을 지칭한다.
본원에 사용된 바와 같이, 용어 "카보실란"은 교번적 Si 및 C 원자와, 적어도 하나의 Si-C-Si 단위를 가지는 주쇄를 가지는 선형 또는 분지형 분자를 지칭하고; 두문자어 "DSP"는 디실라프로판, 더 구체적으로는 H3Si-CH2-SiH3 또는 이의 리간드 유사체 -H2Si-CH2-SiH3를 나타내며; 용어 "카보실란 치환 아민 전구체"는 NR3 분자(식 중, 적어도 하나의 R은, 바람직하게 R 2 개 또는 3 개는 카보실란 리간드임)를 지칭한다.
본원에 사용된 바와 같이, 축약어 "Me"는 메틸기를 지칭하고; 축약어 "Et"는 에틸기를 지칭하며; 축약어 "Pr"은 임의의 프로필기(즉, n-프로필 또는 이소프로필)를 지칭하고; 축약어 "iPr"은 이소프로필기를 지칭하며; 축약어 "Bu"는 임의의 부틸기(n-부틸, 이소-부틸, t-부틸, sec-부틸)를 지칭하고; 축약어 "tBu"는 tert-부틸기를 지칭하며; 축약어 "sBu"는 sec-부틸기를 지칭하고; 축약어 "iBu"는 이소-부틸기를 지칭하며; 축약어 "Ph"는 페닐기를 지칭하고; 축약어 "Am"은 임의의 아밀기(이소-아밀, sec-아밀, tert-아밀)를 지칭하며; 축약어 "Cy"는 사이클릭 알킬기(사이클로부틸, 사이클로펜틸, 사이클로헥실 등)를 지칭한다.
본원에 사용된 바와 같이, 두문자어 "SRO"는 스트론튬 루테늄 산화물 막을 나타내고; 두문자어 "HCDS"는 헥사클로로디실란(Si2Cl6)을 나타내며; 두문자어 "PCDS"는 펜타클로로디실란(Si2HCl5)을 나타낸다.
원소의 주기율표에 표시되어 있는 원소의 표준 축약어가 본원에 사용된다. 원소들은 자체들의 축약어에 의해 지칭될 수 있음이 이해되어야 한다(예를 들어, Si는 실리콘을 지칭하고, N은 질소를 지칭하며, O는 산소를 지칭하고, C는 탄소를 지칭하는 식임).
본 발명의 속성과 목적을 더 잘 이해하기 위해, 첨부된 도면과 함께 이하 상세한 설명이 참고되어야 할 것인데, 다만 도면에 있어서 유사한 요소들은 동일하거나 유사한 참조 번호가 부여되며,
도 1은 온도 변화에 따른 iPrN(-SiH2-CH2-SiH3)2의 무게 감소 %를 나타내는 열 무게 분석(TGA) 그래프이고;
도 2는 온도 변화에 따른 N(-SiH2-CH2-SiH3)3의 무게 감소 %를 나타내는 TGA 그래프이다.
개요
화학식 (R1)aN(-SiHR2-CH2-SiH2R3)3 -a(식 중, a는 0 또는 1이고; R1은 H, C1 내지 C6 알킬기 또는 할로겐이며; R2 및 R3은 각각 독립적으로 H, 할로겐, 화학식 OR'(식 중, R'는 알킬기(C1 내지 C6)임)의 알콕시기, 또는 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴 또는 헤테로사이클 기임)의 알킬아미노기임)을 가지는 카보실란 치환 아민 전구체를 포함하는 Si-함유 막 형성 조성물이 개시되어 있다. 개시된 전구체는 하기 양태들, 즉
· a = 0임;
· 화학식이 N(-SiHR2-CH2-SiH2R3)3임;
· R2 = H임;
· 화학식이 N(-SiH2-CH2-SiH2R3)3임;
· 전구체는 N(SiH2-CH2-SiH3)3임;
· 전구체가 N(SiH2-CH2-SiH2(Cl))3임;
· 전구체가 N(SiH2-CH2-SiH2(Br))3임;
· 전구체가 N(SiH2-CH2-SiH2(I))3임;
· 전구체가 N(SiH2-CH2-SiH2(NH2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NMe2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NMeEt))3임;
· 전구체가 N(SiH2-CH2-SiH2(NEt2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NnPr2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NiPr2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NBu2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NiBu2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NtBu2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NAm2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NCy펜틸2))3임;
· 전구체가 N(SiH2-CH2-SiH2(N헥실2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NCyHex2))3임;
· 전구체가 N(SiH2-CH2-SiH2(NMeH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NEtH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NnPrH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NiPrH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NBuH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NiBuH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NtBuH))3임;
· 전구체가 N(SiH2-CH2-SiH2(NAmH))3임;
· 전구체가 N(SiH2-CH2-SiH2(OH))3임;
· 전구체가 N(SiH2-CH2-SiH2(OMe))3임;
· 전구체가 N(SiH2-CH2-SiH2(OEt))3임;
· 전구체가 N(SiH2-CH2-SiH2(OnPr))3임;
· 전구체가 N(SiH2-CH2-SiH2(OiPr))3임;
· 전구체가 N(SiH2-CH2-SiH2(OBu))3임;
· 전구체가 N(SiH2-CH2-SiH2(OiBu))3임;
· 전구체가 N(SiH2-CH2-SiH2(OtBu))3임;
· 전구체가 N(SiH2-CH2-SiH2(OAm))3임;
· 전구체가 N(SiH2-CH2-SiH2(O헥실))3임;
· 전구체가 N(SiH2-CH2-SiH2(Cl))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(Br))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(I))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NH2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NMe2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NMeEt))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NEt2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NnPr2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NiPr2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NBu2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NiBu2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NtBu2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NHtBu))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NAm2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NHAm))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NCy펜틸2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(N헥실2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NCyHex2))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NMeH))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NEtH))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NnPrH))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(NiPrH))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OH))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OMe))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OEt))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OnPr))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OiPr))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OBu))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OiBu))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OtBu))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(OAm))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(O헥실))2(SiH2-CH2SiH3)임;
· 전구체가 N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NMeEt))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NEt2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NnPr2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NiPr2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NBu2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NiBu2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NtBu2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NHtBu))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NAm2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NHAm))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NCy펜틸2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(N헥실2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NCyHex2))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NMeH))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NEtH))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NnPrH))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(NiPrH))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OH))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OnPr))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OiPr))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OBu))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OiBu))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OtBu))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(OAm))(SiH2-CH2SiH3)2임;
· 전구체가 N(SiH2-CH2-SiH2(O헥실))(SiH2-CH2SiH3)2임;
· R3이 H임;
· 화학식이 N(-SiHR2-CH2-SiH3)3임;
· 전구체가 N(Si(H)(Cl)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(Br)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(I)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NH2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NMe2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NEtMe)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NEt2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NnPr2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NiPr2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NBu2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NiBu2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NtBu2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NHtBu)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NAm2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NHAm)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NCy펜틸2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(N헥실2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NCyHex2)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NMeH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NEtH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NnPrH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NiPrH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NBuH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(NtBuH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OH)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OMe)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OEt)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OnPr)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OiPr)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OBu)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OiBu)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OtBu)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(OAm)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(O헥실)-CH2-SiH3)3임;
· 전구체가 N(Si(H)(Cl)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(Br)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(I)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NH2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NMe2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NMeEt)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NEt2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NnPr2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NiPr2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NBu2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NiBu2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NtBu2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NHtBu)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NAm2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NHAm)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NCy펜틸2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(N헥실2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NCyHex2)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NMeH)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NEtH)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NnPrH)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(NiPrH)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OH)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OMe)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OEt)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OnPr)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OiPr)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OBu)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OiBu)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OtBu)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(OAm)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(O헥실)-CH2-SiH3)2(SiH2-CH2SiH3)임;
· 전구체가 N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)2
· 전구체가 N(Si(H)(NMeEt)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NEt2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NnPr2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NiPr2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NBu2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NiBu2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NtBu2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NHtBu)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NAm2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NHAm)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NCy펜틸2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(N헥실2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NCyHex2)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NMeH)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NEtH)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NnPrH)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(NiPrH)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OH)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OnPr)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OiPr)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OBu)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OiBu)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OtBu)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(OAm)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· 전구체가 N(Si(H)(O헥실)-CH2-SiH3)(SiH2-CH2SiH3)2임;
· a = 1임;
· 화학식이 R1N(-SiHR2-CH2-SiH2R3)2임;
· 화학식이 R1N(-SiH2-CH2-SiH2R3)2임;
· R1이 H임;
· 전구체가 HN(SiH2-CH2SiH3)2임;
· 전구체가 HN(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 HN(SiH2-CH2-SiH2(Br))2임;
· 전구체가 HN(SiH2-CH2-SiH2(I))2임;
· 전구체가 HN(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 HN(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 HN(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 HN(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 HN(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 HN(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 HN(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 HN(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (Cl)N(SiH2-CH2SiH3)2임;
· 전구체가 (Br)N(SiH2-CH2SiH3)2임;
· 전구체가 (I)N(SiH2-CH2SiH3)2임;
· 전구체가 (Me)N(SiH2-CH2SiH3)2임;
· 전구체가 (Et)N(SiH2-CH2SiH3)2임;
· 전구체가 (nPr)N(SiH2-CH2SiH3)2임;
· 전구체가 (iPr)N(SiH2-CH2SiH3)2임;
· 전구체가 (Bu)N(SiH2-CH2SiH3)2임;
· 전구체가 (iBu)N(SiH2-CH2SiH3)2임;
· 전구체가 (tBu)N(SiH2-CH2SiH3)2임;
· 전구체가 (아밀)N(SiH2-CH2SiH3)2임;
· 전구체가 (헥실)N(SiH2-CH2SiH3)2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(Cl))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(Cl))임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(Br))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(Br))임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(Br))임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(I))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(NH2))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(NMe2))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(NMeH))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(NMeH))임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(NMeEt))임:
· 전구체가 (헥실)N(SiH2-CH2-SiH2(NMeEt))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(NEtH))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(NiPrH))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(OMe))2임;
· 전구체가 (Me)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (Et)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (nPr)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (iPr)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (Bu)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (iBu)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (tBu)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (아밀)N(SiH2-CH2-SiH2(OEt))2임;
· 전구체가 (헥실)N(SiH2-CH2-SiH2(OEt))2임;
· 화학식이 R1N(-SiHR2-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(Cl)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(Br)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(I)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(NH2)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NMe2)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(NMe2)-CH2-SiH3)임;
· 전구체가 HN(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NMeEt)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NMeEt)-CH2-SiH3)임;
· 전구체가 (헥실)N(Si(H)(NMeEt)-CH2-SiH3)임;
· 전구체가 HN(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(NMeH)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(NEtH)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(NiPrH)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(NtBuH)-CH2-SiH3)2임;
· 전구체가 HN(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (Me)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (Et)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (nPr)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (iPr)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (Bu)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (iBu)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (tBu)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (아밀)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 (헥실)N(Si(H)(OEt)-CH2-SiH3)2임;
· 전구체가 HN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)임;
· 전구체가 HN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)임;
· 전구체가 HN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)임;
· 전구체가 HN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)임;
· 전구체가 HN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)임;
· 전구체가 HN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)임;
· 전구체가 HN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 MeN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 EtN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 iPrN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 (I)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 HN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 MeN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 EtN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 iPrN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Cl)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (Br)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· 전구체가 (I)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)임;
· Si-함유 막 형성 조성물이 카보실란 치환 아민 전구체를 대략 0.1 몰% 내지 대략 50 몰% 포함함;
· Si-함유 막 형성 조성물이 카보실란 치환 아민 전구체를 대략 93% w/w 내지 대략 100% w/w 포함함;
· Si-함유 막 형성 조성물이 카보실란 치환 아민 전구체를 대략 99% w/w 내지 대략 100% w/w 포함함;
· Si-함유 막 형성 조성물이 헥산, 치환된 헥산, 펜탄, 치환된 펜탄, 디메틸 에테르 또는 아니솔을 대략 0% w/w 내지 5% w/w 포함함;
· Si-함유 막 형성 조성물이 Cl를 대략 0 ppm 내지 200 ppm 포함함;
· 용매를 추가로 포함함;
· 용매가 C1-C16 탄화수소, THF, DMO, 에테르, 피리딘 및 이것들의 조합으로 이루어진 군으로부터 선택됨;
· 용매가 C1-C16 탄화수소임;
· 용매가 테트라하이드로푸란(THF)임;
· 용매가 디메틸 옥살레이트(DMO)임;
· 용매가 에테르임;
· 용매가 피리딘임;
· 용매가 에탄올임; 또는
· 용매가 이소프로판올임
중 하나 이상을 포함할 수 있다.
기재 상에 Si-함유 층을 증착하는 방법도 또한 개시되어 있다. 상기 개시된 Si-함유 막 형성 조성물 중 임의의 것의 증기는, 내부에 기재가 배치되어 있는 반응기 내에 도입된다. 카보실란 치환 아민 전구체 중 적어도 일부분이 이 기재 상에 증착되면, 증착 방법을 사용하여 Si-함유 층을 형성한다. 개시된 방법들은 하기 양태들, 즉
· 제2 전구체를 포함하는 증기를 반응기 내에 도입함;
· 제2 전구체가 2족, 13족, 14족, 전이 금속, 란탄족 원소 및 이것들의 조합으로 이루어진 군으로부터 선택되는 원소를 포함함;
· 제2 전구체의 원소가 Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y 또는 란탄족 원소로부터 선택됨;
· 공 반응물을 반응기 내에 도입함;
· 공 반응물이 O2, O3, H2O, H2O2, NO, NO2, 카복실산, 이것들의 라디칼, 그리고 이것들의 조합으로 이루어진 군으로부터 선택됨;
· 공 반응물이 플라스마 처리된 산소임;
· 공 반응물이 오존임;
· Si-함유 층이 산화규소 층임;
· 공 반응물이 H2, NH3, (SiH3)3N, 하이드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예를 들어, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예를 들어, B2H6, 9-보라비시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예를 들어, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이것들의 라디칼 종, 그리고 이것들의 혼합물로 이루어진 군으로부터 선택됨;
· 공 반응물이 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이것들의 수소 라디칼, 그리고 이것들의 혼합물로 이루어진 군으로부터 선택됨;
· 공 반응물이 HCDS 또는 PCDS임;
· 증착 방법이 화학 증착 방법임;
· 증착 방법이 원자 층 증착(ALD) 방법임;
· 증착 방법이 공간 ALD 방법임;
· 증착 방법이 화학 증착 방법임;
· 규소-함유 층이 Si임;
· 규소-함유 층이 SiO2임;
· 규소-함유 층이 SiC임;
· 규소-함유 층이 SiN임;
· 규소-함유 층이 SiON임;
· 규소-함유 층이 SiCN임; 그리고
· 규소-함유 층이 SiCOH임
중 하나 이상을 포함할 수 있다.
기재 상에 Si-함유 막을 형성하는 방법도 또한 개시되어 있다. 상기 개시된 Si-함유 막 형성 조성물 중 임의의 것을 포함하는 용액은 기재와 접촉하게 되고, Si-함유 막을 형성하기 위한 스핀 코팅, 분사 코팅, 딥 코팅 또는 슬릿 코팅 기법을 통하여 Si-함유 막이 형성된다. 개시된 방법들은 하기 양태들, 즉
· Si-함유 막 형성 조성물이 에탄올을 포함함;
· Si-함유 막 형성 조성물이 이소프로판올을 포함함;
· Si-함유 막을 스핀 코팅 기법을 통하여 형성함;
· Si-함유 막을 분사 코팅 기법을 통하여 형성함;
· Si-함유 막을 딥 코팅 기법을 통하여 형성함;
· Si-함유 막을 슬릿 코팅 기법을 통하여 형성함;
· Si-함유 막을 어닐링(annealing)함; 또는
· Si-함유 막을 레이저 처리함
을 포함할 수 있다.
바람직한 구현예들의 상세한 설명
카보실란 치환 아민 전구체들을 포함하는 Si-함유 막 형성 조성물이 개시되어 있다. 또한 카보실란 치환 아민 전구체를 합성하는 방법과, 반도체를 제조하기 위해 이 전구체를 사용하여 규소-함유 막을 증착하는 방법도 개시되어 있다.
개시된 카보실란 치환 아민 전구체는 화학식 (R1)aN(-SiHR2-CH2-SiH2R3)3 -a(식 중, a는 0 또는 1이고; R1은 H, 알킬기(C1 내지 C6) 또는 할로겐(Cl, Br 또는 I)이며; R2 및/또는 R3은 독립적으로 H, 할로겐(Cl, Br 또는 I), 화학식 OR'(식 중, R'는 알킬기(C1 내지 C6)임)의 알콕시기, 또는 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴 또는 헤테로사이클 기임)의 알킬아미노기임)을 가진다.
a가 0일 때, 개시된 카보실란 치환 아민 전구체는 하기 화학식, 즉
Figure 112017033614728-pct00001
을 가지며, 여기서 R2 및 R3은 각각 독립적으로 H, 할로겐(Cl, Br 또는 I), 알콕시기(OR')이되, 다만 R'는 알킬기(C1 내지 C6)이거나, 또는 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴 또는 헤테로사이클 기임)을 가지는 알킬아미노기이다. 알킬아미노기의 R"는 결합하여, N 원자 상에 사이클릭 사슬을 형성할 수 있다. 예를 들어 NR"2는 피리딘, 피롤, 피롤리딘 또는 이미다졸 고리 구조들을 형성할 수 있다. 각각의 (-SiHR2-CH2-SiH2R3) 기에서 적어도 5 개의 H는 개선된 휘발성을 제공할 수 있다.
a가 0이고, R2 및 R3이 H일 때, 카보실란 치환 아민 전구체는 N(SiH2-CH2-SiH3)3이다.
a가 0이고, R2가 H인 예시적 전구체는 N(SiH2-CH2-SiH2(Cl))3, N(SiH2-CH2-SiH2(Br))3, N(SiH2-CH2-SiH2(I))3, N(SiH2-CH2-SiH2(NH2))3, N(SiH2-CH2-SiH2(NMe2))3, N(SiH2-CH2-SiH2(NMeEt))3, N(SiH2-CH2-SiH2(NEt2))3, N(SiH2-CH2-SiH2(NnPr2))3, N(SiH2-CH2-SiH2(NiPr2))3, N(SiH2-CH2-SiH2(NBu2))3, N(SiH2-CH2-SiH2(NiBu2))3, N(SiH2-CH2-SiH2(NtBu2))3, N(SiH2-CH2-SiH2(NAm2))3, N(SiH2-CH2-SiH2(NCy펜틸2))3, N(SiH2-CH2-SiH2(N헥실2))3, N(SiH2-CH2-SiH2(NCyHex2))3, N(SiH2-CH2-SiH2(NMeH))3, N(SiH2-CH2-SiH2(NEtH))3, N(SiH2-CH2-SiH2(NnPrH))3, N(SiH2-CH2-SiH2(NiPrH))3, N(SiH2-CH2-SiH2(NBuH))3, N(SiH2-CH2-SiH2(NiBuH))3, N(SiH2-CH2-SiH2(NtBuH))3, N(SiH2-CH2-SiH2(NAmH))3, N(SiH2-CH2-SiH2(피리딘))3, N(SiH2-CH2-SiH2(피롤))3, N(SiH2-CH2-SiH2(피롤리딘))3, N(SiH2-CH2-SiH2(이미다졸))3, N(SiH2-CH2-SiH2(OH))3, N(SiH2-CH2-SiH2(OMe))3, N(SiH2-CH2-SiH2(OEt))3, N(SiH2-CH2-SiH2(OnPr))3, N(SiH2-CH2-SiH2(OiPr))3, N(SiH2-CH2-SiH2(OBu))3, N(SiH2-CH2-SiH2(OiBu))3, N(SiH2-CH2-SiH2(OtBu))3, N(SiH2-CH2-SiH2(OAm))3 및 N(SiH2-CH2-SiH2(O헥실))3를 포함한다.
a가 0이고, R3이 H인 예시적 전구체는 N(Si(H)(Cl)-CH2-SiH3)3, N(Si(H)(Br)-CH2-SiH3)3, N(Si(H)(I)-CH2-SiH3)3, N(Si(H)(NH2)-CH2-SiH3)3, N(Si(H)(NMe2)-CH2-SiH3)3, N(Si(H)(NEtMe)-CH2-SiH3)3, N(Si(H)(NEt2)-CH2-SiH3)3, N(Si(H)(NnPr2)-CH2-SiH3)3, N(Si(H)(NiPr2)-CH2-SiH3)3, N(Si(H)(NBu2)-CH2-SiH3)3, N(Si(H)(NiBu2)-CH2-SiH3)3, N(Si(H)(NtBu2)-CH2-SiH3)3, N(Si(H)(NHtBu)-CH2-SiH3)3, N(Si(H)(NAm2)-CH2-SiH3)3, N(Si(H)(NHAm)-CH2-SiH3)3, N(Si(H)(NCy펜틸2)-CH2-SiH3)3, N(Si(H)(N헥실2)-CH2-SiH3)3, N(Si(H)(NCyHex2)-CH2-SiH3)3, N(Si(H)(NMeH)-CH2-SiH3)3, N(Si(H)(NEtH)-CH2-SiH3)3, N(Si(H)(NnPrH)-CH2-SiH3)3, N(Si(H)(NiPrH)-CH2-SiH3)3, N(Si(H)(NBuH)-CH2-SiH3)3, N(Si(H)(NtBuH)-CH2-SiH3)3, N(Si(H)(피리딘)-CH2-SiH3)3, N(Si(H)(피롤)-CH2-SiH3)3, N(Si(H)(피롤리딘)-CH2-SiH3)3, N(Si(H)(이미다졸)-CH2-SiH3)3, N(Si(H)(OH)-CH2-SiH3)3, N(Si(H)(OMe)-CH2-SiH3)3, N(Si(H)(OEt)-CH2-SiH3)3, N(Si(H)(OnPr)-CH2-SiH3)3, N(Si(H)(OiPr)-CH2-SiH3)3, N(Si(H)(OBu)-CH2-SiH3)3, N(Si(H)(OiBu)-CH2-SiH3)3, N(Si(H)(OtBu)-CH2-SiH3)3, N(Si(H)(OAm)-CH2-SiH3)3 및 N(Si(H)(O헥실)-CH2-SiH3)3를 포함한다.
개시된 카보실란 치환 아민 전구체는 또한 혼합 카보실란 리간드, 예를 들어 N(Si(H)(Cl)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(Br)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(I)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NH2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NMe2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NMeEt)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NEt2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NnPr2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NiPr2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NBu2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NiBu2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NtBu2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NHtBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NAm2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NHAm)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NCy펜틸2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(N헥실2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NCyHex2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NMeH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NEtH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NnPrH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NiPrH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OMe)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OEt)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OnPr)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OiPr)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OiBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OtBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OAm)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(O헥실)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NMeEt)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NEt2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NnPr2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NiPr2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NBu2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NiBu2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NtBu2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NHtBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NAm2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NHAm)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NCy펜틸2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(N헥실2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NCyHex2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NMeH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NEtH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NnPrH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NiPrH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OnPr)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OiPr)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OiBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OtBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OAm)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(O헥실)-CH2-SiH3)(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(Cl))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(Br))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(I))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NH2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NMe2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NMeEt))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NEt2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NnPr2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NiPr2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NBu2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NiBu2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NtBu2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NHtBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NAm2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NHAm))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NCy펜틸2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(N헥실2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NCyHex2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NMeH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NEtH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NnPrH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NiPrH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OMe))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OEt))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OnPr))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OiPr))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OiBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OtBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OAm))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(O헥실))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NMeEt))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NEt2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NnPr2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NiPr2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NBu2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NiBu2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NtBu2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NHtBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NAm2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NHAm))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NCy펜틸2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(N헥실2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NCyHex2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NMeH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NEtH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NnPrH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NiPrH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OnPr))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OiPr))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OiBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OtBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OAm))(SiH2-CH2SiH3)2 및 N(SiH2-CH2-SiH2(O헥실))(SiH2-CH2SiH3)2를 포함할 수 있다. 개시된 혼합 리간드 트리스(1,3-디실라프로판)아민 전구체는 반응성과 최소화된 막 오염의 요구되는 조합을 제공할 수 있다.
트리스(1,3-디실라프로판)아민 전구체 [N(-SiHR2-CH2-SiH2R3)3]는 -78℃ 내지 20℃의 온도에서 무극성 용매 중에 1-할로-1,3-디실라프로판, 1,1-디할로-1,3-디실라프로판 또는 1,3-디할로-1,3-디실라프로판을 혼합하거나 용해함으로써 합성될 수 있다. 1-할로-1,3-디실라프로판은 문헌[J. Organomet. Chem. 92, 1975 163-168]에 기술된 바와 같이 합성될 수 있다. 동일한 방법에 따라서, 시약들의 화학 양론을 변경함으로써 1,1-디할로-1,3-디실라프로판 또는 1,3-디할로-1,3-디실라프로판이 합성될 수 있다. 암모니아는 이 혼합물에 천천히 첨가되거나, 또는 이 용액에 발포되어 N(-SiHR2-CH2-SiH2R3)3 화합물(식 중, 각각의 R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br 또는 I)임)을 형성한다.
다른 대안에서, N(-SiHR2-CH2-SiH2R3)3 전구체(식 중, 각각의 R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br 또는 I)임)는, N(SiH2-CH2-SiH3)3; 불균화 반응 촉매, 예를 들어 nBu4PCl, nBu4NCl, 또는 디알킬아미노기를 함유하는 약 염기성 음이온 교환 수지; 그리고 적절한 RnSiX4 -n 공급원(식 중, n은 0 내지 3이고, R은 H 또는 알킬기이며, X는 Cl, Br 또는 I임)으로부터 형성될 수 있다. N(SiH2-CH2-SiH3)3은 문헌[J. Organomet. Chem. 92, 1975 163-168]에 개시된 방법에 따라서 합성될 수 있는 1-Cl-SiH2-CH2-SiH3와 NH3를 반응시킴으로써 합성될 수 있다.
디실라프로판 사슬 상 할로겐화물기(Cl, Br 또는 I)는 이후 필요에 따라서 치환될 수 있다. 예를 들어 할로겐화물기는, 낮은 온도(대략 -78℃ 내지 20℃)에서 무극성 용매 중 과량의 1차 또는 2차 아민(NH2R 또는 NHR2)과의 반응에 의해 아미드 기에 의해 치환될 수 있으며, 그 결과 원하는 화합물이 생성된다.
대안적으로, 할로겐화물기는, 낮은 온도(대략 -78℃ 내지 20℃)에서 염기, 예를 들어 피리딘의 존재 하에 무극성 용매 중 적절한 알코올과의 반응에 의해 알콕시 기에 의해 치환될 수 있으며, 그 결과 원하던 화합물이 생성될 수 있다.
다른 대안에 있어서, 디실라프로판 사슬 상 할로겐화물기(Cl, Br 또는 I)는 적절한 리튬 아미드를 사용하여 치환될 수 있다. 리튬 아미드는, 낮은 온도(대략 -78℃ 내지 20℃)에서 용매, 예를 들어 에테르 또는 기타 다른 임의의 극성 용매 중에 알킬 리튬과, 1차 또는 2차 아민(NH2R 또는 NHR2)을 합하여, 리튬 아미드를 형성함으로써 형성될 수 있다. 리튬 아미드는 분리되어, N(-SiHR2-CH2-SiH2R3)(식 중, R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br, I)임)와 반응함으로써, 원하는 화합물을 생성할 수 있다. 대안적으로, 리튬 아미드 용액은 N(-SiHR2-CH2-SiH2R3)(식 중, R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br 또는 I)임)에 첨가될 수 있으며, 그 결과 원하는 화합물을 형성할 수 있다.
반응물은 시판되고 있거나, 또는 문헌[J. Organomet. Chem. 92, 1975 163-168]에 따라서 합성될 수 있다.
a가 1일 때, 개시된 카보실란 치환 아민 전구체는 하기 화학식, 즉
Figure 112017033614728-pct00002
을 가지며, 여기서 R1은 H, 알킬기 또는 할로겐(Cl, Br 또는 I)일 수 있고; 각각의 R2 및 R3은 독립적으로 H, 할로겐(Cl, Br 또는 I), 알콕시기(OR')(식 중, R'는 알킬기(C1 내지 C6)임), 또는 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴기 또는 헤테로사이클기임)를 가지는 알킬아미노기이다. 알킬아미노기의 R"는 합하여져 N 원자 상에 사이클릭 사슬을 형성할 수 있다. 예를 들어, NR"2는 피리딘, 피롤, 피롤리돈 또는 이미다졸 고리 구조를 형성할 수 있다.
a가 1이고, R1이 H인 예시적 전구체는 (H)N(SiH2-CH2SiH3)2, HN(SiH2-CH2-SiH2(Cl))2, HN(SiH2-CH2-SiH2(Br))2, HN(SiH2-CH2-SiH2(I))2, HN(SiH2-CH2-SiH2(NH2))2, HN(SiH2-CH2-SiH2(NMe2))2, HN(SiH2-CH2-SiH2(NMeH))2, HN(SiH2-CH2-SiH2(NEtH))2, HN(SiH2-CH2-SiH2(NMeEt))2, HN(SiH2-CH2-SiH2(NiPrH))2, HN(SiH2-CH2-SiH2(피리딘))2, HN(SiH2-CH2-SiH2(피롤))2, HN(SiH2-CH2-SiH2(피롤리딘))2, HN(SiH2-CH2-SiH2(이미다졸))2, HN(SiH2-CH2-SiH2(OMe))2 및 HN(SiH2-CH2-SiH2(OEt))2를 포함한다. N-H 결합은 N-알킬 결합보다 반응성이 더 큰데, 이는 기재 또는 공 반응물과의 개선된 반응성을 제공할 수 있다.
a가 1이고, R1이 할로겐화물인 예시적 전구체는 (Cl)N(SiH2-CH2SiH3)2, (Cl)N(SiH2-CH2-SiH2(Cl))2, (Cl)N(SiH2-CH2-SiH2(Br))2, (Cl)N(SiH2-CH2-SiH2(I))2, (Cl)N(SiH2-CH2-SiH2(NH2))2, (Cl)N(SiH2-CH2-SiH2(NMe2))2, (Cl)N(SiH2-CH2-SiH2(NMeH))2, (Cl)N(SiH2-CH2-SiH2(NEtH))2, (Cl)N(SiH2-CH2-SiH2(NMeEt))2, (Cl)N(SiH2-CH2-SiH2(NiPrH))2, (Cl)N(SiH2-CH2-SiH2(피리딘))2, (Cl)N(SiH2-CH2-SiH2(피롤))2, (Cl)N(SiH2-CH2-SiH2(피롤리딘))2, (Cl)N(SiH2-CH2-SiH2(이미다졸))2, (Cl)N(SiH2-CH2-SiH2(OMe))2, (Cl)N(SiH2-CH2-SiH2(OEt))2, (Br)N(SiH2-CH2SiH3)2, (Br)N(SiH2-CH2-SiH2(Cl))2, (Br)N(SiH2-CH2-SiH2(Br))2, (Br)N(SiH2-CH2-SiH2(I))2, (Br)N(SiH2-CH2-SiH2(NH2))2, (Br)N(SiH2-CH2-SiH2(NMe2))2, (Br)N(SiH2-CH2-SiH2(NMeH))2, (Br)N(SiH2-CH2-SiH2(NEtH))2, (Br)N(SiH2-CH2-SiH2(NMeEt))2, (Br)N(SiH2-CH2-SiH2(NiPrH))2, (Br)N(SiH2-CH2-SiH2(피리딘))2, (Br)N(SiH2-CH2-SiH2(피롤))2, (Br)N(SiH2-CH2-SiH2(피롤리딘))2, (Br)N(SiH2-CH2-SiH2(이미다졸))2, (Br)N(SiH2-CH2-SiH2(OMe))2, (Br)N(SiH2-CH2-SiH2(OEt))2, (I)N(SiH2-CH2SiH3)2, (I)N(SiH2-CH2-SiH2(Cl))2, (I)N(SiH2-CH2-SiH2(Br))2, (I)N(SiH2-CH2-SiH2(I))2, (I)N(SiH2-CH2-SiH2(NH2))2, (I)N(SiH2-CH2-SiH2(NMe2))2, (I)N(SiH2-CH2-SiH2(NMeH))2, (I)N(SiH2-CH2-SiH2(NEtH))2, (I)N(SiH2-CH2-SiH2(NMeEt))2, (I)N(SiH2-CH2-SiH2(NiPrH))2, (I)N(SiH2-CH2-SiH2(피리딘))2, (I)N(SiH2-CH2-SiH2(피롤))2, (I)N(SiH2-CH2-SiH2(피롤리딘))2, (I)N(SiH2-CH2-SiH2(이미다졸))2, (I)N(SiH2-CH2-SiH2(OMe))2 및 (I)N(SiH2-CH2-SiH2(OEt))2을 포함한다. X가 Cl, Br 또는 I인 N-X 결합은 N-H 또는 N-알킬 결합보다 반응성이 더 큰데, 이는 기재 또는 공 반응물과의 개선된 반응성을 제공할 수 있다. 그러나, 생성된 Si-함유 막에 임의의 할로겐화물 오염이 발생하는 것이 바람직하지 않을 수 있다.
a가 1이고, R1이 알킬이며, R3이 H인 예시적 전구체는 (Me)N(SiH2-CH2SiH3)2, (Et)N(SiH2-CH2SiH3)2, (nPr)N(SiH2-CH2SiH3)2, (iPr)N(SiH2-CH2SiH3)2, (Bu)N(SiH2-CH2SiH3)2, (iBu)N(SiH2-CH2SiH3)2, (tBu)N(SiH2-CH2SiH3)2, (아밀)N(SiH2-CH2SiH3)2, (헥실)N(SiH2-CH2SiH3)2, (Me)N(Si(H)(Cl)-CH2-SiH3)2, (Et)N(Si(H)(Cl)-CH2-SiH3)2, (nPr)N(Si(H)(Cl)-CH2-SiH3)2, (iPr)N(Si(H)(Cl)-CH2-SiH3)2, (Bu)N(Si(H)(Cl)-CH2-SiH3)2, (iBu)N(Si(H)(Cl)-CH2-SiH3)2, (tBu)N(Si(H)(Cl)-CH2-SiH3)2, (아밀)N(Si(H)(Cl)-CH2-SiH3)2, (헥실)N(Si(H)(Cl)-CH2-SiH3)2, (Me)N(Si(H)(Br)-CH2-SiH3)2, (Et)N(Si(H)(Br)-CH2-SiH3)2, (nPr)N(Si(H)(Br)-CH2-SiH3)2, (iPr)N(Si(H)(Br)-CH2-SiH3)2, (Bu)N(Si(H)(Br)-CH2-SiH3)2, (iBu)N(Si(H)(Br)-CH2-SiH3)2, (tBu)N(Si(H)(Br)-CH2-SiH3)2, (아밀)N(Si(H)(Br)-CH2-SiH3)2, (헥실)N(Si(H)(Br)-CH2-SiH3)2, (Me)N(Si(H)(I)-CH2-SiH3)2, (Et)N(Si(H)(I)-CH2-SiH3)2, (nPr)N(Si(H)(I)-CH2-SiH3)2, (iPr)N(Si(H)(I)-CH2-SiH3)2, (Bu)N(Si(H)(I)-CH2-SiH3)2, (iBu)N(Si(H)(I)-CH2-SiH3)2, (tBu)N(Si(H)(I)-CH2-SiH3)2, (아밀)N(Si(H)(I)-CH2-SiH3)2, (헥실)N(Si(H)(I)-CH2-SiH3)2, (Me)N(Si(H)(NH2)-CH2-SiH3)2, (Et)N(Si(H)(NH2)-CH2-SiH3)2, (nPr)N(Si(H)(NH2)-CH2-SiH3)2, (iPr)N(Si(H)(NH2)-CH2-SiH3)2, (Bu)N(Si(H)(NH2)-CH2-SiH3)2, (iBu)N(Si(H)(NH2)-CH2-SiH3)2, (tBu)N(Si(H)(NH2)-CH2-SiH3)2, (아밀)N(Si(H)(NH2)-CH2-SiH3)2, (헥실)N(Si(H)(NH2)-CH2-SiH3)2, (Me)N(Si(H)(NMe2)-CH2-SiH3)2, (Et)N(Si(H)(NMe2)-CH2-SiH3)2, (nPr)N(Si(H)(NMe2)-CH2-SiH3)2, (iPr)N(Si(H)(NMe2)-CH2-SiH3)2, (Bu)N(Si(H)(NMe2)-CH2-SiH3)2, (iBu)N(Si(H)(NMe2)-CH2-SiH3)2, (tBu)N(Si(H)(NMe2)-CH2-SiH3)2, (아밀)N(Si(H)(NMe2)-CH2-SiH3)2, (헥실)N(Si(H)(NMe2)-CH2-SiH3)2, (Me)N(Si(H)(NMeEt)-CH2-SiH3)2, (Et)N(Si(H)(NMeEt)-CH2-SiH3)2, (nPr)N(Si(H)(NMeEt)-CH2-SiH3)2, (iPr)N(Si(H)(NMeEt)-CH2-SiH3)2, (Bu)N(Si(H)(NMeEt)-CH2-SiH3)2, (iBu)N(Si(H)(NMeEt)-CH2-SiH3)2, (tBu)N(Si(H)(NMeEt)-CH2-SiH3)2, (아밀)N(Si(H)(NMeEt)-CH2-SiH3)2, (헥실)N(Si(H)(NMeEt)-CH2-SiH3)2, (Me)N(Si(H)(NMeH)-CH2-SiH3)2, (Et)N(Si(H)(NMeH)-CH2-SiH3)2, (nPr)N(Si(H)(NMeH)-CH2-SiH3)2, (iPr)N(Si(H)(NMeH)-CH2-SiH3)2, (Bu)N(Si(H)(NMeH)-CH2-SiH3)2, (iBu)N(Si(H)(NMeH)-CH2-SiH3)2, (tBu)N(Si(H)(NMeH)-CH2-SiH3)2, (아밀)N(Si(H)(NMeH)-CH2-SiH3)2, (헥실)N(Si(H)(NMeH)-CH2-SiH3)2, (Me)N(Si(H)(NEtH)-CH2-SiH3)2, (Et)N(Si(H)(NEtH)-CH2-SiH3)2, (nPr)N(Si(H)(NEtH)-CH2-SiH3)2, (iPr)N(Si(H)(NEtH)-CH2-SiH3)2, (Bu)N(Si(H)(NEtH)-CH2-SiH3)2, (iBu)N(Si(H)(NEtH)-CH2-SiH3)2, (tBu)N(Si(H)(NEtH)-CH2-SiH3)2, (아밀)N(Si(H)(NEtH)-CH2-SiH3)2, (헥실)N(Si(H)(NEtH)-CH2-SiH3)2, (Me)N(Si(H)(NiPrH)-CH2-SiH3)2, (Et)N(Si(H)(NiPrH)-CH2-SiH3)2, (nPr)N(Si(H)(NiPrH)-CH2-SiH3)2, (iPr)N(Si(H)(NiPrH)-CH2-SiH3)2, (Bu)N(Si(H)(NiPrH)-CH2-SiH3)2, (iBu)N(Si(H)(NiPrH)-CH2-SiH3)2, (tBu)N(Si(H)(NiPrH)-CH2-SiH3)2, (아밀)N(Si(H)(NiPrH)-CH2-SiH3)2, (헥실)N(Si(H)(NiPrH)-CH2-SiH3)2, (Me)N(Si(H)(NtBuH)-CH2-SiH3)2, (Et)N(Si(H)(NtBuH)-CH2-SiH3)2, (nPr)N(Si(H)(NtBuH)-CH2-SiH3)2, (iPr)N(Si(H)(NtBuH)-CH2-SiH3)2, (Bu)N(Si(H)(NtBuH)-CH2-SiH3)2, (iBu)N(Si(H)(NtBuH)-CH2-SiH3)2, (tBu)N(Si(H)(NtBuH)-CH2-SiH3)2, (아밀)N(Si(H)(NtBuH)-CH2-SiH3)2, (헥실)N(Si(H)(NtBuH)-CH2-SiH3)2, (Me)N(Si(H)(OEt)-CH2-SiH3)2, (Et)N(Si(H)(OEt)-CH2-SiH3)2, (nPr)N(Si(H)(OEt)-CH2-SiH3)2, (iPr)N(Si(H)(OEt)-CH2-SiH3)2, (Bu)N(Si(H)(OEt)-CH2-SiH3)2, (iBu)N(Si(H)(OEt)-CH2-SiH3)2, (tBu)N(Si(H)(OEt)-CH2-SiH3)2, (아밀)N(Si(H)(OEt)-CH2-SiH3)2 및 (헥실)N(Si(H)(OEt)-CH2-SiH3)2을 포함한다. 알킬인 R1기는 생성된 Si-함유 막에 약간의 탄소를 혼입하기 위해 요구될 수 있다.
a가 1이고, R1이 알킬이며, R2가 H인 예시적 전구체는 (Me)N(SiH2-CH2-SiH2(Cl))2, (Et)N(SiH2-CH2-SiH2(Cl))2, (nPr)N(SiH2-CH2-SiH2(Cl))2, (iPr)N(SiH2-CH2-SiH2(Cl))2, (Bu)N(SiH2-CH2-SiH2(Cl))2, (iBu)N(SiH2-CH2-SiH2(Cl))2, (tBu)N(SiH2-CH2-SiH2(Cl))2, (아밀)N(SiH2-CH2-SiH2(Cl))2, (헥실)N(SiH2-CH2-SiH2(Cl))2, (Me)N(SiH2-CH2-SiH2(Br))2, (Et)N(SiH2-CH2-SiH2(Br))2, (nPr)N(SiH2-CH2-SiH2(Br))2, (iPr)N(SiH2-CH2-SiH2(Br))2, (Bu)N(SiH2-CH2-SiH2(Br))2, (iBu)N(SiH2-CH2-SiH2(Br))2, (tBu)N(SiH2-CH2-SiH2(Br))2, (아밀)N(SiH2-CH2-SiH2(Br))2, (헥실)N(SiH2-CH2-SiH2(Br))2, (Me)N(SiH2-CH2-SiH2(I))2, (Et)N(SiH2-CH2-SiH2(I))2, (nPr)N(SiH2-CH2-SiH2(I))2, (iPr)N(SiH2-CH2-SiH2(I))2, (Bu)N(SiH2-CH2-SiH2(I))2, (iBu)N(SiH2-CH2-SiH2(I))2, (tBu)N(SiH2-CH2-SiH2(I))2, (아밀)N(SiH2-CH2-SiH2(I))2, (헥실)N(SiH2-CH2-SiH2(I))2, (Me)N(SiH2-CH2-SiH2(NH2))2, (Et)N(SiH2-CH2-SiH2(NH2))2, (nPr)N(SiH2-CH2-SiH2(NH2))2, (iPr)N(SiH2-CH2-SiH2(NH2))2, (Bu)N(SiH2-CH2-SiH2(NH2))2, (iBu)N(SiH2-CH2-SiH2(NH2))2, (tBu)N(SiH2-CH2-SiH2(NH2))2, (아밀)N(SiH2-CH2-SiH2(NH2))2, (헥실)N(SiH2-CH2-SiH2(NH2))2, (Me)N(SiH2-CH2-SiH2(NMe2))2, (Et)N(SiH2-CH2-SiH2(NMe2))2, (nPr)N(SiH2-CH2-SiH2(NMe2))2, (iPr)N(SiH2-CH2-SiH2(NMe2))2, (Bu)N(SiH2-CH2-SiH2(NMe2))2, (iBu)N(SiH2-CH2-SiH2(NMe2))2, (tBu)N(SiH2-CH2-SiH2(NMe2))2, (아밀)N(SiH2-CH2-SiH2(NMe2))2, (헥실)N(SiH2-CH2-SiH2(NMe2))2, (Me)N(SiH2-CH2-SiH2(NMeH))2, (Et)N(SiH2-CH2-SiH2(NMeH))2, (nPr)N(SiH2-CH2-SiH2(NMeH))2, (iPr)N(SiH2-CH2-SiH2(NMeH))2, (Bu)N(SiH2-CH2-SiH2(NMeH))2, (iBu)N(SiH2-CH2-SiH2(NMeH))2, (tBu)N(SiH2-CH2-SiH2(NMeH))2, (아밀)N(SiH2-CH2-SiH2(NMeH))2, (헥실)N(SiH2-CH2-SiH2(NMeH))2, (Me)N(SiH2-CH2-SiH2(NMeEt))2, (Et)N(SiH2-CH2-SiH2(NMeEt))2, (nPr)N(SiH2-CH2-SiH2(NMeEt))2, (iPr)N(SiH2-CH2-SiH2(NMeEt))2, (Bu)N(SiH2-CH2-SiH2(NMeEt))2, (iBu)N(SiH2-CH2-SiH2(NMeEt))2, (tBu)N(SiH2-CH2-SiH2(NMeEt))2, (아밀)N(SiH2-CH2-SiH2(NMeEt))2, (헥실)N(SiH2-CH2-SiH2(NMeEt))2, (Me)N(SiH2-CH2-SiH2(NEtH))2, (Et)N(SiH2-CH2-SiH2(NEtH))2, (nPr)N(SiH2-CH2-SiH2(NEtH))2, (iPr)N(SiH2-CH2-SiH2(NEtH))2, (Bu)N(SiH2-CH2-SiH2(NEtH))2, (iBu)N(SiH2-CH2-SiH2(NEtH))2, (tBu)N(SiH2-CH2-SiH2(NEtH))2, (아밀)N(SiH2-CH2-SiH2(NEtH))2, (헥실)N(SiH2-CH2-SiH2(NEtH))2, (Me)N(SiH2-CH2-SiH2(NiPrH))2, (Et)N(SiH2-CH2-SiH2(NiPrH))2, (nPr)N(SiH2-CH2-SiH2(NiPrH))2, (iPr)N(SiH2-CH2-SiH2(NiPrH))2, (Bu)N(SiH2-CH2-SiH2(NiPrH))2, (iBu)N(SiH2-CH2-SiH2(NiPrH))2, (tBu)N(SiH2-CH2-SiH2(NiPrH))2, (아밀)N(SiH2-CH2-SiH2(NiPrH))2, (헥실)N(SiH2-CH2-SiH2(NiPrH))2, (Me)N(SiH2-CH2-SiH2(피리딘))2, (Et)N(SiH2-CH2-SiH2(피리딘))2, (nPr)N(SiH2-CH2-SiH2(피리딘))2, (iPr)N(SiH2-CH2-SiH2(피리딘))2, (Bu)N(SiH2-CH2-SiH2(피리딘))2, (iBu)N(SiH2-CH2-SiH2(피리딘))2, (tBu)N(SiH2-CH2-SiH2(피리딘))2, (아밀)N(SiH2-CH2-SiH2(피리딘))2, (헥실)N(SiH2-CH2-SiH2(피리딘))2,
(Me)N(SiH2-CH2-SiH2(피롤))2, (Et)N(SiH2-CH2-SiH2(피롤))2, (nPr)N(SiH2-CH2-SiH2(피롤))2, (iPr)N(SiH2-CH2-SiH2(피롤))2, (Bu)N(SiH2-CH2-SiH2(피롤))2, (iBu)N(SiH2-CH2-SiH2(피롤))2, (tBu)N(SiH2-CH2-SiH2(피롤))2, (아밀)N(SiH2-CH2-SiH2(피롤))2, (헥실)N(SiH2-CH2-SiH2(피롤))2,
(Me)N(SiH2-CH2-SiH2(피롤리딘))2, (Et)N(SiH2-CH2-SiH2(피롤리딘))2, (nPr)N(SiH2-CH2-SiH2(피롤리딘))2, (iPr)N(SiH2-CH2-SiH2(피롤리딘))2, (Bu)N(SiH2-CH2-SiH2(피롤리딘))2, (iBu)N(SiH2-CH2-SiH2(피롤리딘))2, (tBu)N(SiH2-CH2-SiH2(피롤리딘))2, (아밀)N(SiH2-CH2-SiH2(피롤리딘))2, (헥실)N(SiH2-CH2-SiH2(피롤리딘))2,
(Me)N(SiH2-CH2-SiH2(이미다졸))2, (Et)N(SiH2-CH2-SiH2(이미다졸))2, (nPr)N(SiH2-CH2-SiH2(이미다졸))2, (iPr)N(SiH2-CH2-SiH2(이미다졸))2, (Bu)N(SiH2-CH2-SiH2(이미다졸))2, (iBu)N(SiH2-CH2-SiH2(이미다졸))2, (tBu)N(SiH2-CH2-SiH2(이미다졸))2, (아밀)N(SiH2-CH2-SiH2(이미다졸))2, (헥실)N(SiH2-CH2-SiH2(이미다졸))2,
(Me)N(SiH2-CH2-SiH2(OMe))2, (Et)N(SiH
2-CH2-SiH2(OMe))2, (nPr)N(SiH2-CH2-SiH2(OMe))2, (iPr)N(SiH2-CH2-SiH2(OMe))2, (Bu)N(SiH2-CH2-SiH2(OMe))2, (iBu)N(SiH2-CH2-SiH2(OMe))2, (tBu)N(SiH2-CH2-SiH2(OMe))2, (아밀)N(SiH2-CH2-SiH2(OMe))2, (헥실)N(SiH2-CH2-SiH2(OMe))2, (Me)N(SiH2-CH2-SiH2(OEt))2, (Et)N(SiH2-CH2-SiH2(OEt))2, (nPr)N(SiH2-CH2-SiH2(OEt))2, (iPr)N(SiH2-CH2-SiH2(OEt))2, (Bu)N(SiH2-CH2-SiH2(OEt))2, (iBu)N(SiH2-CH2-SiH2(OEt))2, (tBu)N(SiH2-CH2-SiH2(OEt))2, (아밀)N(SiH2-CH2-SiH2(OEt))2 및 (헥실)N(SiH2-CH2-SiH2(OEt))2을 포함한다.
개시된 카보실란 치환 아민 전구체는 또한 혼합 카보실란 리간드, 예를 들어 HN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), 또는 (I)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3) 및 (I)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)를 포함할 수 있다. 개시된 혼합 리간드 비스(1,3-디실라프로판)아민 전구체는 반응성 및 최소화된 막 오염의 요구되는 조합을 제공할 수 있다.
비스(1,3-디실라프로판)아민 전구체(R1N(-SiHR2-CH2-SiH2R3)2)는 낮은 온도(-78℃ 내지 20℃)에서 무극성 용매 중에 1-할로-1,3-디실라프로판, 1,1-디할로-1,3-디실라프로판 또는 1,3-디할로-1,3-디실라프로판을 혼합 또는 용해함으로써 합성될 수 있다. 1-할로-1,3-디실라프로판은 문헌[J. Organomet. Chem. 92, 1975 163-168]에 기술된 바와 같이 합성될 수 있다. 1,1-디할로-1,3-디실라프로판 또는 1,3-디할로-1,3-디실라프로판은 동일한 방법에 따라서 시약들의 화학 양론을 변경함으로써 합성될 수 있다. 1차 아민(R1NH2)은 상기 혼합물에 천천히 첨가되며, 그 결과 R1N(-SiHR2-CH2-SiH2R3)2 화합물(식 중, 각각의 R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br 또는 I)임)을 형성한다.
대안적으로, R1N(-SiHR2-CH2-SiH2R3)2 전구체(식 중, R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br 또는 I)임)는 R1N(SiH2-CH2-SiH3)2; 불균화 반응 촉매, 예를 들어 nBu4PCl, nBu4NCl, 또는 디알킬아미노기를 함유하는 약 염기성 음이온 교환 수지; 그리고 적절한 RnSiX4 -n 공급원(식 중, n은 0 내지 3이고, R은 H 또는 알킬기이며, X는 Cl, Br 또는 I임)으로부터 형성될 수 있다. N(SiH2-CH2-SiH3)3은 문헌[J. Organomet. Chem. 92, 1975 163-168]에 개시된 방법에 따라서 합성될 수 있는 1-Cl-SiH2-CH2-SiH3와 NH3를 반응시킴으로써 합성될 수 있다.
디실라프로판 사슬 상 할로겐화물기(Cl, Br 또는 I)는 이후 필요에 따라서 치환될 수 있다.
예를 들어 할로겐화물기는, 낮은 온도(대략 -78℃ 내지 20℃)에서 무극성 용매 중 과량의 1차 또는 2차 아민(NH2R 또는 NHR2)과의 반응에 의해 아미드 기에 의해 치환될 수 있으며, 그 결과 원하는 화합물을 생성할 수 있다.
대안적으로, 할로겐화물기는, 낮은 온도(대략 -78℃ 내지 20℃)에서 염기, 예를 들어 피리딘의 존재 하에 무극성 용매 중 적절한 알코올과의 반응에 의해 알콕시기에 의해 치환될 수 있으며, 그 결과 원하는 화합물을 생성할 수 있다.
다른 대안에 있어서, 할로겐화물기(Cl, Br 또는 I)는 적절한 리튬 아미드를 사용하여 치환될 수 있다. 리튬 아미드는, 대략 -78℃ 내지 20℃의 온도에서 용매, 예를 들어 에테르 또는 기타 다른 임의의 극성 용매 중 알킬 리튬과, 1차 또는 2차 아민(NH2R 또는 NHR2)을 합하여, 리튬 아미드를 형성함으로써 형성될 수 있다. 리튬 아미드는 분리되어, R1N(-SiHR2-CH2-SiH2R3)2(식 중, R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br, I)임)와 반응함으로써, 원하는 화합물을 형성할 수 있다. 대안적으로, 리튬 아미드 용액은 R1N(-SiHR2-CH2-SiH2R3)2(식 중, R2 및 R3은 독립적으로 H 또는 할로겐(Cl, Br 또는 I)임)에 첨가될 수 있으며, 그 결과 원하는 화합물을 형성할 수 있다.
XN(-SiHR2-CH2-SiH2R3)2 전구체(식 중, X는 Cl, Br 또는 I임)는 문헌에 공지된 염소화 방법을 사용하여 HN(-SiHR2-CH2-SiH2R3)2 화합물로부터 형성될 수 있다. 예를 들어, 비제한적으로, 문헌[Warren et al., Nature, 508, 2014, 402-405] 및 이 문헌에 인용된 참고문헌에 따라서 톨루엔 중 0℃ 내지 환류 온도 범위의 온도에서 1 시간 내지 12 시간 동안 HN(-SiHR2-CH2-SiH2R3)2와 할로겐화제 N-클로로-, 브로모- 또는 요오도-숙신이미드의 반응.
반응물은 시판되거나, 문헌[J. Organomet. Chem. 92, 1975 163-168]에 따라서 합성될 수 있다.
방법의 신뢰성을 보장하기 위하여, 생성된 Si-함유 막 형성 조성물은 연속 증류 또는 분별 회분 증류 또는 승화에 의해 정제되고, 이후에 대략 90% w/w 내지 대략 100% w/w의 범위, 바람직하게는 대략 99% w/w 내지 대략 100% w/w의 범위의 순도로 사용될 수 있다. Si-함유 막 형성 조성물은 하기 불순물, 즉 원치않는 동류 종; 용매; 염소화된 금속 화합물; 또는 기타 다른 반응 생성물 중 임의의 것을 함유할 수 있다. 바람직하게, 이러한 불순물의 총량은 0.1% w/w 미만이다.
정제된 물질 중 헥산, 치환된 헥산, 펜탄, 치환된 펜탄, 디메톡시 에테르 또는 아니솔 각각의 농도는 대략 0% w/w 내지 대략 5% w/w, 바람직하게 대략 0% w/w 내지 대략 0.1% w/w의 범위일 수 있다. 용매는 조성물 합성에 사용될 수 있다. 만일 조성물과 용매 둘 다의 비점이 유사하면, 조성물로부터 용매를 분리하는 것은 어려울 수 있다. 이 혼합물을 냉각하면, 액체 용매 중 고체 전구체를 생성할 수 있는데, 이 전구체는 여과에 의해 분리될 수 있다. 진공 증류가 또한 사용될 수 있는데, 다만 전구체 생성물은 자체의 분해점보다 대략적으로 높은 온도까지 가열되지 않는다.
하나의 구현예에서, 개시된 Si-함유 막 형성 조성물은 자체의 원치않는 동류 종, 반응물 또는 기타 반응 생성물 중 임의의 것을 5% v/v 미만, 바람직하게는 1% v/v 미만, 더 바람직하게는 0.1% v/v 미만, 그리고 훨씬 더 바람직하게는 0.01% v/v 미만 함유한다. 이 구현예는 더 우수한 방법 재현성(process repeatability)을 제공할 수 있다. 이 구현예는 Si-함유 막 형성 조성물의 증류에 의해 생성될 수 있다. 대안적 구현예에서, 개시된 Si-함유 막 형성 조성물은, 특히 혼합물이 개선된 방법 매개변수를 제공하거나, 또는 표적 전구체의 분리가 지나치게 어렵거나 비용이 많이 들어갈 때, 카보실란 치환 아민 전구체를 5% v/v 내지 50% v/v 함유할 수 있다. 예를 들어, 반응 생성물들의 혼합물은 스핀-온 또는 증착에 적합한 안정적인 액체 혼합물을 생성할 수 있다.
Si-함유 막 형성 조성물 중 미량 금속 및 준금속의 농도는 각각 대략 0 ppb 내지 대략 100 ppb, 더 바람직하게는 대략 0 ppb 내지 대략 10 ppb의 범위일 수 있다. 정제된 Si-함유 막 형성 조성물 중 X(X는 Cl, Br 또는 F임)의 농도는 대략 0 ppm 내지 대략 100 ppm, 더 바람직하게 대략 0 ppm 내지 대략 10 ppm의 범위일 수 있다.
증착 방법용으로 개시된 카보실란 치환 아민 전구체를 사용하는 방법도 또한 개시되어 있다. 개시된 방법은 규소-함유 막의 증착용인 Si-함유 막 형성 조성물의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 디바이스의 제조에 유용할 수 있다. 본 방법은 개시된 Si-함유 막 형성 조성물의 증기를, 내부에 기재가 배치되어 있는 반응기에 도입하는 단계; 및 개시된 카보실란 치환 아민 전구체 중 적어도 일부분을 증착 방법에 의해 이 기재 상에 증착시킨 결과, Si-함유 층을 형성하는 단계를 포함한다.
개시된 방법은 또한, 더 구체적으로는 SiMOx 막(식 중, x는 0 내지 4일 수 있고, M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 원소(예를 들어, Er), 또는 이것들의 조합임)을 증착하기 위해, 증착 방법을 사용할 때 바이메탈(bimetal)-함유 층을 기재 상에 형성하는 것을 제공한다.
규소-함유 층을 기재 상에 형성하는 것으로 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 디바이스의 제조에 유용할 수 있다. 개시된 Si-함유 막 형성 조성물은 당업계에 공지된 임의의 증착 방법을 사용할 때, Si-함유 막을 증착시킬 수 있다. 적합한 증착 방법의 예들로서는 화학 증착법(CVD) 또는 원자 층 증착법(ALD)을 포함한다. 예시적 CVD 방법은 열 CVD, 플라스마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 대기압 이하 압력 CVD(SACVD), 대기압 CVD(APCVD), 유동성 CVD(f-CVD), 열선 CVD(HWCVD, cat-CVD라고도 알려짐, 열선이 증착 방법의 에너지 공급원으로서 사용됨), 라디칼 혼입 CVD, 그리고 이러한 방법들의 조합을 포함한다. 예시적 ALD 방법은 열 ALD, 플라스마 강화 ALD(PEALD), 공간 분리 ALD, 열선 ALD(HWALD), 라디칼 혼입 ALD, 그리고 이러한 방법들의 조합을 포함한다. 초임계 유체 증착도 또한 사용될 수 있다. 적합한 단차 피복(step coverage)과 막 두께 제어를 제공하기 위한 증착 방법은 바람직하게 ALD, 공간 ALD 또는 PE-ALD이다.
Si-함유 막 형성 조성물의 증기는 기재가 담겨있는 반응 챔버 내에 도입된다. 반응 챔버 내 온도와 압력, 그리고 기재의 온도는 기재 상에 카보실란 치환 아민 전구체의 적어도 일부분을 증착하는 데 적합한 조건으로 유지된다. 다시 말해서, 기화된 조성물을 챔버 내에 도입한 후, 챔버 내 조건은 기화된 전구체의 적어도 일부분이 기재 상에 증착되어, 규소-함유 막을 형성하는 조건이다. 공 반응물은 또한 Si-함유 층의 형성을 돕는 데 사용될 수 있다.
반응 챔버는 증착 방법이 진행되는 디바이스, 예를 들어 평행 판형 반응기, 저온 벽 형 반응기, 고온 벽 형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 증착 시스템의 이와 같은 기타 다른 유형들(이에 한정되는 것은 아님)의 임의의 독립 공간 또는 챔버일 수 있다. 이러한 예시적 반응 챔버들은 모두 ALD 반응 챔버로서 사용될 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr의 범위의 압력으로 유지될 수 있다. 뿐만 아니라, 반응 챔버 내 온도는 약 20℃ 내지 약 600℃의 범위일 수 있다. 당업자는, 온도가 오로지 실험을 통해서만 최적화될 수 있고, 그 결과 원하는 결과가 달성될 수 있음을 인지할 것이다.
반응기의 온도는 기재 고정 장치의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기재를 가열하는 데 사용된 디바이스는 당업계에 공지되어 있다. 반응기 벽은 원하는 막, 즉 원하는 물리적 상태 및 조성을 가지는 막을 충분한 성장 속도로 얻기 위해 충분한 온도까지 가열된다. 반응기 벽이 가열될 수 있는 비 제한 예시적인 온도 범위는 대략 20℃ 내지 대략 600℃를 포함한다. 플라스마 증착 방법이 사용될 때, 증착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 가열처리 방법이 수행될 때, 증착 온도는 대략 300℃ 내지 대략 600℃의 범위일 수 있다.
대안적으로, 기재는 원하는 규소-함유 막, 즉 원하는 물리적 상태 및 조성을 가지는 규소-함유 막을 충분한 성장 속도로 얻기 위해 충분한 온도까지 가열될 수 있다. 기재가 가열될 수 있는 비 제한 예시적인 온도 범위는 150℃ 내지 600℃를 포함한다. 바람직하게, 기재의 온도는 500℃ 이하로 유지된다.
규소-함유 막이 증착될 기재의 유형은 의도로 하는 최종 용도에 따라서 달라질 것이다. 기재는 일반적으로 방법이 수행되는 재료로서 정의된다. 기재는 반도체, 광전지, 평판 또는 LCD-TFT 디바이스 제조에 사용되는 임의의 적합한 기재일 수 있다. 적합한 기재의 예들은 웨이퍼, 예를 들어 규소, 실리카, 유리, Ge 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 그 위에 이전 제조 단계로부터 증착된 상이한 재료들의 층 하나 이상을 가질 수 있다. 예를 들어, 웨이퍼는 규소층(결정질, 비결정질, 다공성 등), 산화규소 층, 질화규소 층, 옥시질화규소 층, 탄소 도핑 산화규소(SiCOH) 층, 또는 이것들의 조합을 포함할 수 있다. 추가로 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층(예를 들어, 백금, 팔라듐, 니켈, 로듐 또는 금 층)을 포함할 수 있다. 웨이퍼는 차단 층, 예를 들어 망간, 산화망간, 탄탈륨, 질화탄탈륨 등의 층을 포함할 수 있다. 플라스틱 층, 예를 들어 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌설폰산염)[PEDOT:PSS]도 또한 사용될 수 있다. 층은 편평하거나 패턴이 형성된 것일 수 있다. 몇몇 구현예들에서, 기재는 수소화된 탄소, 예를 들어 CHx(식 중, x는 0보다 큼(예를 들어, x≤4))로 만들어진 패턴 형성 감광 막일 수 있다. 몇몇 구현예들에서, 기재는 MIM, DRAM 또는 FeRam 기술에서 유전성 재료로서 사용되는 산화물(예를 들어, ZrO2 기반 재료, HfO2 기반 재료, TiO2 기반 재료, 희토류 산화물 기반 재료, 3원 산화물 기반 재료 등)의 층들, 또는 구리 층 및 저-k 층 사이의 산소 차단막으로서 사용되는 질화물(예를 들어, TaN) 기반 막 유래 층들을 포함할 수 있다. 개시된 방법들은 규소-함유 층을, 웨이퍼 상에 직접 증착할 수 있거나, 또는 (패턴 형성 층들이 기재를 이룰 때) 웨이퍼 상부의 층들 중 하나의 층 또는 하나를 초과하는 수의 층에 직접 증착할 수 있다. 뿐만 아니라 당업자는, 본원에 사용된 용어 "막" 또는 "층"이 표면상에 적층되거나 표면 전체에 도포된 몇몇 재료가 형성한 두께를 지칭하고, 이때의 표면은 구멍이 파인 것 또는 라인일 수 있음을 인지할 것이다. 명세서 및 청구범위 전반에 걸쳐 웨이퍼 및 웨이퍼에 증착된 임의의 연관된 층들은 기재로서 지칭된다. 실제 사용된 기재는 또한 사용된 특이 전구체 구현예에 따라서 달라질 수도 있다. 그러나 다수의 경우에 있어서, 사용된 바람직한 기재는 수소화된 탄소, TiN, SRO, Ru 및 Si 형 기재, 예를 들어 폴리실리콘 또는 결정질 규소 기재로부터 선택될 것이다.
기재는 큰 종횡비를 가지는 구멍(trench) 또는 바이어스(vias)를 포함하도록 패턴이 형성될 수 있다. 예를 들어 등각 Si-함유 막, 예를 들어 SiO2는 임의의 ALD 기법을 사용하여 종횡비가 대략 20:1 내지 대략 100:1의 범위인 (TSV)를 통해 규소를 통과하여 증착될 수 있다.
Si-함유 막 형성 조성물은 순수한 형태, 또는 증착에 적합한 용매, 예를 들어 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3차 아민, 아세톤, 테트라하이드로푸란, 에탄올, 에틸메틸케톤, 1,4-디옥산 등과 배합된 형태로서 공급될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 캐스팅 증착(casting deposition)에 적합한 용매, 예를 들어 물, 에탄올, 이소프로판올, 나프타, 메틸이소부틸케톤(MIBK), n-메틸이소부틸케톤(NMIBK) 또는 이것들의 조합을 포함할 수 있다. 당업자는 캐스팅 적층 용액이 pH 조절제 또는 계면활성제를 추가로 포함할 수 있음을 인지할 것이다. 개시된 전구체는 용매 중에 다양한 농도로 존재할 수 있다. 예를 들어 결과로 조성된 증착 용액의 농도는 대략 0.05 M 내지 대략 2 M의 범위일 수 있다. 당업자는 캐스팅 증착 용액의 몰 농도가 원하는 막 두께에 정비례하며, 이에 따라서 몰 농도는 조정될 수 있음을 인지할 것이다.
증착을 위해서, 순수한 Si-함유 막 형성 조성물 또는 배합된 Si-함유 막 형성 조성물은 종래의 수단, 예를 들어 배관 및/또는 유량계에 의해 증기의 형태로 반응기에 도입된다. 증기 형태를 가지는 조성물은 종래의 기화 단계, 예를 들어 직접 기화, 증류를 통하거나, 발포에 의하거나, 또는 승화 장치(예를 들어, PCT 공개 WO2009/087609(Xu외 다수)에 개시된 장치)를 사용하여 순수한 조성물 또는 배합된 조성물을 기화함으로써 생성될 수 있다. 순수한 조성물 또는 배합된 조성물은 액체 상태로 기화 장치에 공급될 수 있는데, 이 기화 장치에서 조성물은 반응기로 도입되기 전에 기화된다. 대안적으로, 순수한 조성물 또는 배합된 조성물은 캐리어 가스를 전구체가 담긴 용기에 통과시키거나, 또는 캐리어 가스를 전구체에 발포시킴으로써 기화될 수 있다. 캐리어 가스는 Ar, He 또는 N2, 그리고 이것들의 혼합물을 포함할 수 있으나, 이에 한정되는 것은 아니다. 캐리어 가스에 의한 발포는 또한 순수한 조성물 또는 배합된 조성물 중에 존재하는 임의의 용해 산소를 제거할 수 있다. 이후 캐리어 가스 및 전구체는 증기로서 반응기에 도입된다.
필요하다면 용기는, Si-함유 막 형성 조성물이 자체의 액체 상태로 존재할 수 있고, 충분한 증기압을 가질 수 있는 온도까지 가열될 수 있다. 용기는, 예를 들어 0℃ 내지 150℃ 범위의 온도로 유지될 수 있다. 당업자들은 용기의 온도가 Si-함유 막 형성 조성물의 기화량을 제어하는 공지의 방식으로 조절될 수 있음을 인지한다.
개시된 조성물 이외에, 반응 가스는 또한 반응기 내에 도입될 수 있다. 반응 가스는 산화제, 예를 들어 O2; O3; H2O; H2O2; 산소 함유 라디칼, 예를 들어 O˙ 또는 OH˙; NO; NO2; 카복실산, 예를 들어 포름산, 아세트산, 프로피온산; NO, NO2 또는 카복실산의 라디칼 종; 파라-포름알데히드; 및 이것들의 혼합물 중 하나일 수 있다. 바람직하게, 산화제는 O2, O3, H2O, H2O2, 이것들의 산소 함유 라디칼, 예를 들어 O˙ 또는 OH˙; 그리고 이것들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게, ALD 방법이 수행될 때, 공 반응물은 플라스마 처리된 산소, 오존 또는 이것들의 조합이다. 산화 가스가 사용될 때, 결과로 생성된 규소-함유 막은 또한 산소를 포함할 것이다.
대안적으로 반응 가스는 환원제, 예를 들어 H2, NH3, (SiH3)3N, 하이드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SIH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예를 들어, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3), 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예를 들어, B2H6, 9-보라비시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예를 들어, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이것들의 라디칼 종, 그리고 이것들의 혼합물 중 하나일 수 있다. 바람직하게 환원제는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이것들의 수소 라디칼, 또는 이것들의 혼합물이다. 환원제가 사용될 때, 결과로 생성된 규소-함유 막은 순수한 Si일 수 있다.
반응 가스는, 이 반응 가스를 자체의 라디칼 형태로 분해하도록 플라스마로 처리될 수 있다. N2는 또한 플라스마로 처리될 때 환원제로서 사용될 수 있다. 예를 들어, 플라스마는 약 50 W 내지 약 500 W, 바람직하게 약 100 W 내지 약 200 W의 범위의 전력에 의해 생성될 수 있다. 플라스마는 반응기 자체 내에서 생성될 수 있거나 여기에 존재할 수 있다. 대안적으로, 플라스마는 일반적으로 반응기로부터 격리된 위치, 예를 들어 멀리 떨어져 위치하는 플라스마 시스템 내에 존재할 수 있다. 당업자는 이와 같은 플라스마 처리에 적합한 방법 및 기구를 인지할 것이다.
원하는 규소-함유 막이 또한 다른 원소, 예를 들어 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 원소(예를 들어, Er), 또는 이것들의 조합들(이에 한정되는 것은 아님)을 포함할 때, 공 반응물은 알킬, 예를 들어 Ln(RCp)3 또는 Co(RCp)2, 아민, 예를 들어 Nb(Cp)(NtBu)(NMe2)3 및 이것들의 임의의 조합으로부터 선택되되, 이에 한정되는 것은 아닌 전구체를 포함할 수 있다.
개시된 Si-함유 막 형성 조성물은 또한 할로실란 또는 폴리할로디실란 또는 폴리할로트리실란, 예를 들어 헥사클로로디실란, 펜타클로로디실란, 또는 테트라클로로디실란, 또는 옥타클로로트리실란, 그리고 SiN 또는 SiCN 막을 형성하기 위한 하나 이상의 공 반응물 가스(전체 내용이 본원에 전체로서 포함되어 있는 PCT 공개 WO2011/123792에 개시됨)와 함께 사용될 수 있다.
Si-함유 막 형성 조성물과 하나 이상의 공 반응물은 동시에(화학 증착), 연속으로(원자 층 증착) 또는 기타 다른 조합 방식으로 반응 챔버에 도입될 수 있다. 예를 들어, Si-함유 막 형성 조성물은 1 회 펄스로 도입될 수 있고, 2 개의 추가 금속 공급원은 모두 개별 펄스로 도입될 수 있다[변형 원자 층 증착]. 대안적으로, 반응 챔버는 Si-함유 막 형성 조성물을 도입하기 전에 이미 공 반응물을 담고 있을 수 있다. 공 반응물은 반응 챔버로부터 국소화되거나 멀리 떨어져 있는 플라스마 시스템을 통과하여 라디칼로 분해될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 지속적으로 반응 챔버에 도입될 수 있는데, 이때 기타 다른 금속 공급원이 펄스에 의해 도입된다(펄스 화학 증착). 각각의 예에서, 펄스의 단계 다음에는 퍼징 단계(purging step) 또는 배기 단계(evacuation step)가 수행될 수 있으며, 그 결과 도입된 성분들 과량을 제거할 수 있다. 각각의 예에서, 펄스는 약 0.01 s 내지 약 10 s, 대안적으로는 약 0.3 s 내지 약 3 s, 대안적으로는 약 0.5 s 내지 약 2 s 범위의 시간의 기간 동안 지속적으로 가하여질 수 있다. 다른 대안에서, Si-함유 막 형성 조성물과 하나 이상의 공 반응물은, 수 개의 웨이퍼를 고정하고 있는 발열체가 회전하는 샤워 헤드(shower head)로부터 동시에 분사될 수 있다(공간 ALD).
하나의 비 제한 예시적 원자 층 증착형 방법에 있어서, Si-함유 막 형성 조성물의 증기 상은 반응 챔버에 도입되는데, 여기서 상기 증기상은 적합한 기재와 접촉한다. 그 다음, 과량의 조성물은, 반응 챔버를 퍼징하고/퍼징하거나 배기함으로써 이 반응 챔버로부터 제거될 수 있다, 산소 공급원은 반응 챔버 내에 도입되는데, 여기서 상기 산소 공급원은 흡수된 카보실란 치환 아민 전구체와 자기 제한 방식으로 반응한다. 과량의 임의 산소 공급원은 반응 챔버를 퍼징하고/퍼징하거나 배기함으로써 반응 챔버로부터 제거된다. 만일 원하는 막이 산화규소 막이면, 이러한 2 단계의 방법은 원하는 막 두께를 제공할 수 있거나, 또는 필요한 두께를 가지는 막이 얻어질 때까지 반복될 수 있다.
대안적으로, 만일 원하는 막이 규소 금속/준금속 산화물 막(즉, SiMOx(식 중, x는 0 내지 4일 수 있고, M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 원소(예를 들어, Er) 또는 이것들의 조합임))이면, 상기 2 단계의 방법 이후에 반응 챔버 내에 금속-함유 전구체 또는 준금속-함유 전구체의 제2 증기를 도입할 수 있다. 금속- 또는 준금속-함유 전구체는 증착될 규소 금속/준금속 산화물 막의 성질을 바탕으로 선택될 것이다. 금속- 또는 준금속-함유 전구체를 반응 챔버에 도입한 후, 이 전구체는 기재와 접촉된다. 과량의 임의 금속- 또는 준금속-함유 전구체는 반응 챔버의 퍼징 및/또는 배기에 의해 반응 챔버로부터 제거된다. 다시 말해서, 산소 공급원은 반응 챔버에 도입되어 금속- 또는 준금속-함유 전구체와 반응할 수 있다. 과량의 산소 공급원은 반응 챔버의 퍼징 및/또는 배기에 의해 반응 챔버로부터 제거된다. 만일 원하는 막 두께가 얻어지면, 방법은 종결될 수 있다. 그러나 만일 더 두꺼운 막이 요구되면, 전체 4 단계로 이루어진 방법이 반복될 수 있다. Si-함유 막 형성 조성물, 금속- 또는 준금속-함유 전구체, 그리고 산소 공급원이 번갈아 제공됨으로써 원하는 조성과 두께를 가지는 막이 증착될 수 있다.
추가로 펄스 횟수에 변화가 가함으로써, 원하는 화학양론적 M:Si 비율을 가지는 막이 얻어질 수 있다. 예를 들어 SiMO2 막은, Si-함유 막 형성 조성물의 펄스를 1 회 가하고, 금속- 또는 준금속-함유 전구체의 펄스를 1 회 가하되, 다만 각각의 펄스 이후에는 산소 공급원 펄스가 가하여지게 함으로써 형성될 수 있다. 그러나 당업자는, 원하는 막을 얻는데 필요한 펄스의 횟수는 결과로 생성되는 막의 화학양론적 비율과 동일하지 않을 수 있음을 인지할 것이다.
다른 대안에서, Si 막 또는 조밀한 SiCN 막은 개시된 조성물과, 화학식 SiaH2a+2-bXb(식 중, X는 F, Cl, Br 또는 I이고; a는 1 내지 6이며; b는 1 내지 (2a+2)임)인 할로실란 화합물; 또는 화학식 -SicH2c - dXd -(식 중, X는 F, Cl, Br 또는 I이고; c는 3 내지 8이며; d는 1 내지 2c임)인 사이클릭 할로실란 화합물을 사용하여 ALD 방법 또는 변형 ALD 방법을 통하여 증착될 수 있다. 바람직하게 할로실란 화합물은 트리클로로실란, 헥사클로로디실란(HCDS), 펜타클로로디실란(PCDS), 테트라클로로디실란 또는 헥사클로로사이클로헥사실란이다. 당업자는, Si-X 결합 내 더 낮은 결합 에너지(즉, Si-Cl = 456 kJ/mol; Si-Br = 343 kJ/mol; Si-I = 339 kJ/mol)로 말미암아, 더 낮은 증착 온도가 필요할 때 이러한 화합물들 중 Cl은 Br 또는 I에 의해 치환될 수 있음을 인지할 것이다. 필요하다면 증착은 N-함유 공 반응물, 예를 들어 NH3를 더 이용할 수 있다. 개시된 Si-함유 막 형성 조성물 및 할로실란 화합물의 증기는, 최종 막의 요구되는 농도에 따라서 반응기에 연속으로 또는 동시에 도입될 수 있다. 전구체의 선택된 주입 순서는 목표로 하는 막의 원하는 조성을 바탕으로 결정될 것이다. 전구체 도입 단계는, 증착된 층이 적합한 두께로 형성될 때까지 반복될 수 있다. 당업자는 공간 ALD 디바이스를 사용할 때 도입 펄스들이 동시에 가하여질 수 있음을 인지할 것이다. PCT 공개 번호 WO2011/123792에 기술된 바와 같이, 전구체 도입 순서는 달라질 수 있으며, 증착은 NH3 공 반응물과 함께, 또는 이 NH3 공 반응물 없이 수행되어, 결과적으로 SiCN 막 중 탄소 및 질소의 양을 조정할 수 있다.
또 다른 대안에서, 규소-함유 막은 미국 특허출원 공개 2014/0051264에 개시된 유동성 PECVD 방법, 즉 개시된 Si-함유 막 형성 조성물 및 라디칼 질소- 또는 산소-함유 공 반응물을 사용하는 유동성 PECVD 방법에 의해 증착될 수 있다. 라디칼 질소 또는 산소 함유 공 반응물, 예를 들어 NH3 또는 H2O 각각은 멀리 떨어져 있는 플라스마 시스템 내에 생성된다. 개시된 조성물의 증기 상 및 라디칼 공 반응물은 반응 챔버 내에 도입되는데, 여기서 상기 증기 상 및 공 반응물은 반응하여 기재 상에 처음 유동하는 막을 증착한다. 출원인들은 개시된 화합물의 질소 원자는 증착된 막의 유동성을 더 개선하도록 돕고, 그 결과 공극이 감소된 막을 생성한다고 여긴다.
캐스팅 증착 방법, 예를 들어 스핀 코팅, 분사 코팅, 딥 코팅 또는 슬릿 코팅 기법에 있어서, 개시된 카보실란 치환 아민 전구체를 사용하는 방법도 또한 개시되어 있다. 개시된 방법은 규소-함유 막의 증착을 위한 Si-함유 막 형성 조성물의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT 또는 편평형 디바이스 제조에 유용할 수 있다. 방법은 개시된 Si-함유 막 형성 조성물의 액체 형태를 반응기 내 기재 상에 적용하는 단계; 그리고 기재 상에 Si-함유 층을 형성하는 단계를 포함한다. 앞서 논의되었던 바와 같이, 개시된 Si-함유 박막의 액체 형태는 카보실란 치환 아민 전구체의 순수 용액, 또는 이 전구체와 용매, 그리고 선택적인 pH 조절제 또는 계면활성제의 혼합물일 수 있다. 개시된 Si-함유 막 형성 조성물의 액체 형태는 기재의 중앙에 직접 적용될 수 있거나, 아니면 분사에 의해 전체 기재에 적용될 수 있다. 기재의 중앙에 직접 적용될 때, 기재는 원심력을 이용하여 조성물이 기재 상에 고르게 분포하도록 회전될 수 있다. 대안적으로 기재는 Si-함유 막 형성 조성물 중에 딥핑될 수 있다. 생성된 막은 임의의 용매 또는 막의 휘발성 성분들을 증발시킬 기간 동안 실온에서 건조될 수 있다. 건조 과정 중 물 미스트가 기재 상에 분사되어, 막의 가수분해 반응을 촉진할 수 있다.
Si-함유 막 형성 조성물 중 개시된 카보실란 치환 아민 전구체는 카보실란 함유 중합체의 합성을 위한 단량체로서 유용한 것으로 증명될 수 있다. Si-함유 막 형성 조성물은 패턴 형성 가능한 막 또는 반사방지 막을 위한 스핀-온 유전성 막 제제를 형성하기 위해 사용될 수 있다. 예를 들어 개시된 Si-함유 막 형성 조성물은 용매 중에 포함될 수 있으며, 기재에 적용되어 막을 형성할 수 있다. 필요하다면 기재는 회전되어, Si-함유 막 형성 조성물은 기재 전체에 고르게 분배될 수 있다. 당업자는 Si-함유 막 형성 조성물의 점도가 기재의 회전이 필요한지를 결정할 것임을 인지할 것이다. 결과로 생성된 막은 비활성 가스, 예를 들어 아르곤, 헬륨 또는 질소 하에서 그리고/또는 감소된 압력 하에서 가열될 수 있다. 대안적으로, 전자 빔 또는 자외선 조사는 결과로 생성된 막에 적용될 수 있다. 개시된 카보실란 치환 아민 전구체들 중 11 개 내지 18 개의 가수분해 가능 기(즉, Si-N 또는 Si-H 또는 Si-X 직접 결합)는 얻어진 중합체의 연결성을 증가시키기 위해 유용한 것으로 증명될 수 있다.
상기 논의된 방법들로부터 형성된 규소-함유 막은 Si, SiC, SiO2, SiN, SiON, SiCN, SiCOH, pSiCOH 또는 MSiOx(식 중, M은 원소, 예를 들어 Hf, Zr, Ti, Nb, Ta 또는 Ge이고, x는 물론 M의 산화 상태에 따라서 0 내지 4일 수 있음)를 포함할 수 있다. 당업자는, 적절한 Si-함유 막 형성 조성물 및 공 반응물의 선택에 의해 원하는 막 조성이 얻어질 수 있음을 인지할 것이다.
원하는 막 두께가 얻어짐에 따라서 막은 추가의 가공, 예를 들어 열 어닐링, 전기로 어닐링, 급속 열 어닐링, UV 또는 e-빔 경화 및/또는 플라스마 가스 노출의 대상이 될 수 있다. 당업자들은, 이러한 추가의 가공 단계들을 수행하기 위해 사용되는 방법 및 시스템을 인지한다. 예를 들어 규소-함유 막은 비활성 대기, H-함유 대기, N-함유 대기, O-함유 대기 또는 이러한 대기들의 조합 하에 대략 200℃ 내지 대략 1000℃의 범위의 온도에 대략 0.1 초 내지 대략 7200 초의 범위의 시간 동안 노출될 수 있다. 온도는 600℃이고, 시간은 3600 초 미만이며, H-함유 대기하에의 노출이 가장 바람직하다. 결과로 생성된 막은 불순물을 더 적게 함유할 수 있으며, 이에 따라서 개선된 성능 특징들을 가질 수 있다. 어닐링 단계는, 증착 방법이 수행되는 반응 챔버와 동일한 반응 챔버 내에서 수행될 수 있다. 대안적으로, 기재는 반응 챔버로부터 분리될 수 있는데, 이때 어닐링/플래시 어닐링 방법은 개별 기구 내에서 수행된다. 상기 후처리 방법들 중 임의의 방법, 그러나 특히 열 어닐링은 규소-함유 막의 탄소 및 질소 오염을 줄이는 데 효과적인 것으로 파악되었다.
실시예
본 발명의 구현예들을 추가로 기술하기 위해 이하 비 제한적 실시예가 제공된다. 그러나, 실시예들은 모두 포괄적이도록 의도되는 것이 아니고, 본원에 기술된 발명의 범위를 제한하는 것으로 의도되는 것도 아니다.
실시예 1: iPrN(-SiH2-CH2-SiH3)2의 합성
ClSiH2-CH2-SiH3 + iPrNH2 + iPr2EtN → iPrN(-SiH2-CH2-SiH3)2
2 ℓ들이 3목 플라스크에 -78℃(드라이아이스/아세톤) 응결기를 장착하고, 펜탄(250 ㎖)을 충전한 다음, -78℃까지 냉각하였다. 이 플라스크에 iPrNH2(14.7 g, 0.248 mol) 및 iPr2EtN(64 g, 0.497 mol)을 첨가하였다. 여기에 1-클로로-1,3-디실라프로판(53.3 g, 0.48 mol)을 대략 1 시간에 걸쳐 천천히 적가하였다. 투명한 액체 중에 백색 고체가 형성되는 것이 관찰되었다. 첨가를 마친 다음, 현탁액을 격렬하게 교반하는 가운데 온도를 천천히 실온으로 만들었다. 교반을 밤새 계속 수행하였다. 반응 혼합물을 추가의 펜탄으로 세정된 고체와 중간 크기의 소결 유리 필터(medium fritted glass filter) 상에서 여과하였다. 감압 하에서 용매를 제거한 결과, 뿌연 액체가 얻어졌다.
이후, 결과로 생성된 여과물을 단 경로 컬럼을 사용하여 증류하였다. 최종 생성물을 22℃/170 mTorr로 증류한 결과, 무색의 액체가 얻어졌다. 수득량: 7 g(14%).
400 MHz 장치로 최종 생성물의 NMR을 수집하였다. C6D6 중 iPrN(-SiH2CH2SiH3)2 : 1H NMR: δ-0.15 (sext. 4H, -CH 2-), 1.07 (d, 6H, 3.69, JHH = 6.5 Hz, -CHMe2 ), 3.07 (mult., 1H, -CHMe2), 3.70 (t, 6H, JHH = 4.5 Hz, -SiH 3), 4.57 (t, 4H, JHH = 4 Hz, -SiH2-); 29Si NMR: δ -27.1, -65.24. 개방 컵(oc) 조건에서 열 무게 분석(TGA)을 실시한 결과, 1% w/w 미만의 잔류물이 생성되었다. 폐쇄 컵(cc) TGA에서는 2% w/w 미만의 잔류물이 생성되었다. 도 1을 참조한다.
실시예 2: HN(-SiH2-CH2-SiH3)2의 합성
ClSiH2-CH2-SiH3 + NH3 → HN(-SiH2-CH2-SiH3)2
-15℃에서 톨루엔 중 ClSiH2-CH2-SiH3(53.6g)의 1.21 M 용액을 제조하였다. 이 혼합물을 +5℃까지 승온시킨 다음, 약 1.5 시간에 걸쳐 이 혼합물에 NH3(11.5g)를 천천히 발포시켰다. 반응 혼합물의 온도가 저절로 상승하도록 놔두었다. 첨가 후, 상기 혼합물을 실온(대략 23℃)에서 0.5 시간 동안 교반하고 나서, GC 샘플링을 수행하였다. GC는 오로지 하나의 생성물 NHDSP2만을 나타내었다. GC는 체류 시간 9.032 분에서의 생성물과, 용매 및 반응물 피크들을 나타내었다.
실시예 3: N(-SiH2-CH2-SiH3)3의 합성
ClSiH2-CH2-SiH3 + NH3 → N(-SiH2-CH2-SiH3)3
500 ㎖들이 3목 플라스크에 -78℃(드라이아이스/아세톤) 응결기를 장착하고, 무수 펜탄(100 ㎖)과 1-클로로-1,3-디실라프로판(15 g, 0.135 mol)을 충전한 다음, 0℃까지 냉각하였다. 가스상 암모니아를 플라스크 내로 응결시켰다(2.5 g, 0.147 mol). 처음에는 약간의 연기가 발생하는 것이 관찰되었고, 이후에는 다량의 백색 고체가 투명 액체 중에 형성되었다. 현탁액을 격렬하게 교반하는 가운데 온도를 천천히 실온으로 만들었다. 교반을 대략 60 시간 동안 실온에서 계속 수행하였다. 반응 혼합물을 추가의 무수 펜탄 100 ㎖로 세정된 고체와 중간 크기의 소결 유리 필터 상에서 여과한 결과, 투명한 무색의 액체가 생성되었다. 대기압 하에 32℃ 내지 37℃에서 용매와 고 휘발성 물질을 단 경로 컬럼을 사용하여 제거하였다. 최종 생성물을 단 경로 컬럼을 사용하여 26℃ 내지 36℃/40 mTorr 내지 45 mTorr로 증류한 결과, 무색의 액체가 얻어졌다. 수득량: 4.3 g(50%).
400 MHz 장치로 최종 생성물의 NMR을 수집하였다. C6D6 중 N(-SiH2-CH2-SiH3)3 : 1H NMR: δ -0.16 (sext. 6H, -CH 2-), 3.69 (t, 9H, JHH = 4.5 Hz, -SiH 3), 4.60 (t, 6H, JHH = 4 Hz, -SiH2-); 29Si NMR: δ -21.6, -65.23. 개방 컵(oc) 조건 및 폐쇄 컵(cc) 조건에서 열 무게 분석(TGA)을 실시한 결과, 1% w/w 미만의 잔류물이 생성되었다. 도 2를 참조한다.
본 발명의 속성을 설명하기 위하여, 첨부된 청구범위에 표현된 바와 같은 본 발명의 원리와 범위 내에서 본원에 기술 및 예시된 상세한 설명, 재료들, 단계들, 그리고 부분들의 정렬에 추가의 변화 다수가 당업자에 의해 가하여질 수 있음이 이해될 것이다. 따라서 본 발명은 상기 제시된 실시예들 및/또는 첨부된 도면들에 제공된 특정 구현예들에 제한되도록 의도되지는 않는다.

Claims (14)

  1. 화학식 (R1)aN(-SiHR2-CH2-SiH2R3)3 -a(식 중, a는 0 또는 1이고; R1은 H, C1 내지 C6 알킬기 또는 할로겐이며; R2 및 R3은 각각 독립적으로 H, 할로겐, 화학식 OR'(식 중, R'는 알킬기(C1 내지 C6)임)의 알콕시기, 화학식 NR"2(식 중, 각각의 R"는 독립적으로 H, C1-C6 알킬기, C1-C6 알케닐기, 또는 C3-C10 아릴 또는 헤테로사이클 기임)의 알킬아미노기임)을 가지는 카보실란 치환 아민 전구체를 포함하는 Si-함유 막 형성 조성물.
  2. 제1항에 있어서, a는 0이고, 카보실란 치환 아민 전구체는 화학식 N(-SiHR2-CH2-SiH2R3)3를 가지는 것인 Si-함유 막 형성 조성물.
  3. 제2항에 있어서, 상기 카보실란 치환 아민 전구체는 N(SiH2-CH2-SiH2(Cl))3, N(SiH2-CH2-SiH2(Br))3, N(SiH2-CH2-SiH2(I))3, N(SiH2-CH2-SiH2(NH2))3, N(SiH2-CH2-SiH2(NMe2))3, N(SiH2-CH2-SiH2(NMeEt))3, N(SiH2-CH2-SiH2(NEt2))3, N(SiH2-CH2-SiH2(NnPr2))3, N(SiH2-CH2-SiH2(NiPr2))3, N(SiH2-CH2-SiH2(NBu2))3, N(SiH2-CH2-SiH2(NiBu2))3, N(SiH2-CH2-SiH2(NtBu2))3, N(SiH2-CH2-SiH2(NAm2))3, N(SiH2-CH2-SiH2(NCy펜틸2))3, N(SiH2-CH2-SiH2(N헥실2))3, N(SiH2-CH2-SiH2(NCyHex2))3, N(SiH2-CH2-SiH2(NMeH))3, N(SiH2-CH2-SiH2(NEtH))3, N(SiH2-CH2-SiH2(NnPrH))3, N(SiH2-CH2-SiH2(NiPrH))3, N(SiH2-CH2-SiH2(NBuH))3, N(SiH2-CH2-SiH2(NiBuH))3, N(SiH2-CH2-SiH2(NtBuH))3, N(SiH2-CH2-SiH2(NAmH))3, N(SiH2-CH2-SiH2(OH))3, N(SiH2-CH2-SiH2(OMe))3, N(SiH2-CH2-SiH2(OEt))3, N(SiH2-CH2-SiH2(OnPr))3, N(SiH2-CH2-SiH2(OiPr))3, N(SiH2-CH2-SiH2(OBu))3, N(SiH2-CH2-SiH2(OiBu))3, N(SiH2-CH2-SiH2(OtBu))3, N(SiH2-CH2-SiH2(OAm))3, N(SiH2-CH2-SiH2(O헥실))3, N(SiH2-CH2-SiH2(Cl))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(Br))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(I))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NH2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NMe2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NMeEt))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NEt2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NnPr2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NiPr2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NBu2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NiBu2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NtBu2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NHtBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NAm2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NHAm))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NCy펜틸2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(N헥실2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NCyHex2))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NMeH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NEtH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NnPrH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(NiPrH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OH))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OMe))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OEt))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OnPr))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OiPr))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OiBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OtBu))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(OAm))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(O헥실))2(SiH2-CH2SiH3), N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NMeEt))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NEt2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NnPr2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NiPr2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NBu2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NiBu2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NtBu2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NHtBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NAm2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NHAm))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NCy펜틸2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(N헥실2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NCyHex2))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NMeH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NEtH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NnPrH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(NiPrH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OH))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OnPr))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OiPr))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OiBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OtBu))(SiH2-CH2SiH3)2, N(SiH2-CH2-SiH2(OAm))(SiH2-CH2SiH3)2, 및 N(SiH2-CH2-SiH2(O헥실))(SiH2-CH2SiH3)2로 이루어진 군으로부터 선택되는 것인 Si-함유 막 형성 조성물.
  4. 제2항에 있어서, 상기 카보실란 치환 아민 전구체는 N(SiH2-CH2-SiH3)3, N(Si(H)(Cl)-CH2-SiH3)3, N(Si(H)(Br)-CH2-SiH3)3, N(Si(H)(I)-CH2-SiH3)3, N(Si(H)(NH2)-CH2-SiH3)3, N(Si(H)(NMe2)-CH2-SiH3)3, N(Si(H)(NEtMe)-CH2-SiH3)3, N(Si(H)(NEt2)-CH2-SiH3)3, N(Si(H)(NnPr2)-CH2-SiH3)3, N(Si(H)(NiPr2)-CH2-SiH3)3, N(Si(H)(NBu2)-CH2-SiH3)3, N(Si(H)(NiBu2)-CH2-SiH3)3, N(Si(H)(NtBu2)-CH2-SiH3)3, N(Si(H)(NHtBu)-CH2-SiH3)3, N(Si(H)(NAm2)-CH2-SiH3)3, N(Si(H)(NHAm)-CH2-SiH3)3, N(Si(H)(NCy펜틸2)-CH2-SiH3)3, N(Si(H)(N헥실2)-CH2-SiH3)3, N(Si(H)(NCyHex2)-CH2-SiH3)3, N(Si(H)(NMeH)-CH2-SiH3)3, N(Si(H)(NEtH)-CH2-SiH3)3, N(Si(H)(NnPrH)-CH2-SiH3)3, N(Si(H)(NiPrH)-CH2-SiH3)3, N(Si(H)(NBuH)-CH2-SiH3)3, N(Si(H)(NtBuH)-CH2-SiH3)3, N(Si(H)(OH)-CH2-SiH3)3, N(Si(H)(OMe)-CH2-SiH3)3, N(Si(H)(OEt)-CH2-SiH3)3, N(Si(H)(OnPr)-CH2-SiH3)3, N(Si(H)(OiPr)-CH2-SiH3)3, N(Si(H)(OBu)-CH2-SiH3)3, N(Si(H)(OiBu)-CH2-SiH3)3, N(Si(H)(OtBu)-CH2-SiH3)3, N(Si(H)(OAm)-CH2-SiH3)3, N(Si(H)(O헥실)-CH2-SiH3)3, N(Si(H)(Cl)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(Br)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(I)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NH2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NMe2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NMeEt)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NEt2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NnPr2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NiPr2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NBu2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NiBu2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NtBu2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NHtBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NAm2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NHAm)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NCy펜틸2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(N헥실2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NCyHex2)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NMeH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NEtH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NnPrH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(NiPrH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OH)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OMe)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OEt)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OnPr)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OiPr)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OiBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OtBu)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(OAm)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(O헥실)-CH2-SiH3)2(SiH2-CH2SiH3), N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NMeEt)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NEt2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NnPr2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NiPr2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NBu2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NiBu2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NtBu2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NHtBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NAm2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NHAm)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NCy펜틸2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(N헥실2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NCyHex2)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NMeH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NEtH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NnPrH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(NiPrH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OH)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OnPr)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OiPr)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OiBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OtBu)-CH2-SiH3)(SiH2-CH2SiH3)2, N(Si(H)(OAm)-CH2-SiH3)(SiH2-CH2SiH3)2 및 N(Si(H)(O헥실)-CH2-SiH3)(SiH2-CH2SiH3)2로 이루어진 군으로부터 선택되는 것인 Si-함유 막 형성 조성물.
  5. 제2항에 있어서, 상기 카보실란 치환 아민 전구체는 N(-SiH2-CH2-SiH3)3인 Si-함유 막 형성 조성물.
  6. 제1항에 있어서, a는 1이고, 상기 카보실란 치환 아민 전구체는 화학식 R1N(-SiHR2-CH2-SiH2R3)2을 가지는 것인 Si-함유 막 형성 조성물.
  7. 제6항에 있어서, 상기 카보실란 치환 아민 전구체는 HN(SiH2-CH2-SiH2(Cl))2, (Me)N(SiH2-CH2-SiH2(Cl))2, (Et)N(SiH2-CH2-SiH2(Cl))2, (nPr)N(SiH2-CH2-SiH2(Cl))2, (iPr)N(SiH2-CH2-SiH2(Cl))2, (Bu)N(SiH2-CH2-SiH2(Cl))2, (iBu)N(SiH2-CH2-SiH2(Cl))2, (tBu)N(SiH2-CH2-SiH2(Cl))2, (아밀)N(SiH2-CH2-SiH2(Cl))2, (헥실)N(SiH2-CH2-SiH2(Cl))2, HN(SiH2-CH2-SiH2(Br))2, (Me)N(SiH2-CH2-SiH2(Br))2, (Et)N(SiH2-CH2-SiH2(Br))2, (nPr)N(SiH2-CH2-SiH2(Br))2, (iPr)N(SiH2-CH2-SiH2(Br))2, (Bu)N(SiH2-CH2-SiH2(Br))2, (iBu)N(SiH2-CH2-SiH2(Br))2, (tBu)N(SiH2-CH2-SiH2(Br))2, (아밀)N(SiH2-CH2-SiH2(Br))2, (헥실)N(SiH2-CH2-SiH2(Br))2, HN(SiH2-CH2-SiH2(I))2, (Me)N(SiH2-CH2-SiH2(I))2, (Et)N(SiH2-CH2-SiH2(I))2, (nPr)N(SiH2-CH2-SiH2(I))2, (iPr)N(SiH2-CH2-SiH2(I))2, (Bu)N(SiH2-CH2-SiH2(I))2, (iBu)N(SiH2-CH2-SiH2(I))2, (tBu)N(SiH2-CH2-SiH2(I))2, (아밀)N(SiH2-CH2-SiH2(I))2, (헥실)N(SiH2-CH2-SiH2(I))2, HN(SiH2-CH2-SiH2(NH2))2, (Me)N(SiH2-CH2-SiH2(NH2))2, (Et)N(SiH2-CH2-SiH2(NH2))2, (nPr)N(SiH2-CH2-SiH2(NH2))2, (iPr)N(SiH2-CH2-SiH2(NH2))2, (Bu)N(SiH2-CH2-SiH2(NH2))2, (iBu)N(SiH2-CH2-SiH2(NH2))2, (tBu)N(SiH2-CH2-SiH2(NH2))2, (아밀)N(SiH2-CH2-SiH2(NH2))2, (헥실)N(SiH2-CH2-SiH2(NH2))2, HN(SiH2-CH2-SiH2(NMe2))2, (Me)N(SiH2-CH2-SiH2(NMe2))2, (Et)N(SiH2-CH2-SiH2(NMe2))2, (nPr)N(SiH2-CH2-SiH2(NMe2))2, (iPr)N(SiH2-CH2-SiH2(NMe2))2, (Bu)N(SiH2-CH2-SiH2(NMe2))2, (iBu)N(SiH2-CH2-SiH2(NMe2))2, (tBu)N(SiH2-CH2-SiH2(NMe2))2, (아밀)N(SiH2-CH2-SiH2(NMe2))2, (헥실)N(SiH2-CH2-SiH2(NMe2))2, HN(SiH2-CH2-SiH2(NMeH))2, (Me)N(SiH2-CH2-SiH2(NMeH))2, (Et)N(SiH2-CH2-SiH2(NMeH))2, (nPr)N(SiH2-CH2-SiH2(NMeH))2, (iPr)N(SiH2-CH2-SiH2(NMeH))2, (Bu)N(SiH2-CH2-SiH2(NMeH))2, (iBu)N(SiH2-CH2-SiH2(NMeH))2, (tBu)N(SiH2-CH2-SiH2(NMeH))2, (아밀)N(SiH2-CH2-SiH2(NMeH))2, (헥실)N(SiH2-CH2-SiH2(NMeH))2, HN(SiH2-CH2-SiH2(NMeEt))2, (Me)N(SiH2-CH2-SiH2(NMeEt))2, (Et)N(SiH2-CH2-SiH2(NMeEt))2, (nPr)N(SiH2-CH2-SiH2(NMeEt))2, (iPr)N(SiH2-CH2-SiH2(NMeEt))2, (Bu)N(SiH2-CH2-SiH2(NMeEt))2, (iBu)N(SiH2-CH2-SiH2(NMeEt))2, (tBu)N(SiH2-CH2-SiH2(NMeEt))2, (아밀)N(SiH2-CH2-SiH2(NMeEt))2, (헥실)N(SiH2-CH2-SiH2(NMeEt))2, HN(SiH2-CH2-SiH2(NEtH))2, (Me)N(SiH2-CH2-SiH2(NEtH))2, (Et)N(SiH2-CH2-SiH2(NEtH))2, (nPr)N(SiH2-CH2-SiH2(NEtH))2, (iPr)N(SiH2-CH2-SiH2(NEtH))2, (Bu)N(SiH2-CH2-SiH2(NEtH))2, (iBu)N(SiH2-CH2-SiH2(NEtH))2, (tBu)N(SiH2-CH2-SiH2(NEtH))2, (아밀)N(SiH2-CH2-SiH2(NEtH))2, (헥실)N(SiH2-CH2-SiH2(NEtH))2, HN(SiH2-CH2-SiH2(NiPrH))2, (Me)N(SiH2-CH2-SiH2(NiPrH))2, (Et)N(SiH2-CH2-SiH2(NiPrH))2, (nPr)N(SiH2-CH2-SiH2(NiPrH))2, (iPr)N(SiH2-CH2-SiH2(NiPrH))2, (Bu)N(SiH2-CH2-SiH2(NiPrH))2, (iBu)N(SiH2-CH2-SiH2(NiPrH))2, (tBu)N(SiH2-CH2-SiH2(NiPrH))2, (아밀)N(SiH2-CH2-SiH2(NiPrH))2, (헥실)N(SiH2-CH2-SiH2(NiPrH))2, HN(SiH2-CH2-SiH2(OMe))2, (Me)N(SiH2-CH2-SiH2(OMe))2, (Et)N(SiH2-CH2-SiH2(OMe))2, (nPr)N(SiH2-CH2-SiH2(OMe))2, (iPr)N(SiH2-CH2-SiH2(OMe))2, (Bu)N(SiH2-CH2-SiH2(OMe))2, (iBu)N(SiH2-CH2-SiH2(OMe))2, (tBu)N(SiH2-CH2-SiH2(OMe))2, (아밀)N(SiH2-CH2-SiH2(OMe))2, (헥실)N(SiH2-CH2-SiH2(OMe))2, HN(SiH2-CH2-SiH2(OEt))2, (Me)N(SiH2-CH2-SiH2(OEt))2, (Et)N(SiH2-CH2-SiH2(OEt))2, (nPr)N(SiH2-CH2-SiH2(OEt))2, (iPr)N(SiH2-CH2-SiH2(OEt))2, (Bu)N(SiH2-CH2-SiH2(OEt))2, (iBu)N(SiH2-CH2-SiH2(OEt))2, (tBu)N(SiH2-CH2-SiH2(OEt))2, (아밀)N(SiH2-CH2-SiH2(OEt))2, (헥실)N(SiH2-CH2-SiH2(OEt))2, HN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(Cl))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(Br))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(I))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(NH2))(SiH2-CH2SiH3),
    HN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(NMe2))(SiH2-CH2SiH3),
    HN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), (I)N(SiH2-CH2-SiH2(OMe))(SiH2-CH2SiH3), HN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), MeN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), EtN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), iPrN(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), (Cl)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3), (Br)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3) 및 (I)N(SiH2-CH2-SiH2(OEt))(SiH2-CH2SiH3)로 이루어진 군으로부터 선택되는 것인 Si-함유 막 형성 조성물.
  8. 제6항에 있어서, 상기 카보실란 치환 아민 전구체는 (Cl)N(SiH2-CH2SiH3)2, (Br)N(SiH2-CH2SiH3)2, (I)N(SiH2-CH2SiH3)2, (H)N(SiH2-CH2SiH3)2, (Me)N(SiH2-CH2SiH3)2, (Et)N(SiH2-CH2SiH3)2, (nPr)N(SiH2-CH2SiH3)2, (iPr)N(SiH2-CH2SiH3)2, (Bu)N(SiH2-CH2SiH3)2, (iBu)N(SiH2-CH2SiH3)2, (tBu)N(SiH2-CH2SiH3)2, (아밀)N(SiH2-CH2SiH3)2, (헥실)N(SiH2-CH2SiH3)2, (Me)N(Si(H)(Cl)-CH2-SiH3)2, (Et)N(Si(H)(Cl)-CH2-SiH3)2, (nPr)N(Si(H)(Cl)-CH2-SiH3)2, (iPr)N(Si(H)(Cl)-CH2-SiH3)2, (Bu)N(Si(H)(Cl)-CH2-SiH3)2, (iBu)N(Si(H)(Cl)-CH2-SiH3)2, (tBu)N(Si(H)(Cl)-CH2-SiH3)2, (아밀)N(Si(H)(Cl)-CH2-SiH3)2, (헥실)N(Si(H)(Cl)-CH2-SiH3)2, (Me)N(Si(H)(Br)-CH2-SiH3)2, (Et)N(Si(H)(Br)-CH2-SiH3)2, (nPr)N(Si(H)(Br)-CH2-SiH3)2, (iPr)N(Si(H)(Br)-CH2-SiH3)2, (Bu)N(Si(H)(Br)-CH2-SiH3)2, (iBu)N(Si(H)(Br)-CH2-SiH3)2, (tBu)N(Si(H)(Br)-CH2-SiH3)2, (아밀)N(Si(H)(Br)-CH2-SiH3)2, (헥실)N(Si(H)(Br)-CH2-SiH3)2, (Me)N(Si(H)(I)-CH2-SiH3)2, (Et)N(Si(H)(I)-CH2-SiH3)2, (nPr)N(Si(H)(I)-CH2-SiH3)2, (iPr)N(Si(H)(I)-CH2-SiH3)2, (Bu)N(Si(H)(I)-CH2-SiH3)2, (iBu)N(Si(H)(I)-CH2-SiH3)2, (tBu)N(Si(H)(I)-CH2-SiH3)2, (아밀)N(Si(H)(I)-CH2-SiH3)2, (헥실)N(Si(H)(I)-CH2-SiH3)2, (Me)N(Si(H)(NH2)-CH2-SiH3)2, (Et)N(Si(H)(NH2)-CH2-SiH3)2, (nPr)N(Si(H)(NH2)-CH2-SiH3)2, (iPr)N(Si(H)(NH2)-CH2-SiH3)2, (Bu)N(Si(H)(NH2)-CH2-SiH3)2, (iBu)N(Si(H)(NH2)-CH2-SiH3)2, (tBu)N(Si(H)(NH2)-CH2-SiH3)2, (아밀)N(Si(H)(NH2)-CH2-SiH3)2, (헥실)N(Si(H)(NH2)-CH2-SiH3)2, (Me)N(Si(H)(NMe2)-CH2-SiH3)2, (Et)N(Si(H)(NMe2)-CH2-SiH3)2, (nPr)N(Si(H)(NMe2)-CH2-SiH3)2, (iPr)N(Si(H)(NMe2)-CH2-SiH3)2, (Bu)N(Si(H)(NMe2)-CH2-SiH3)2, (iBu)N(Si(H)(NMe2)-CH2-SiH3)2, (tBu)N(Si(H)(NMe2)-CH2-SiH3)2, (아밀)N(Si(H)(NMe2)-CH2-SiH3)2, (헥실)N(Si(H)(NMe2)-CH2-SiH3)2, (Me)N(Si(H)(NMeEt)-CH2-SiH3)2, (Et)N(Si(H)(NMeEt)-CH2-SiH3)2, (nPr)N(Si(H)(NMeEt)-CH2-SiH3)2, (iPr)N(Si(H)(NMeEt)-CH2-SiH3)2, (Bu)N(Si(H)(NMeEt)-CH2-SiH3)2, (iBu)N(Si(H)(NMeEt)-CH2-SiH3)2, (tBu)N(Si(H)(NMeEt)-CH2-SiH3)2, (아밀)N(Si(H)(NMeEt)-CH2-SiH3)2, (헥실)N(Si(H)(NMeEt)-CH2-SiH3)2, (Me)N(Si(H)(NMeH)-CH2-SiH3)2, (Et)N(Si(H)(NMeH)-CH2-SiH3)2, (nPr)N(Si(H)(NMeH)-CH2-SiH3)2, (iPr)N(Si(H)(NMeH)-CH2-SiH3)2, (Bu)N(Si(H)(NMeH)-CH2-SiH3)2, (iBu)N(Si(H)(NMeH)-CH2-SiH3)2, (tBu)N(Si(H)(NMeH)-CH2-SiH3)2, (아밀)N(Si(H)(NMeH)-CH2-SiH3)2, (헥실)N(Si(H)(NMeH)-CH2-SiH3)2, (Me)N(Si(H)(NEtH)-CH2-SiH3)2, (Et)N(Si(H)(NEtH)-CH2-SiH3)2, (nPr)N(Si(H)(NEtH)-CH2-SiH3)2, (iPr)N(Si(H)(NEtH)-CH2-SiH3)2, (Bu)N(Si(H)(NEtH)-CH2-SiH3)2, (iBu)N(Si(H)(NEtH)-CH2-SiH3)2, (tBu)N(Si(H)(NEtH)-CH2-SiH3)2, (아밀)N(Si(H)(NEtH)-CH2-SiH3)2, (헥실)N(Si(H)(NEtH)-CH2-SiH3)2, (Me)N(Si(H)(NiPrH)-CH2-SiH3)2, (Et)N(Si(H)(NiPrH)-CH2-SiH3)2, (nPr)N(Si(H)(NiPrH)-CH2-SiH3)2, (iPr)N(Si(H)(NiPrH)-CH2-SiH3)2, (Bu)N(Si(H)(NiPrH)-CH2-SiH3)2, (iBu)N(Si(H)(NiPrH)-CH2-SiH3)2, (tBu)N(Si(H)(NiPrH)-CH2-SiH3)2, (아밀)N(Si(H)(NiPrH)-CH2-SiH3)2, (헥실)N(Si(H)(NiPrH)-CH2-SiH3)2, (Me)N(Si(H)(NtBuH)-CH2-SiH3)2, (Et)N(Si(H)(NtBuH)-CH2-SiH3)2, (nPr)N(Si(H)(NtBuH)-CH2-SiH3)2, (iPr)N(Si(H)(NtBuH)-CH2-SiH3)2, (Bu)N(Si(H)(NtBuH)-CH2-SiH3)2, (iBu)N(Si(H)(NtBuH)-CH2-SiH3)2, (tBu)N(Si(H)(NtBuH)-CH2-SiH3)2, (아밀)N(Si(H)(NtBuH)-CH2-SiH3)2, (헥실)N(Si(H)(NtBuH)-CH2-SiH3)2, (Me)N(Si(H)(OEt)-CH2-SiH3)2, (Et)N(Si(H)(OEt)-CH2-SiH3)2, (nPr)N(Si(H)(OEt)-CH2-SiH3)2, (iPr)N(Si(H)(OEt)-CH2-SiH3)2, (Bu)N(Si(H)(OEt)-CH2-SiH3)2, (iBu)N(Si(H)(OEt)-CH2-SiH3)2, (tBu)N(Si(H)(OEt)-CH2-SiH3)2, (아밀)N(Si(H)(OEt)-CH2-SiH3)2, (헥실)N(Si(H)(OEt)-CH2-SiH3)2, HN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(Cl)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(Br)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(I)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(NH2)-CH2-SiH3)(SiH2-CH2SiH3),
    HN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(NMe2)-CH2-SiH3)(SiH2-CH2SiH3),
    HN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), (I)N(Si(H)(OMe)-CH2-SiH3)(SiH2-CH2SiH3), HN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), MeN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), EtN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), iPrN(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), (Cl)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3), (Br)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3) 및 (I)N(Si(H)(OEt)-CH2-SiH3)(SiH2-CH2SiH3)로 이루어진 군으로부터 선택되는 것인 Si-함유 막 형성 조성물.
  9. 제6항에 있어서, 상기 카보실란 치환 아민 전구체는 HN(SiH2-CH2-SiH3)2, (Me)N(SiH2-CH2-SiH3)2, (Et)N(SiH2-CH2-SiH3)2, (nPr)N(SiH2-CH2-SiH3)2, (iPr)N(SiH2-CH2-SiH3)2, (Bu)N(SiH2-CH2-SiH3)2, (iBu)N(SiH2-CH2-SiH3)2, (tBu)N(SiH2-CH2-SiH3)2, (아밀)N(SiH2-CH2-SiH3)2, (헥실)N(SiH2-CH2-SiH3)2, (Br)N(SiH2-CH2-SiH3)2, (Cl)N(SiH2-CH2-SiH3)2 및 (I)N(SiH2-CH2-SiH3)2로 이루어진 군으로부터 선택되는 것인 Si-함유 막 형성 조성물.
  10. 제1항 내지 제9항 중 어느 한 항의 Si-함유 막 형성 조성물의 증기를, 내부에 기재가 배치되어 있는 반응기에 도입하는 단계; 및
    카보실란 치환 아민 전구체 중 적어도 일부분을 증착 방법을 사용하여 이 기재 상에 증착시킨 결과, Si-함유 층을 형성하는 단계
    를 포함하는, 기재 상에 Si-함유 층을 증착하는 방법.
  11. 제10항에 있어서, 공 반응물을 반응기에 도입하는 단계를 추가로 포함하는 방법.
  12. 제10항에 있어서, 상기 증착법은 화학 증착법인 방법.
  13. 제10항에 있어서, 상기 증착법은 원자 층 증착법(ALD)인 방법.
  14. 제1항 내지 제9항 중 어느 한 항의 Si-함유 막 형성 조성물을 포함하는 용액을 형성하는 단계; 및 스핀 코팅, 분사 코팅, 딥 코팅 또는 슬릿 코팅 기법을 통하여 상기 용액과 기재를 접촉시켜 Si-함유 막을 형성하는 단계를 포함하는, 기재 상에 Si-함유 막을 형성하는 방법.
KR1020177009337A 2014-09-23 2015-09-23 Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법 KR102398827B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462054198P 2014-09-23 2014-09-23
US62/054,198 2014-09-23
PCT/US2015/051678 WO2016049154A1 (en) 2014-09-23 2015-09-23 Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof

Publications (2)

Publication Number Publication Date
KR20170058957A KR20170058957A (ko) 2017-05-29
KR102398827B1 true KR102398827B1 (ko) 2022-05-16

Family

ID=55581940

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177009337A KR102398827B1 (ko) 2014-09-23 2015-09-23 Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법

Country Status (5)

Country Link
US (1) US9969756B2 (ko)
JP (1) JP6578353B2 (ko)
KR (1) KR102398827B1 (ko)
CN (1) CN107002236B (ko)
WO (1) WO2016049154A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
JP6689886B2 (ja) * 2015-05-22 2020-04-28 ダウ シリコーンズ コーポレーション ペンタクロロジシラン
US20180202042A1 (en) * 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
TWI724141B (zh) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US20180187303A1 (en) * 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Lanthanide precursors and deposition of lanthanide-containing films using the same
WO2018182309A1 (en) * 2017-03-29 2018-10-04 Dnf Co., Ltd. Composition for depositing silicon-containing thin film containing bis(aminosilyl)alkylamine compound and method for manufacturing silicon-containing thin film using the same
KR20180110612A (ko) 2017-03-29 2018-10-10 (주)디엔에프 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
WO2018182318A1 (en) * 2017-03-29 2018-10-04 Dnf Co., Ltd. Composition for depositing silicon-containing thin film and method for manufacturing silicon-containing thin film using the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102190532B1 (ko) 2017-11-22 2020-12-15 (주)디엔에프 실리콘 함유 박막 증착용 조성물 및 이를 이용한 실리콘 함유 박막의 제조방법
US11499014B2 (en) * 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
US20220220132A1 (en) * 2020-12-29 2022-07-14 American Air Liquide, Inc. Organosilane precursors for ald/cvd/sod of silicon-containing film applications
KR20230151303A (ko) * 2022-04-25 2023-11-01 엠케미칼 주식회사 실리콘 전구체 화합물 및 이의 제조방법, 실리콘 전구체 이용한 실리콘 함유 박막의 제조방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0764642B2 (ja) 1986-06-13 1995-07-12 東燃株式会社 窒化物系セラミツクスの製法
US4847400A (en) * 1987-09-11 1989-07-11 Dow Corning Corporation Polyalkoxysilylalkylenedisilazanes and silylamines
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
ES2265291B1 (es) * 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
TWI452069B (zh) * 2007-11-06 2014-09-11 Braggone Oy 用於抗反射性塗層之碳矽烷聚合物組成物
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合

Also Published As

Publication number Publication date
WO2016049154A1 (en) 2016-03-31
CN107002236A (zh) 2017-08-01
US20170291915A1 (en) 2017-10-12
JP6578353B2 (ja) 2019-09-18
US9969756B2 (en) 2018-05-15
JP2017529361A (ja) 2017-10-05
KR20170058957A (ko) 2017-05-29
CN107002236B (zh) 2019-04-05

Similar Documents

Publication Publication Date Title
KR102398827B1 (ko) Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법
US9371338B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
JP6803368B2 (ja) アルキルアミノ置換ハロカルボシラン前駆体
US20200339610A1 (en) Alkylamino-substituted carbosilane precursors
US11407922B2 (en) Si-containing film forming compositions and methods of making and using the same
KR102461078B1 (ko) Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant