KR102461078B1 - Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체 - Google Patents

Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체 Download PDF

Info

Publication number
KR102461078B1
KR102461078B1 KR1020177009713A KR20177009713A KR102461078B1 KR 102461078 B1 KR102461078 B1 KR 102461078B1 KR 1020177009713 A KR1020177009713 A KR 1020177009713A KR 20177009713 A KR20177009713 A KR 20177009713A KR 102461078 B1 KR102461078 B1 KR 102461078B1
Authority
KR
South Korea
Prior art keywords
organodisilane
precursor
containing film
film forming
forming composition
Prior art date
Application number
KR1020177009713A
Other languages
English (en)
Other versions
KR20170063698A (ko
Inventor
기욤 허손
글렌 쿠첸베이저
벤카테스웨어 알. 팔렘
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20170063698A publication Critical patent/KR20170063698A/ko
Application granted granted Critical
Publication of KR102461078B1 publication Critical patent/KR102461078B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/347Carbon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

오르가노디실란 전구체를 포함하는 Si-함유 필름 형성 조성물이 개시된다. 오르가노디실란 전구체는 화학식 (E-(CR)n-E)SiH2-SiHx(E-(CR)n-E)3 -x(여기서, x는 2 또는 3이고; n은 각각 독립적으로 1 또는 3이고; (E-(CR)n-E) 기는 각각, 각각의 E를 통해 Si에 결합되는 단일음이온 두자리 리간드이고; E는 각각 독립적으로 NR, O 또는 S로부터 선택되고; R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 및 C3-C20 아릴 또는 헤테로사이클 기로 이루어진 군으로부터 선택됨)을 갖는다. 또한, Si-함유 필름 형성 조성물의 합성 방법 및 반도체, 광전지, LCD-TFT, 평판형 장치, 내화재, 또는 항공시설 제조를 위한 증착 공정을 사용한 규소-함유 필름의 침착에 이를 사용하는 방법이 개시된다.

Description

ALD/CVD 규소-함유 필름 응용을 위한 오르가노디실란 전구체{ORGANODISILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS}
관련 출원의 상호 참조
본 출원은 2014년 10월 2일에 출원된 미국 가출원 일련 번호 62/059,060의 이익을 청구하며, 이는 모든 목적상 그 전문이 본원에 참조로 포함된다.
기술분야
오르가노디실란 전구체를 포함하는 Si-함유 필름 형성 조성물이 개시된다. 오르가노디실란 전구체는 화학식 (E-(CR)n-E)SiH2-SiHx(E-(CR)n-E)3 -x(여기서, x는 2 또는 3이고; n은 각각 독립적으로 1 또는 3이고; (E-(CR)n-E) 기는 각각, 각각의 E를 통해 Si에 결합되는 단일음이온 두자리 리간드이고; E는 각각 독립적으로 NR, O 또는 S로부터 선택되고; R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 및 C3-C20 아릴 또는 헤테로사이클 기로 이루어진 군으로부터 선택됨)을 갖는다. 또한, Si-함유 필름 형성 조성물의 합성 방법 및 반도체, 광전지, LCD-TFT, 평판형 장치, 내화재, 또는 항공시설 제조를 위한 증착 공정을 사용한 규소-함유 필름의 침착에 이를 사용하는 방법이 개시된다.
Si-함유 박막은 반도체, 광전지, LCD-TFT, 평판형 장치, 내화재, 또는 항공 산업에서 폭넓게 사용된다. Si-함유 박막은, 예를 들어, 절연성일 수 있는 전기적 특성을 갖는 유전 물질로서 사용될 수 있고(SiO2, SiN, SiCN, SiCOH, MSiOx(여기서 M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge이고, x는 0 초과임)), Si-함유 박막은 금속 규화물 또는 금속 규소 질화물과 같은 전도성 필름으로서 사용될 수 있다. 나노스케일(특히 28 nm 미만의 노드)을 향한 전기 장치 설계의 규모 축소에 의해 부과되는 엄격한 요건으로 인해, 높은 침착 속도, 정형성(conformality) 및 생성된 필름의 컨시스턴시(consistency)에 추가로, 휘발성(ALD 공정을 위해), 보다 낮은 공정 온도, 다양한 산화제와의 반응성 및 낮은 필름 오염의 요건을 충족시키는 미세-조율된 분자 전구체가 점점 더 요구된다.
훈크스(Hunks) 등은 US2010/0164057에서, 화학식 R4- xSiLx(여기서, x는 1 내지 3의 값을 갖는 정수이고; R은 H, 분지형 및 비-분지형 C1-C6 알킬, C3-C8 시클로알킬, 및 C6-C13 아릴 기로부터 선택될 수 있고; L은 이소시아네이토, 메틸에틸케톡심, 트리플루오로아세테이트, 트리플레이트, 아실옥시, β-디케티미네이트, β-디이미네이트, 아미디네이트, 구아니디네이트, 알킬아미노, 히드라이드, 알콕시드, 또는 포르메이트 리간드로부터 선택될 수 있음)를 갖는 규소 화합물을 포함하는 폭넓은 범위의 Si-함유 전구체를 개시한다. 피나바이아(Pinnavaia) 등은, 규소 아세틸아세토네이트 및 규소 1,3-디케토네이트 전구체로부터의 다공성 합성, 반-결정성 혼성체 유기-무기 규소 산화물 조성물의 제조 방법을 청구한다(US6465387).
문헌[Proceedings of SPIE 2438, Advances in Resist Technology and Processing XII, 762(June 9, 1995), Wheeler et al.]에는 원-UV(248 nm) 및 EUV(13.5 nm) 리소그래피를 사용한 근-표면 이미징을 위한 실릴화 시약으로서 사용되는 아미노디실란이 개시되어 있다.
JP 2006096675(Tsukada 및 Dussarrat)에서는 SiCN 박막의 침착을 위한 알킬 및 아미노 기 둘 다를 갖는 디실란 함유 전구체가 개시되었다.
샤오(Xiao) 등은 US2013/0323435에서, 화학식 (R1R2N)n-SiH3 - nSiH3(여기서, R1은 선형 또는 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 구인(electron withdrawing) 기, 및 C6 내지 C10 아릴 기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 또는 분지형 C1 내지 C6 플루오린화 알킬 기, 전자 구인 기, 및 C4 내지 C10 아릴 기로부터 선택되고; 선택적으로 여기서 R1과 R2는 함께 연결되어 치환된 또는 비치환된 방향족 고리 또는 치환된 또는 비치환된 지방족 고리로부터 선택되는 고리를 형성하고; n=1 또는 2임)을 갖는 Si-함유 전구체의 또 다른 패밀리를 개시한다.
추가로, 샤오 등은 또한, US2013/0319290에서, 화학식 (R1R2N)-SiH2SiH2-(NR3R4)(여기서, R1 및 R3은 독립적으로 선형 또는 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 구인 및 C6 내지 C10 아릴 기로부터 선택되고; R2 및 R4는 독립적으로 수소, 선형 또는 분지형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 구인, 및 C6 내지 C10 아릴 기로부터 선택되고; 여기서 R1과 R2, R3과 R4, R1과 R3, 또는 R2와 R4 중 임의의 하나 또는 모두는 연결되어 고리를 형성하거나, 이들 모두 고리를 형성하지 않음)를 갖는 Si-함유 전구체의 또 다른 패밀리 및 규소-함유 필름 형성 방법을 개시한다.
최근 듀사랫(Dussarrat) 등은 WO2014/015232에서 H3Si(amd)의 형태를 갖는 규소 아미디네이트 전구체 및 WO2014/015237에서 규소 β-디케티미네이트 전구체를 개시하였고, 이들 각각은 -SiH3 관능기를 지지하기 위한 킬레이팅 리간드 골격의 사용을 나타낸다. 동일 저자는 또한, 킬레이팅 O-O 및 N-O 탈국소화된 리간드 골격을 기재로 한 관련 산소 함유 전구체를 개시하였다[각각 WO2014/015241 및 WO2014/015248 참조].
산체스(Sanchez) 등은, 금속 촉매에 의해 촉진된 포스핀 및 상응하는 비치환된 실란 및 아민(NH3 포함) 사이의 탈수소 커플링을 통한 Si-X 및 Ge-X 화합물(X=N, P, As, Sb)의 제조 방법 및 화합물을 개시한다(US2015/0094470).
Si-함유 필름의 침착에 사용가능한 폭넓은 선택 범위에도 불구하고, 추가의 전구체는, 장치 엔지니어에게 제조 공정 요건을 조율하는 능력을 제공하고 바람직한 전기적 및 물리적 특성을 갖는 필름을 달성하도록 계속적으로 추구된다.
표기법 및 명명법
특정 약어, 기호, 및 용어가 하기 설명 및 청구범위 전반에 걸쳐 사용되며, 이들은 하기를 포함한다:
본원에서 사용되는 바와 같이, 부정 관사("a" 또는 "an")는 하나 이상을 의미한다.
본원에서 사용되는 바와 같이, 용어 "대략" 또는 "약"은 언급된 값의 ±10%를 의미한다.
본원에서 사용되는 바와 같이, 용어 "독립적으로"는, R 기의 기재와 관련하여 사용되는 경우, 대상 R 기는 동일하거나 상이한 아래첨자 또는 위첨자를 갖는 다른 R 기에 대하여 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 종에 대해서도 독립적으로 선택됨을 나타내는 것이라고 이해되어야 한다. 예를 들어 화학식 MR1 x(NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 2 개 또는 3 개의 R1 기는, 서로 또는 R2 또는 R3과 동일할 수 있지만 반드시 그러할 필요는 없다. 또한, 달리 구체적으로 언급되지 않는 한, R 기의 값은 상이한 화학식에서 사용되는 경우 서로에 대해 독립적임을 이해하여야 한다.
본원에서 사용되는 바와 같이, 용어 "알킬 기"는 탄소 및 수소 원자만을 함유하는 포화 관능기를 지칭한다. 또한, 용어 "알킬 기"는 선형, 분지형, 또는 시클릭 알킬 기를 지칭한다. 선형 알킬 기의 예는, 비제한적으로, 메틸 기, 에틸 기, 프로필 기, 부틸 기 등을 포함한다. 분지형 알킬 기의 예는, 비제한적으로, t-부틸을 포함한다. 시클릭 알킬 기의 예는, 비제한적으로, 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등을 포함한다.
본원에서 사용되는 바와 같이, 용어 "아릴"은 1 개의 수소 원자가 고리로부터 제거된 방향족 고리 화합물을 지칭한다. 본원에서 사용되는 바와 같이, 용어 "헤테로사이클"은 그의 고리원으로서 둘 이상의 상이한 원소의 원자를 갖는 시클릭 화합물을 지칭한다.
본원에서 사용되는 바와 같이, 약어 "Me"는 메틸 기를 지칭하고; 약어 "Et"는 에틸 기를 지칭하고; 약어 "Pr"은 임의의 프로필 기(즉, n-프로필 또는 이소프로필)를 지칭하고; 약어 "iPr"은 이소프로필 기를 지칭하고; 약어 "Bu"는 임의의 부틸 기(n-부틸, 이소-부틸, t-부틸, sec-부틸)를 지칭하고; 약어 "tBu"는 tert-부틸 기를 지칭하고; 약어 "sBu"는 sec-부틸 기를 지칭하고; 약어 "iBu"는 이소-부틸 기를 지칭하고; 약어 "Ph"는 페닐 기를 지칭하고; 약어 "Am"은 임의의 아밀 기(이소-아밀, sec-아밀, tert-아밀)를 지칭하고; 약어 "Cy"는 시클릭 알킬 기(시클로부틸, 시클로펜틸, 시클로헥실 등)를 지칭하고; 약어 "R-fmd"는 R-N-C(H)-N-R 포름아미디네이트 리간드를 지칭하고, 여기서 R은 알킬 기이고(예를 들어, iPr-fmd는 iPr-N-C(H)-N-iPr임); 약어 "R-amd"는 R-N-C(Me)-N-R 아미디네이트 리간드를 지칭하고, 여기서 R은 알킬 기이다(예를 들어, iPr-amd는 iPr-N-C(Me)-N-iPr임).
본원에서 사용되는 바와 같이, 두문자어 "SRO"는 스트론튬 루테늄 산화물 필름을 나타내고; 두문자어 "HCDS"는 헥사클로로디실란을 나타내고; 두문자어 "PCDS"는 펜타클로로디실란을 나타낸다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이들 약어로 언급될 수 있음을 이해하여야 한다(예를 들어, Si는 규소를 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하고, C는 탄소를 지칭하는 것 등임).
개요
오르가노디실란 전구체를 포함하는 Si-함유 필름 형성 조성물이 개시된다. 하기 화학식을 갖는 오르가노디실란 전구체가 개시되며,
(E-(CR)n-E)SiH2-SiHx(E-(CR)n-E)3-x
상기 식에서, x는 2 또는 3이고; n은 각각 독립적으로 1 또는 3이고; (E-(CR)n-E) 기는 각각, 각각의 E를 통해 Si에 결합되는 단일음이온 두자리 리간드이고; E는 각각 독립적으로 NR, O 또는 S로부터 선택되고; R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 및 C3-C20 아릴 또는 헤테로사이클 기로 이루어진 군으로부터 선택된다. Si-함유 필름 형성 조성물은 하기 양태들 중 하나 이상을 가질 수 있다:
· R이 C1 내지 C6 알킬 기임;
· R이 각각 독립적으로 H, Me, Et, Pr, 또는 Bu임;
· x가 3임;
· n이 1임;
· 하기 화학식을 가짐:
Figure 112017034886326-pct00001
· 하기 화학식을 가짐:
Figure 112017034886326-pct00002
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00003
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00004
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00005
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00006
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00007
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00008
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00009
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00010
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00011
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00012
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00013
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00014
· 하기 화학식을 가짐:
Figure 112017034886326-pct00015
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00016
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00017
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00018
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00019
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00020
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00021
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00022
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00023
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00024
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00025
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00026
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00027
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00028
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00029
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00030
· 하기 화학식을 가짐:
Figure 112017034886326-pct00031
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00032
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00033
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00034
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00035
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00036
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00037
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00038
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00039
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00040
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00041
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00042
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00043
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00044
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00045
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00046
· 하기 화학식을 가짐:
Figure 112017034886326-pct00047
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00048
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00049
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00050
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00051
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00052
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00053
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00054
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00055
· 하기 화학식을 가짐:
Figure 112017034886326-pct00056
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00057
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00058
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00059
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00060
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00061
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00062
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00063
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00064
· 하기 화학식을 가짐:
Figure 112017034886326-pct00065
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00066
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00067
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00068
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00069
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00070
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00071
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00072
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00073
· n이 3임;
· 하기 화학식을 가짐:
Figure 112017034886326-pct00074
· 하기 화학식을 가짐:
Figure 112017034886326-pct00075
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00076
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00077
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00078
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00079
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00080
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00081
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00082
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00083
· 하기 화학식을 가짐:
Figure 112017034886326-pct00084
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00085
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00086
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00087
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00088
· 하기 화학식을 가짐:
Figure 112017034886326-pct00089
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00090
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00091
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00092
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00093
· 하기 화학식을 가짐:
Figure 112017034886326-pct00094
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00095
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00096
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00097
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00098
· 하기 화학식을 가짐:
Figure 112017034886326-pct00099
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00100
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00101
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00102
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00103
· 하기 화학식을 가짐:
Figure 112017034886326-pct00104
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00105
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00106
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00107
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00108
· x가 2임;
· 하기 화학식을 가짐:
Figure 112017034886326-pct00109
· 하기 화학식을 가짐:
Figure 112017034886326-pct00110
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00111
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00112
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00113
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00114
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00115
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00116
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00117
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00118
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00119
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00120
· 하기 화학식을 가짐:
Figure 112017034886326-pct00121
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00122
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00123
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00124
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00125
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00126
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00127
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00128
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00129
· 하기 화학식을 가짐:
Figure 112017034886326-pct00130
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00131
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00132
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00133
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00134
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00135
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00136
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00137
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00138
· 하기 화학식을 가짐:
Figure 112017034886326-pct00139
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00140
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00141
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00142
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00143
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00144
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00145
· 하기 화학식을 가짐:
Figure 112017034886326-pct00146
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00147
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00148
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00149
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00150
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00151
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00152
· 하기 화학식을 가짐:
Figure 112017034886326-pct00153
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00154
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00155
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00156
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00157
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00158
· 오르가노디실란 전구체가 하기와 같음:
Figure 112017034886326-pct00159
· 하기 화학식을 가짐:
Figure 112017034886326-pct00160
· Si-함유 필름 형성 조성물이 대략 0.1 몰% 내지 대략 50 몰%의 오르가노디실란 전구체를 포함함;
· Si-함유 필름 형성 조성물이 대략 93% w/w 내지 대략 100% w/w의 오르가노디실란 전구체를 포함함;
· Si-함유 필름 형성 조성물이 대략 99% w/w 내지 대략 100% w/w의 오르가노디실란 전구체를 포함함;
· Si-함유 필름 형성 조성물이 대략 0% w/w 내지 5% w/w의 헥산, 치환된 헥산, 펜탄, 치환된 펜탄, 디메틸 에테르, 또는 아니솔을 포함함;
· Si-함유 필름 형성 조성물이 대략 0 ppmw 내지 200 ppmw의 Cl을 포함함;
· 용매를 추가로 포함함;
· 용매가 C1-C16 탄화수소, THF, DMO, 에테르, 피리딘, 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 용매가 C1-C16 탄화수소임;
· 용매가 테트라히드로푸란(THF)임;
· 용매가 디메틸 옥살레이트(DMO)임;
· 용매가 에테르임;
· 용매가 피리딘임;
· 용매가 에탄올임; 또는
· 용매가 이소프로판올임.
또한, 상기에 개시된 임의의 Si-함유 필름 형성 조성물을 함유하고 유입구 도관 및 유출구 도관을 갖는 캐니스터를 포함하는 Si-함유 필름 형성 조성물 전달 장치가 개시된다. 개시된 장치는 하기 양태들 중 하나 이상을 포함할 수 있다:
· Si-함유 필름 형성 조성물이 10 ppmw 미만의 금속 오염물 총 농도를 가짐;
· 유입구 도관 말단이 Si-함유 필름 형성 조성물의 표면 상부에 위치하고, 유출구 도관 말단이 Si-함유 필름 형성 조성물의 표면 하부에 위치함;
· 유입구 도관 말단이 Si-함유 필름 형성 조성물의 표면 하부에 위치하고, 유출구 도관 말단이 Si-함유 필름 형성 조성물의 표면 상부에 위치함;
· 유입구 및 유출구 상의 격막 밸브를 추가로 포함함;
· Si-함유 필름 형성 조성물이 하기와 같음:
Figure 112017034886326-pct00161
또한, 기판 상의 Si-함유 층의 침착 방법이 개시된다. 상기에 개시된 임의의 Si-함유 필름 형성 조성물의 증기를 내부에 기판이 배치된 반응기 내로 도입한다. 증착 방법을 사용하여 오르가노디실란 전구체의 적어도 일부를 기판 상에 침착시켜 Si-함유 층을 형성한다. 개시된 방법은 하기 양태들 중 하나 이상을 가질 수 있다:
· 제2 전구체를 포함하는 증기를 반응기 내로 도입함;
· 제2 전구체가 2족, 13족, 14족, 전이 금속, 란타나이드, 및 이들의 조합으로 이루어진 군으로부터 선택되는 원소를 포함함;
· 제2 전구체의 원소가 Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y, 또는 란타나이드로부터 선택됨;
· 공반응물(co-reactant)을 반응기 내로 도입함;
· 공반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 이들의 라디칼, 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 공반응물이 플라즈마 처리된 산소임;
· 공반응물이 오존임;
· Si-함유 층이 규소 산화물 층임;
· 공반응물이 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, NMeH2, NetH2, Nme2H, NEt2H, Nme3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 9-보라비시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 공반응물이 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 공반응물이 HCDS 또는 PCDS임;
· 증착 방법이 화학 증착 공정임;
· 증착 방법이 원자 층 증착(ALD) 공정임;
· 증착 방법이 공간 ALD 공정임;
· 규소-함유 층이 Si임;
· 규소-함유 층이 SiO2임;
· 규소-함유 층이 SiN임;
· 규소-함유 층이 SiON임;
· 규소-함유 층이 SiCN임; 및
· 규소-함유 층이 SiCOH임.
또한, 기판 상의 Si-함유 필름의 형성 방법이 개시된다. 상기에 개시된 임의의 Si-함유 필름 형성 조성물을 포함하는 용액을 기판과 접촉시키고, 스핀 코팅, 분무 코팅, 침지 코팅, 또는 슬릿 코팅 기법을 통해 Si-함유 필름을 형성한다. 개시된 방법은 하기 양태들을 포함할 수 있다:
· Si-함유 필름 형성 조성물이 에탄올을 포함함;
· Si-함유 필름 형성 조성물이 이소프로판올을 포함함;
· 스핀 코팅 기법을 통해 Si-함유 필름을 형성함;
· 분무 코팅 기법을 통해 Si-함유 필름을 형성함;
· 침지 코팅 기법을 통해 Si-함유 필름을 형성함;
· 슬릿 코팅 기법을 통해 Si-함유 필름을 형성함;
· Si-함유 필름을 어닐링(annealing)함; 또는
· Si-함유 필름을 레이저 처리함.
본 발명의 성질 및 목적을 더 이해하기 위해, 첨부 도면과 함께 하기 상세한 설명을 참조하여야 하며, 도면에서 동일한 요소는 동일한 또는 유사한 참조 번호로 주어지고, 여기서
도 1은 본원에 개시된 Si-함유 필름 형성 조성물 화학 전달 장치의 하나의 구현예의 측면도이고;
도 2는 본원에 개시된 Si-함유 필름 형성 전달 장치의 제2 구현예의 측면도이다.
바람직한 구현예의 설명
오르가노디실란 전구체를 포함하는 Si-함유 필름 형성 조성물이 개시된다. 오르가노디실란 전구체는 하기 화학식을 가지며,
(E-(CR)n-E)SiH2-SiHx(E-(CR)n-E)3-x
상기 식에서, x는 2 또는 3이고; n은 각각 독립적으로 1 또는 3이고, (E-(CR)n-E) 기는 각각, 각각의 E를 통해 Si에 결합되는 단일음이온 두자리 리간드이고, E는 각각 독립적으로 O, S, 또는 NR로부터 선택되고; R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
개시된 오르가노디실란 전구체는 상이한 부류의 리간드 시스템, 예컨대 디케티미네이트, 디케토네이트, 케토이미네이트, 아미디네이트, 티오디케토네이트, 디티오디케토네이트, 및/또는 티오케티미네이트 리간드로부터 유래된다. 이들 전구체의 특정 디자인은 휘발성을 개선시키고, 융점을 감소시키고(액체 또는 매우 낮은 융점의 고체), 물과의 반응성을 증가시키고, 보다 폭넓은 공정 윈도우 응용을 위한 열적 안정성을 증가시키는 것을 도울 수 있다.
하기에 설명되는 바와 같이, E 원자는 규소 원자에 결합되어, 5배위 Si(IV) 중심을 형성한다. 두자리 단일음이온 리간드의 주쇄 내의 탄소 원자는 sp2 혼성화되어, 단일음이온 리간드를 가로지르는 탈국소화된 전하를 형성한다. 탄소 원자는 독립적으로 H, C1-C6 알킬 기, 아릴 기, 또는 헤테로사이클 기로 치환될 수 있다.
개시된 오르가노디실란 전구체는 규소 원자 하나 또는 둘 다에서의 초배위(hypercoordination)로 인해 기타 다른 아미노-치환된 오르가노디실란 전구체에 비해 더 반응성일 수 있다. 다시 말해서, 규소 원자는 +IV이지만, 2 개의 Si-H 결합, 1 개의 Si-Si 결합, 및 단일음이온 킬레이팅 리간드는 규소 원자에 대한 총 5 개의 결합을 제공한다.
오르가노디실란 전구체는 Si 원자에 직접 결합된 4 개 또는 5 개의 수소 원자를 함유한다. 이들 Si-H 결합은 전구체의 휘발성 증가를 도울 수 있다. 개시된 오르가노디실란 전구체는 Si-할로겐 결합을 함유하지 않으며, 이는 할로겐이 기판 내의 기타 다른 층(예를 들어, 낮은 k 층, 구리 상호연결 층 등)을 손상시킬 수 있기 때문에 중요하다. 추가로, ALD 공정에서, 개시된 전구체의 4 개 또는 5 개의 Si-H 결합은, H 원자가 보다 작은 표면적을 점유하고 이는 기판 표면 상에 보다 많은 분자를 형성하기 때문에 유사한 Si-할로겐 함유 전구체와 비교시 사이클 당 보다 큰 성장 속도를 제공하는 것을 도울 수 있다. SiH 결합(즉, 히드라이드 관능기)의 포함은 보다 적은 입체 벌크를 생성할 수 있고, 이는 전구체가 기판에 대해 보다 높은 반응성을 갖게 할 수 있다.
각각의 E는 NR일 수 있다. L1 내지 L4 중 임의의 것이 산소 또는 황 원자인 경우와 비교시 이들의 증가된 질소 함량으로 인해, 이들 분자는, SiN, SiCN, SiON, MSiN, 또는 MSiON(여기서, M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge와 같은 원소임)과 같은 질소를 또한 함유하는 규소-함유 필름 생성에, 또는 이들 필름 중 질소의 양 조율에 사용될 수 있다.
당업자는, n=1인 구현예가 보다 높은 휘발성 및 보다 낮은 융점을 가지며 증착에 보다 적합한 전구체를 생성할 수 있음을 인식할 것이다. n=3인 구현예는 또한, 생성된 규소-함유 필름이 또한 탄소를 함유하는 경우 증착에 적합할 수 있다. n=3인 구현예는 또한, 캐스팅 침착 방법, 예컨대 스핀-온 또는 침지 코팅에 적합할 수 있다.
x=3, n=1이고, 각각의 E=NR인 예시적인 오르가노디실란 전구체는 아미디네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00162
상기 식에서, R1, R2 및 R3은 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R1과 R3이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(포름아미디네이토)디실란은
Figure 112017034886326-pct00163
을 포함한다.
예시적인 모노(아미디네이토)디실란은
Figure 112017034886326-pct00164
을 포함한다.
x=2, 각각의 n=1, 및 각각의 E=NR인 예시적인 오르가노디실란 전구체는 아미디네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00165
상기 식에서, R1, R2, R3, R4, R5 및 R6은 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R1과 R3 및/또는 R4와 R5 및/또는 R5와 R6이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(포름아미디네이토)디실란은
Figure 112017034886326-pct00166
을 포함한다.
예시적인 비스(아미디네이토)디실란은
Figure 112017034886326-pct00167
을 포함한다.
x=3, n=3, 및 각각의 E=NR인 예시적인 오르가노디실란 전구체는 β-디케티미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00168
상기 식에서, R1, R2, R3, R4 및 R5는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3 및/또는 R3과 R4 및/또는 R4와 R5는 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(β-디케티미네이트)디실란은
Figure 112017034886326-pct00169
 
을 포함한다.
x=2, 각각의 n=3, 및 각각의 E=NR인 예시적인 오르가노디실란 전구체는 β-디케티미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00170
상기 식에서, R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. 인접한 R들이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(β-디케티미네이트)디실란은
Figure 112017034886326-pct00171
을 포함한다.
(RN=(CR)nNR)SiH2-SiH3 또는 [(RN=(CR)n-NR)H2Si-]2 전구체는, 각각 SiXH2SiH3 또는 [-SiXH2]2(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3)임)의 탄화수소 용액을, 불활성 분위기, 예컨대 질소 하에 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물, 예컨대 Li[RN-(CR)n=NR], 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 양성자화된 리간드 H(RN-(CR)n=NR)의 순수(neat) 또는 탄화수소 용액과 조합함으로써 합성될 수 있고, 여기서 혼합 플라스크의 유출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러에 연결된다. 대안으로, 개시된 (RN=(CR)n-NR)SiH2-SiH3 또는 [(RN=(CR)n-NR)H2Si-]2 전구체는, Cl3Si-SiCl3과 1 당량(모노) 또는 2 당량(비스)의 리간드 화합물 Li[RN-(CR)n=NR] 또는 2 당량(모노) 또는 4 당량(비스)의 리간드 화합물 H[RN-(CR)n=NR]의 반응 후 여과 및 후속적으로 선택되는 금속 수소화물, 예컨대 LAH(리튬 알루미늄 히드라이드)를 사용한 환원에 의해 합성될 수 있다. 모든 합성 경로에서, 생성된 용액을 실온에서 밤새 교반할 수 있다.
이들 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 생성된 현탁액을 여과하고, 생성된 용액을 증류시켜 용매를 제거한다. 생성된 액체 또는 고체의 정제는 각각 증류 또는 승화에 의해 수행된다. 리간드 화합물 Li[RN-(CR)n=NR]을 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은, 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 아미딘(n=1에 대해) 또는 β-디케티민(n=3에 대해)의 탄화수소 용액에 조합함으로써 합성될 수 있다.
각각의 E는 산소 원자일 수 있다. 상기 N 구현예와 비교시 증가된 산소 함량으로 인해, 이들 분자는, 또한 SiO2, SiOC, 또는 SiON과 같은 산소를 함유하는 규소-함유 필름 생성에, 또는 SiO2, SiOC, 또는 SiON 함유 필름 중 산소의 양 조율에 사용될 수 있다. 산소 함량은 또한, 이들 전구체를 비-불활성 분위기 하에 수행될 수 있는 통상적인 캐스팅 침착 조건에 적합하도록 만들 수 있다.
당업자는, n=1인 구현예가 보다 높은 휘발성 및 보다 낮은 융점을 가지며 증착에 보다 적합한 전구체를 생성할 수 있음을 인식할 것이다. n=3인 구현예는 또한, 생성된 규소-함유 필름이 또한 탄소를 함유하는 경우 증착에 적합할 수 있다. n=3인 구현예는 또한, 캐스팅 침착 방법, 예컨대 스핀-온 또는 침지 코팅에 적합할 수 있다.
x=3, n=1, 및 각각의 E=O인 예시적인 오르가노디실란 전구체는 아세테이트 및 히드라이드 관능기 둘 다를 함유하거 하기 화학식을 가지며,
Figure 112017034886326-pct00172
상기 식에서, R1은 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
예시적인 모노(아세테이트)디실란은
Figure 112017034886326-pct00173
을 포함한다.
x=2, 각각의 n=1, 및 각각의 E=O인 예시적인 오르가노디실란 전구체는 아세테이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00174
상기 식에서, R1 및 R2는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
예시적인 비스(아세테이트)디실란은
Figure 112017034886326-pct00175
을 포함한다.
x=3, n=3이고, E가 각각 O인 예시적인 오르가노디실란 전구체는 디케토네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00176
상기 식에서, R1, R2 및 R3은 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3은 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(β-디케토네이트)디실란은
Figure 112017034886326-pct00177
을 포함한다.
x=2, n=3, 및 각각의 E가 O인 예시적인 오르가노디실란 전구체는 디케토네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00178
상기 식에서, R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. 인접한 R들이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(β-디케토네이트)디실란은
Figure 112017034886326-pct00179
을 포함한다.
(O-(CR)n=O)SiH2-SiH3 또는 [(O-(CR)n=O)H2Si-]2 전구체는, 각각 SiXH2SiH3 또는 [-SiXH2]2(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3)임)의 탄화수소 용액을, 불활성 분위기, 예컨대 질소 하에 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물, 예컨대 Li[O-(CR)n=O], 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 양성자화된 리간드 H(O-(CR)n=O)의 순수 또는 탄화수소 용액과 조합함으로써 합성될 수 있고, 여기서 혼합 플라스크의 유출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러에 연결된다. 대안으로, 개시된 (O-(CR)n=O)SiH2-SiH3 또는 [(O-(CR)n=O)H2Si-]2 전구체는, Cl3Si-SiCl3과 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물 Li[O-(CR)n=O] 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 리간드 화합물 H[O-(CR)n=O]의 반응 후 여과 및 후속적으로 선택되는 금속 수소화물, 예컨대 LAH(리튬 알루미늄 히드라이드)를 사용한 환원에 의해 합성될 수 있다. 모든 합성 경로에서, 생성된 용액을 실온에서 밤새 교반할 수 있다.
이들 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 생성된 현탁액을 여과하고, 생성된 용액을 증류시켜 용매를 제거한다. 생성된 액체 또는 고체의 정제는 각각 증류 또는 승화에 의해 수행된다. 리간드 화합물 Li[O-(CR)n=O]을 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은, 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 아세테이트, 카르복실산 또는 이산화탄소(n=1에 대해) 또는 β-디케톤(n=3에 대해)의 탄화수소 용액에 조합함으로써 합성될 수 있다.
각각의 E는 황 원자일 수 있다. 전구체 구조 내의 황의 존재는 표면 점착 계수를 개선시키고, 이로써 필름 침착 속도의 유리한 증가를 가능하게 할 수 있다.
당업자는, n=1인 구현예가 보다 높은 휘발성 및 보다 낮은 융점을 가지며 증착에 보다 적합한 전구체를 생성할 수 있음을 인식할 것이다. n=3인 구현예는 또한, 생성된 규소-함유 필름이 또한 탄소를 함유하는 경우 증착에 적합할 수 있다. n=3인 구현예는 또한, 캐스팅 침착 방법, 예컨대 스핀-온 또는 침지 코팅에 적합할 수 있다.
x=3, n=1, 및 각각의 E=S인 예시적인 오르가노디실란 전구체는 디티오카르복실레이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00180
상기 식에서, R1은 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
예시적인 모노(디티오카르복실레이트)디실란은
Figure 112017034886326-pct00181
을 포함한다.
x=2, 각각의 n=1, 및 각각의 E=S 인 예시적인 오르가노디실란 전구체는 디티오카르복실레이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00182
상기 식에서, R1 및 R2는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
예시적인 비스(디티오카르복실레이트)디실란은
Figure 112017034886326-pct00183
을 포함한다.
x=3, n=3이고, E가 각각 S인 예시적인 오르가노디실란 전구체는 디티오-β-디케토네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00184
상기 식에서, R1, R2 및 R3은 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(디티오- β-디케토네이트)디실란은
Figure 112017034886326-pct00185
을 포함한다.
x=2, n=3이고, E가 각각 S인 예시적인 오르가노디실란 전구체는 디티오-β-디케토네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00186
상기 식에서, R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. 인접한 R들이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(디티오-β-디케토네이트)디실란은
Figure 112017034886326-pct00187
을 포함한다.
(S-(CR)n=S)SiH2-SiH3 또는 [(S-(CR)n=S)H2Si-]2 전구체는, 각각 SiXH2SiH3 또는 [-SiXH2]2(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3)임)의 탄화수소 용액을, 불활성 분위기, 예컨대 질소 하에 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물, 예컨대 Li[S-(CR)n=S], 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 양성자화된 리간드 H(S-(CR)n=S)의 순수 또는 탄화수소 용액과 조합함으로써 합성될 수 있고, 여기서 혼합 플라스크의 유출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러에 연결된다. 대안으로, 개시된 (S-(CR)n=S)SiH2-SiH3 또는 [(S-(CR)n=S)H2Si-]2 전구체는, Cl3Si-SiCl3과 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물 Li[S-(CR)n=S] 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 리간드 화합물 H[S-(CR)n=S]의 반응 후 여과 및 후속적으로 선택되는 금속 수소화물, 예컨대 LAH(리튬 알루미늄 히드라이드)를 사용한 환원에 의해 합성될 수 있다. 모든 합성 경로에서, 생성된 용액을 실온에서 밤새 교반할 수 있다.
이들 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 생성된 현탁액을 여과하고, 생성된 용액을 증류시켜 용매를 제거한다. 생성된 액체 또는 고체의 정제는 각각 증류 또는 승화에 의해 수행된다. 리간드 화합물 Li[S-(CR)n=S]을 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은, 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 디티오카르복실레이트, 디티오카르복실산, 또는 이황화탄소(n=1에 대해) 또는 β-디티오케톤(n=3에 대해)의 탄화수소 용액에 조합함으로써 합성될 수 있다. 디티오-β-디케톤(n=3에 대해)은, H2S/I2를 사용한 상응하는 β-디케톤의 티올화(문헌 [Benvenuti et al, Applied Catalysis A, 199, 2000, 123-132] 참조) 후 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 생성물의 탄화수소 용액에 조합함으로써 합성될 수 있다.
E는 NR 및 산소 원자 둘 다일 수 있다. 모든 E가 산소 원자인 경우와 비교시 이들의 증가된 질소 함량으로 인해, 이들 분자는, SiN, SiCN, SiON, MSiN, 또는 MSiON(여기서, M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge와 같은 원소임)과 같은 질소를 또한 함유하는 규소-함유 필름 생성에, 또는 이들 필름 중 질소의 양 조율에 사용될 수 있다.
당업자는, n=1인 구현예가 보다 높은 휘발성 및 보다 낮은 융점을 가지며 증착에 보다 적합한 전구체를 생성할 수 있음을 인식할 것이다. n=3인 구현예는 또한, 생성된 규소-함유 필름이 또한 탄소를 함유하는 경우 증착에 적합할 수 있다. n=3인 구현예는 또한, 캐스팅 침착 방법, 예컨대 스핀-온 또는 침지 코팅에 적합할 수 있다.
x=3, n=1이고, 하나의 E가 NR이고, 다른 E가 O인 예시적인 오르가노디실란 전구체는 아미데이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00188
상기 식에서, R1 및 R2는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1 및 R2가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(아미데이트)디실란은
Figure 112017034886326-pct00189
을 포함한다.
x=2, 각각의 n=1이고, 각각의 (E-(CR)n-E) 리간드에서 하나의 E가 NR이고 하나의 E가 O인 예시적인 오르가노디실란 전구체는 케토이미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00190
상기 식에서, R1, R2, R3, 및 R4는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R3과 R4가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(아미데이트)디실란은
Figure 112017034886326-pct00191
을 포함한다.
x=3, n=3이고, 하나의 E가 NR이고, 하나의 E가 O인 예시적인 오르가노디실란 전구체는 β-케티미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00192
상기 식에서, R1, R2, R3 및 R4는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3 및/또는 R3과 R4가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(β-케티미네이트)디실란은
Figure 112017034886326-pct00193
을 포함한다.
x=2, n=3이고, 하나의 E가 NR이고, 하나의 E가 O인 예시적인 오르가노디실란 전구체는 β-케티미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00194
상기 식에서, R1, R2, R3 및 R4는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3 및/또는 R3과 R4가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(β-케티미네이트)디실란은
Figure 112017034886326-pct00195
을 포함한다.
(RN-(CR)n=O)SiH2-SiH3 또는 [(RN-(CR)n=O)H2Si-]2 전구체는, 각각 SiXH2SiH3 또는 [-SiXH2]2(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3)임)의 탄화수소 용액을, 불활성 분위기, 예컨대 질소 하에 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물, 예컨대 Li[RN-(CR)n=O], 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 양성자화된 리간드 H(RN-(CR)n=O)의 순수 또는 탄화수소 용액과 조합함으로써 합성될 수 있고, 여기서 혼합 플라스크의 유출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러에 연결된다. 대안으로, 개시된 (RN-(CR)n=O)SiH2-SiH3 또는 [(RN-(CR)n=O)H2Si-]2 전구체는, Cl3Si-SiCl3과 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물 Li[RN-(CR)n=O] 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 리간드 화합물 H[RN-(CR)n=O]의 반응 후 여과 및 후속적으로 선택되는 금속 수소화물, 예컨대 LAH(리튬 알루미늄 히드라이드)를 사용한 환원에 의해 합성될 수 있다. 모든 합성 경로에서, 생성된 용액을 실온에서 밤새 교반할 수 있다.
이들 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 생성된 현탁액을 여과하고, 생성된 용액을 증류시켜 용매를 제거한다. 생성된 액체 또는 고체의 정제는 각각 증류 또는 승화에 의해 수행된다. 리간드 화합물 Li[RN-(CR)n=O]를 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은, 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 아미데이트(n=1에 대해) 또는 β-케티민(n=3에 대해)의 탄화수소 용액에 조합함으로써 합성될 수 있다.
E는 NR 및 황 원자 둘 다일 수 있다. 전구체 구조 내의 황의 존재는 기판 점착 계수를 개선시키고, 이로써 필름 침착 속도의 유리한 증가를 가능하게 할 수 있다. 모든 E가 산소 원자인 경우와 비교시 이들의 증가된 질소 함량으로 인해, 이들 분자는, SiN, SiCN, SiON, MSiN, 또는 MSiON(여기서, M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge와 같은 원소임)과 같은 질소를 또한 함유하는 규소-함유 필름 생성에, 또는 이들 필름 중 질소의 양 조율에 사용될 수 있다.
당업자는, n=1인 구현예가 보다 높은 휘발성 및 보다 낮은 융점을 가지며 증착에 보다 적합한 전구체를 생성할 수 있음을 인식할 것이다. n=3인 구현예는 또한, 생성된 규소-함유 필름이 또한 탄소를 함유하는 경우 증착에 적합할 수 있다. n=3인 구현예는 또한, 캐스팅 침착 방법, 예컨대 스핀-온 또는 침지 코팅에 적합할 수 있다.
x=3, n=1이고, 하나의 E가 NR이고, 하나의 E가 S인 예시적인 오르가노디실란 전구체는 티오아미데이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00196
상기 식에서, R1 및 R2는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(티오아미데이트)디실란은
Figure 112017034886326-pct00197
을 포함한다.
x=2이고, 각각의 n=1이고, 각각의 (E-(CR)n-E) 리간드에서 하나의 E가 NR이고 하나의 E가 S인 예시적인 오르가노디실란 전구체는 티오아미데이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00198
상기 식에서, R1, R2, R3, 및 R4는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R3과 R4가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(티오아미데이트)디실란은
Figure 112017034886326-pct00199
을 포함한다.
x=3, n=3이고, 하나의 E가 NR이고, 하나의 E가 S인 예시적인 오르가노디실란 전구체는 β-티오케티미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00200
상기 식에서, R1, R2, R3 및 R4는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3 및/또는 R3과 R4가 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(β-티오케티미네이트)디실란은
Figure 112017034886326-pct00201
을 포함한다.
x=2, n=3이고, 하나의 E가 NR이고, 하나의 E가 S인 예시적인 오르가노디실란 전구체는 β-티오케티미네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00202
상기 식에서, R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. 인접한 R들이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(β-티오케티미네이트)디실란은
Figure 112017034886326-pct00203
Figure 112017034886326-pct00204
을 포함한다.
(RN-(CR)n=S)SiH2-SiH3 또는 [(RN-(CR)n=S)H2Si-]2 전구체는, 각각 SiXH2SiH3 또는 [-SiXH2]2(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3)임)의 탄화수소 용액을, 불활성 분위기, 예컨대 질소 하에 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물, 예컨대 Li[RN-(CR)n=S], 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 양성자화된 리간드 H(RN-(CR)n=S)의 순수 또는 탄화수소 용액과 조합함으로써 합성될 수 있고, 여기서 혼합 플라스크의 유출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러에 연결된다. 대안으로, 개시된 (RN-(CR)n=S)SiH2-SiH3 또는 [(RN-(CR)n=S)H2Si-]2 전구체는, Cl3Si-SiCl3과 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물 Li[RN-(CR)n=S] 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 리간드 화합물 H[RN-(CR)n=S]의 반응 후 여과 및 후속적으로 선택되는 금속 수소화물, 예컨대 LAH(리튬 알루미늄 히드라이드)를 사용한 환원에 의해 합성될 수 있다. 모든 합성 경로에서, 생성된 용액을 실온에서 밤새 교반할 수 있다.
이들 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 생성된 현탁액을 여과하고, 생성된 용액을 증류시켜 용매를 제거한다. 생성된 액체 또는 고체의 정제는 각각 증류 또는 승화에 의해 수행된다. 리간드 화합물 Li[RN-(CR)n=S]를 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. n=1에 대해 리간드 화합물은, 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 티오아미드의 탄화수소 용액에 조합함으로써 합성될 수 있다. n=3에 대해 리간드 화합물은, H2S/I2를 사용한 상응하는 β-케티민의 티올화(문헌 [Benvenuti et al, Applied Catalysis A, 199, 2000, 123-132] 참조) 후 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 생성된 β-티오케티민의 탄화수소 용액에 조합함으로써 합성될 수 있다.
E는 산소 및 황 원자 둘 다일 수 있다. 전구체 구조 내의 황의 존재는 기판 점착 계수를 개선시키고, 이로써 필름 침착 속도의 유리한 증가를 가능하게 할 수 있다. 산소 함량은, SiO2, SiOC, 또는 SiON과 같은 산소를 또한 함유하는 규소-함유 필름을 생성할 수 있거나, 또는 SiO2, SiOC, 또는 SiON 함유 필름 중 산소의 양 조율할 수 있다.
당업자는, n=1인 구현예가 보다 높은 휘발성 및 보다 낮은 융점을 가지며 증착에 보다 적합한 전구체를 생성할 수 있음을 인식할 것이다. n=3인 구현예는 또한, 생성된 규소-함유 필름이 또한 탄소를 함유하는 경우 증착에 적합할 수 있다. n=3인 구현예는 또한, 캐스팅 침착 방법, 예컨대 스핀-온 또는 침지 코팅에 적합할 수 있다.
x=3, n=1이고, 하나의 E가 O이고, 하나의 E가 S인 예시적인 오르가노디실란 전구체는 티오카르복실레이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00205
상기 식에서, R1은 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
예시적인 모노(티오카르복실레이트)디실란은
Figure 112017034886326-pct00206
을 포함한다.
x=2이고, 각각의 n=1이고, 각각의 (E-(CR)n-E) 리간드에서 하나의 E가 O이고 하나의 E가 S인 예시적인 오르가노디실란 전구체는 티오카르복실레이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00207
상기 식에서, R1 및 R2는 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다.
예시적인 비스(티오카르복실레이트)디실란은
Figure 112017034886326-pct00208
을 포함한다.
x=3, n=3이고, 하나의 E가 O이고, 하나의 E가 S인 예시적인 오르가노디실란 전구체는 β-티오케토네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00209
상기 식에서, R1, R2 및 R3은 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. R1과 R2 및/또는 R2와 R3이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 모노(β-티오케토네이트)디실란은
Figure 112017034886326-pct00210
을 포함한다.
x=2, n=3이고, 하나의 E가 O이고, 하나의 E가 S인 예시적인 오르가노디실란 전구체는 β-티오케토네이트 및 히드라이드 관능기 둘 다를 함유하고 하기 화학식을 가지며,
Figure 112017034886326-pct00211
상기 식에서, R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 또는 C3-C20 아릴 또는 헤테로사이클 기이다. 인접한 R들이 연결되어 시클릭 사슬을 형성할 수 있다.
예시적인 비스(β-티오케토네이트)디실란은
Figure 112017034886326-pct00212
을 포함한다.
(O-(CR)n=S)SiH2-SiH3 또는 [(O-(CR)n=S)H2Si-]2 전구체는, 각각 SiXH2SiH3 또는 [-SiXH2]2(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3)임)의 탄화수소 용액을, 불활성 분위기, 예컨대 질소 하에 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물, 예컨대 Li[O-(CR)n=S], 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 양성자화된 리간드 H(O-(CR)n=S)의 순수 또는 탄화수소 용액과 조합함으로써 합성될 수 있고, 여기서 혼합 플라스크의 유출구는 공기 및 수분의 역류를 억제하기 위해 오일 버블러에 연결된다. 대안으로, 개시된 (O-(CR)n=S)SiH2-SiH3 또는 [(O-(CR)n=S)H2Si-]2 전구체는, Cl3Si-SiCl3과 1 당량(모노 디실란에 대해) 또는 2 당량(비스 디실란에 대해)의 리간드 화합물 Li[O-(CR)n=S] 또는 2 당량(모노 디실란에 대해) 또는 4 당량(비스 디실란에 대해)의 리간드 화합물 H[O-(CR)n=S]의 반응 후 여과 및 후속적으로 선택되는 금속 수소화물, 예컨대 LAH(리튬 알루미늄 히드라이드)를 사용한 환원에 의해 합성될 수 있다. 모든 합성 경로에서, 생성된 용액을 실온에서 밤새 교반할 수 있다.
이들 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산, 또는 톨루엔을 포함한다. 생성된 현탁액을 여과하고, 생성된 용액을 증류시켜 용매를 제거한다. 생성된 액체 또는 고체의 정제는 각각 증류 또는 승화에 의해 수행된다. 리간드 화합물 Li[O-(CR)n=S]를 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. n=1에 대해 리간드 화합물은, 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 티오카르복실레이트, 티오카르복실산, 또는 카르보닐 술피드의 탄화수소 용액에 조합함으로써 합성될 수 있다. n=3에 대해 리간드 화합물은, H2S/I2를 사용한 상응하는 β-디케톤의 티올화(문헌 [Benvenuti et al, Applied Catalysis A, 199, 2000, 123-132] 참조) 후 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 생성된 β-티오케티민의 탄화수소 용액에 조합함으로써 합성될 수 있다.
공정 신뢰성을 보장하기 위해, 생성된 Si-함유 필름 형성 조성물을, 대략 90% w/w 내지 대략 100% w/w, 바람직하게는 대략 99% w/w 내지 대략 100% w/w의 오르가노디실란 전구체를 포함하도록 미리 연속 또는 분별 배치 증류 또는 승화에 의해 정제할 수 있다. Si-함유 필름 형성 조성물은 임의의 하기 불순물을 함유할 수 있다: 원치않는 동종 화학종; 용매; 염소화된 금속 화합물; 또는 기타 다른 반응 생성물. 바람직하게는, 이들 불순물의 총량은 대략 0.1% w/w 미만이다.
정제된 물질 중 헥산, 치환된 헥산, 펜탄, 치환된 펜탄, 디메톡시 에테르, 또는 아니솔 각각의 농도는 대략 0% w/w 내지 대략 5% w/w, 바람직하게는 대략 0% w/w 내지 대략 0.1% w/w의 범위일 수 있다. 용매가 조성물의 합성에서 사용될 수 있다. 조성물과 용매가 유사한 비점을 갖는 경우 조성물로부터 용매의 분리가 어려울 수 있다. 혼합물을 냉각시켜 액체 용매 중의 고체 전구체를 생성할 수 있고, 이를 여과에 의해 분리할 수 있다. 전구체 생성물이 대략 그의 분해점 초과로 가열되지 않는다면, 진공 증류가 또한 사용될 수 있다.
하나의 구현예에서 개시된 Si-함유 필름 형성 조성물은 대략 0% v/v 내지 대략 5% v/v, 바람직하게는 대략 1% v/v 미만, 보다 바람직하게는 대략 0.1% v/v 미만, 훨씬 더 바람직하게는 대략 0.01% v/v 미만의 임의의 그의 원치않는 동종 화학종, 반응물, 또는 기타 다른 반응 생성물을 함유한다. 본 구현예는 보다 우수한 공정 반복성을 제공할 수 있다. 본 구현예는, Si-함유 필름 형성 조성물의 증류 또는 승화에 의해 생성될 수 있다. 대안적 구현예에서, 개시된 Si-함유 필름 형성 조성물은, 특히 혼합물이 개선된 공정 파라미터를 제공하거나, 또는 표적 전구체의 단리가 매우 어렵고 고비용이 드는 경우, 대략 5% v/v 내지 대략 50% v/v의 오르가노디실란 전구체를 함유할 수 있다. 예를 들어, 오르가노디실란 전구체의 혼합물은 스핀-온 또는 증착에 적합한 안정적인 액체 혼합물을 생성할 수 있다.
Si-함유 필름 형성 조성물 중 미량 금속 및 준금속의 농도는 각각 대략 0 ppb 내지 대략 100 ppb, 보다 바람직하게는 대략 0 ppb 내지 대략 10 ppb의 범위일 수 있다. 정제된 Si-함유 필름 형성 조성물 중 X(여기서, X = Cl, Br, I, 또는 F임)의 농도는 대략 0 ppm 내지 대략 100 ppm, 보다 바람직하게는 대략 0 ppm 내지 대략 10 ppm의 범위일 수 있다.
Si-함유 필름 형성 조성물은 개시된 Si-함유 필름 형성 조성물 전달 장치에 의해 반도체 가공 도구로 전달될 수 있다. 도 1 및 2는 개시된 전달 장치(1)의 2 가지 구현예를 나타낸다.
도 1은 Si-함유 필름 형성 조성물 전달 장치(1)의 하나의 구현예의 측면도이다. 도 1에서, 개시된 Si-함유 필름 형성 조성물(10)은 2 개의 도관, 유입구 도관(30) 및 유출구 도관(40)을 갖는 용기(20) 내에 함유된다. 전구체 기술의 당업자는, 용기(20), 유입구 도관(30), 및 유출구 도관(40)이, 고온 및 고압에서도, Si-함유 필름 형성 조성물(10)의 기체상 형태의 탈출을 막도록 제조됨을 인식할 것이다.
자연발화 조성물, 예컨대 SiH3-SiH2-iPrN-amd에서, 전달 장치는 누설 밀봉되어야 하고 심지어 미세한 양의 물질도 허용하지 않는 밸브가 장착되어야 한다. 적합한 밸브는 스프링-로딩 또는 구속 격막 밸브를 포함한다. 밸브는 제한적 유동 오리피스(RFO)를 추가로 포함할 수 있다. 전달 장치는 인클로저(enclosure) 내에서 기체 매니폴드에 연결되어야 한다. 기체 매니폴드는, 임의의 잔류량의 자연발화 물질이 반응하지 않도록 전달 장치 교체시 공기에 노출될 수 있는 배관의 안전한 배기 및 퍼징을 허용해야 한다. 인클로저에는, 센서 및 자연발화 물질이 방출되는 경우 발화를 제어하는 발화 제어능이 포함되어야 한다. 기체 매니폴드에는 또한 단리 밸브, 진공 발생기가 장착되어 퍼지 가스의 도입을 최소로 허용해야 한다.
전달 장치는, 밸브(35 및 45)를 통해, 상기에 개시된 기체 캐비넷과 같은 반도체 가공 도구의 기타 다른 구성요소에 유체 연결된다. 바람직하게, 전달 장치(20), 유입구 도관(30), 밸브(35), 유출구 도관(40), 및 밸브(45)는 316L EP 또는 304 스테인레스강으로 제조된다. 그러나, 당업자는, 기타 다른 비-반응성 물질이 또한 본원의 교시에서 사용될 수 있으며, 임의의 부식성 Si-함유 필름 형성 조성물(10)은 보다 내부식성인 물질, 예컨대 하스텔로이(Hastelloy) 또는 인코넬(Inconel)의 사용을 필요로 할 수 있음을 인식할 것이다.
도 1에서, 유입구 도관(30)의 말단(31)은 Si-함유 필름 형성 조성물(10)의 표면(11) 상부에 위치하며, 유출구 도관(40)의 말단(41)은 Si-함유 필름 형성 조성물(10)의 표면(11) 하부에 위치한다. 본 구현예에서, Si-함유 필름 형성 조성물(10)은 바람직하게 액체 형태이다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하나 이에 제한되지는 않는 불활성 기체를 유입구 도관(30) 내로 도입할 수 있다. 불활성 기체는, 액체 Si-함유 필름 형성 조성물(10)이 유출구 도관(40)을 통해 반도체 가공 도구 내의 구성요소로 강제 유동되도록 전달 장치(20)를 가압한다(나타내지 않음). 반도체 가공 도구는, 캐리어 기체, 예컨대 헬륨, 아르곤, 질소 또는 이들의 혼합물의 사용 하에 또는 사용 없이, 보수하려는 웨이퍼가 위치하고 증기 상에서 처리가 일어나는 챔버로 증기를 전달하기 위해, 액체 Si-함유 필름 형성 조성물(10)을 증기로 변형시키는 기화기를 포함할 수 있다. 대안으로, 액체 Si-함유 필름 형성 조성물(10)이 젯 또는 에어로졸로서 웨이퍼 표면에 직접 전달될 수 있다.
도 2는 Si-함유 필름 형성 조성물 전달 장치(1)의 제2 구현예의 측면도이다. 도 2에서, 유입구 도관(30)의 말단(31)은 Si-함유 필름 형성 조성물(10)의 표면(11) 하부에 위치하며, 유출구 도관(40)의 말단(41)은 Si-함유 필름 형성 조성물(10)의 표면(11) 상부에 위치한다. 도 2는 또한, Si-함유 필름 형성 조성물(10)의 온도를 증가시킬 수 있는 선택적인 가열 부재(25)를 포함한다. 본 구현예에서, Si-함유 필름 형성 조성물(10)은 고체 또는 액체 형태일 수 있다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하나 이에 제한되지는 않는 불활성 기체를 유입구 도관(30) 내로 도입한다. 불활성 기체는 Si-함유 필름 형성 조성물(10)을 통해 버블링되며 불활성 기체 및 기화된 Si-함유 필름 형성 조성물(10)의 혼합물을 유출구 도관(40)으로, 그리고 반도체 가공 도구 내의 구성요소 상으로 운반한다.
도 1 및 2 둘 다 밸브(35 및 45)를 포함한다. 당업자는, 밸브(35 및 45)가 각각 도관(30 및 40)을 통한 유동을 가능하게 하도록 개방 또는 폐쇄 위치로 배치될 수 있음을 인식할 것이다. Si-함유 필름 형성 조성물(10)이 증기 형태인 경우 또는 고체/액체 상 위에 충분한 증기압이 존재하는 경우, 도 1 또는 2의 전달 장치(1), 또는 존재하는 임의의 고체 또는 액체의 표면 상부에서 종료되는 단일 도관을 갖는 보다 간단한 전달 장치가 사용될 수 있다. 이 경우, Si-함유 필름 형성 조성물(10)은, 각각 1에서 밸브(35) 또는 도 2에서 밸브(45)를 개방함으로써 간단히 도관(30 또는 40)을 통해 증기 형태로 전달된다. 전달 장치(1)는, 예를 들어 선택적인 가열 부재(25)의 사용에 의해, 증기 형태로 전달되는 Si-함유 필름 형성 조성물(10)에 대하여 충분한 증기압을 제공하기에 적합한 온도에서 유지될 수 있다.
도 1 및 2에는 Si-함유 필름 형성 조성물 전달 장치(1)의 2 가지 구현예가 개시되어 있지만, 당업자는, 본원 개시내용으로부터 벗어나지 않으면서 유입구 도관(30) 및 유출구 도관(40)이 둘 다 Si-함유 필름 형성 조성물의 표면(11) 상부 또는 하부에 위치할 수 있음을 인식할 것이다. 또한, 유입구 도관(30)은 충전구일 수 있다. 마지막으로, 당업자는, 개시된 Si-함유 필름 형성 조성물이, 본원 교시내용으로부터 벗어나지 않으면서, WO 2006/059187(Jurcik et al.)에 개시된 앰풀과 같은 기타 다른 전달 장치를 사용하여 반도체 가공 도구로 전달될 수 있음을 인식할 것이다.
또한, 증착 방법에 개시된 Si-함유 필름 형성 조성물을 사용하는 방법이 개시된다. 개시된 방법은 규소-함유 필름의 침착을 위한 Si-함유 필름 형성 조성물의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 장치의 제조에 유용할 수 있다. 방법은, 기판을 제공하는 단계; 하나 이상의 개시된 Si-함유 필름 형성 조성물을 포함하는 증기를 제공하는 단계; 및 증기를 기판과 접촉시켜(그리고 통상적으로 증기를 기판으로 지향시켜) 기판의 하나 이상의 표면 상에 규소-함유 층을 형성하는 단계를 포함한다.
개시된 방법은 또한, 증착 공정을 사용한 기판 상의 이금속(bimetal)-함유 층의 형성, 보다 특별하게는, SiMOx(여기서, x는 4이고, M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란타나이드(예컨대, Er), 또는 이들의 조합임) 필름의 침착을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 장치의 제조에 유용할 수 있다. 방법은, 기판을 제공하는 단계; 하나 이상의 개시된 Si-함유 필름 형성 조성물을 포함하는 증기를 제공하는 단계; 및 증기를 기판과 접촉시켜(그리고 통상적으로 증기를 기판으로 지향시켜) 기판의 하나 이상의 표면 상에 이금속-함유 층을 형성하는 단계를 포함한다. 산소 공급원, 예컨대 O3, O2, H2O, NO, H2O2, 아세트산, 포르말린, 파라-포름알데히드, 이들의 산소 라디칼, 및 이들의 조합, 바람직하게는 O3 또는 플라즈마 처리된 O2가 또한 증기와 함께 제공될 수 있다.
개시된 Si-함유 필름 형성 조성물은 관련 기술분야에 공지된 임의의 증착 방법을 사용하여 Si-함유 필름으로 침착될 수 있다. 적합한 증착 방법의 예는, 화학 증착(CVD) 또는 원자 층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열 CVD, 플라즈마 강화 CVD(PECVD), 펄스화 CVD(PCVD), 저압 CVD(LPCVD), 대기압 미만 CVD(SACVD) 또는 대기압 CVD(APCVD), 유동성 CVD(f-CVD), 열선 CVD(HWCVD, 또한 cat-CVD로서 공지됨, 여기서는 열선이 침착 공정에 대한 에너지 공급원으로서 작용됨), 라디칼 혼입 CVD, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열 ALD, 플라즈마 강화 ALD(PEALD), 공간 단리 ALD, 열선 ALD(HWALD), 라디칼 혼입 ALD, 및 이들의 조합을 포함한다. 초임계 유체 침착이 또한 사용될 수 있다. 침착 방법은 적합한 단계 커버리지 및 필름 두께 제어를 제공하기 위해 바람직하게는 ALD, 공간 ALD, 또는 PE-ALD이다.
Si-함유 필름 형성 조성물의 증기를 하나 이상의 기판을 함유하는 반응 챔버 내로 도입한다. 반응 챔버 내의 온도 및 압력 및 기판의 온도를 기판 상의 오르가노디실란 전구체의 적어도 일부의 증착에 적합한 조건에서 유지시킨다. 다시 말해서, 챔버 내로의 기화된 조성물의 도입 후, 챔버 내의 조건은, 전구체의 적어도 일부가 기판 상에 침착되어 규소-함유 필름을 형성하도록 하는 조건이다. Si-함유 층의 형성을 돕기 위해 반응물이 또한 사용될 수 있다.
반응 챔버는, 침착 방법이 일어나는 임의의 장치의 챔버 또는 인클로저, 예컨대, 비제한적으로, 평행판형 반응기, 저온벽형 반응기, 고온벽형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기, 또는 기타 다른 이러한 유형의 침착 시스템일 수 있다. 이들 예시적인 반응 챔버 모두, ALD 반응 챔버로서 작용할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr 범위의 압력에서 유지될 수 있다. 추가로, 반응 챔버 내의 온도는 약 20℃ 내지 약 600℃의 범위일 수 있다. 당업자는, 온도가 단지 원하는 결과를 달성하기 위한 실험을 통해 최적화될 수 있음을 인지할 것이다.
반응기의 온도는, 기판 홀더의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판 가열에 사용되는 장치는 관련 기술분야에 공지되어 있다. 반응기 벽을, 원하는 물리적 상태 및 조성을 갖는 원하는 필름을 충분한 성장 속도로 얻기에 충분한 온도로 가열한다. 반응기 벽이 가열될 수 있는 비제한적 예시적 온도 범위는 대략 20℃ 내지 대략 600℃를 포함한다. 플라즈마 침착 공정이 사용되는 경우, 침착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안으로, 열적 공정이 수행되는 경우, 침착 온도는 대략 300℃ 내지 대략 600℃의 범위일 수 있다.
대안으로, 기판을, 원하되는 물리적 상태 및 조성을 갖는 원하는 규소-함유 필름을 충분한 성장 속도로 얻기에 충분한 온도로 가열할 수 있다. 기판이 가열될 수 있는 비제한적 예시적 온도 범위는 150℃ 내지 600℃를 포함한다. 바람직하게는, 기판의 온도는 500℃ 이하로 유지된다.
규소-함유 필름이 침착될 기판의 유형은 의도된 최종 용도에 따라 달라질 것이다. 일부 구현예에서, 기판은 수소화된 탄소, 예를 들어 CHx(여기서, x는 0 초과임)로 제조된 패턴화된 포토레지스트 필름일 수 있다. 일부 구현예에서, 기판은, MIM, DRAM, 또는 FeRam 기술에서 유전 물질로서 사용되는 산화물(예를 들어, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 삼원 산화물계 물질 등)로부터 또는 구리와 저-k 층 사이의 산소 배리어로서 사용되는 질화물계 필름(예를 들어, TaN)으로부터 선택될 수 있다. 반도체, 광전지, LCD-TFT, 또는 평판 장치의 제조에 기타 다른 기판이 사용될 수 있다. 이러한 기판의 예는 고체 기판, 예컨대 금속 질화물 함유 기판(예를 들어, TaN, TiN, WN, TaCN, TiCN, TaSiN, 및 TiSiN); 절연체(예를 들어, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3, 및 바륨 스트론튬 티타네이트); 또는 임의 수의 이들 물질의 조합을 포함하는 기타 다른 기판을 포함하나 이에 제한되지는 않는다. 사용되는 실제 기판은 또한 사용되는 특정 전구체 구현예에 따라 달라질 수 있다. 그러나 많은 경우에, 사용되는 바람직한 기판은 수소화된 탄소, TiN, SRO, Ru, 및 Si형 기판, 예컨대 폴리규소 또는 결정성 규소 기판으로부터 선택될 것이다.
기판을 높은 종횡비를 갖는 바이어스 또는 트렌치를 포함하도록 패턴화할 수 있다. 예를 들어, 정형 Si-함유 필름, 예컨대 SiO2를 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 실리콘 관통 기술(through silicon via; TSV)에 대한 임의의 ALD 기법 사용으로 침착시킬 수 있다.
Si-함유 필름 형성 조성물은 순수 형태로 또는 증착에 적합한 용매, 예컨대 톨루엔, 에틸 벤젠, 크실렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3급 아민, 아세톤, 테트라히드로푸란, 에탄올, 에틸메틸케톤, 1,4-디옥산 등과의 블렌드로 공급될 수 있다. 대안으로, Si-함유 필름 형성 조성물은 캐스팅 침착에 적합한 용매, 예컨대 나프타, 메틸이소부틸케톤(MIBK), n-메틸이소부틸케톤(NMIBK), 또는 이들의 조합을 포함할 수 있다. 당업자는, 캐스팅 침착 용액이 pH 조절제 또는 계면활성제를 추가로 포함할 수 있음을 인식할 것이다. 개시된 전구체는 용매 중에서 다양한 농도로 존재할 수 있다. 예를 들어, 증착 용액의 결과적 농도는 대략 0.01 M 내지 대략 2 M의 범위일 수 있다. 당업자는, 캐스팅 침착 용액의 몰농도가 원하는 필름 두께에 직접 비례하며 그에 따라 몰농도를 조정할 수 있음을 인식할 것이다.
증착을 위해, 순수 또는 블렌딩된 오르가노디실란 전구체를 종래의 수단, 예컨대 배관 및/또는 유량계에 의해 증기 형태로 반응기 내로 도입한다. 증기 형태의 전구체는, 종래의 기화 단계, 예컨대 직접 기화, 증류를 통해, 버블링에 의해, 또는 승화기, 예컨대 PCT 공개 WO2009/087609(Xu et al.)에 개시된 것을 사용함으로써 순수 또는 블렌딩된 전구체 용액을 기화시킴으로써 생성될 수 있다. 순수 또는 블렌딩된 전구체를 액체 상태로 기화기에 공급할 수 있고, 여기서 이것을 기화시킨 후 반응기 내로 공급한다. 대안으로, 순수 또는 블렌딩된 전구체를, 전구체를 함유하는 용기 내로 캐리어 기체를 통과시킴으로써 또는 전구체 내로 캐리어 기체를 버블링함으로써 기화시킬 수 있다. 캐리어 기체는 Ar, He, 또는 N2, 및 이들의 혼합물을 포함할 수 있으나 이에 제한되지는 않는다. 캐리어 기체로의 버블링은 또한 순수 또는 블렌딩된 전구체 용액 중에 존재하는 임의의 용해된 산소를 제거할 수 있다. 이어서, 캐리어 기체 및 전구체를 증기로서 반응기 내로 도입한다.
필요한 경우, 용기를 Si-함유 필름 형성 조성물이 그의 액체 상으로 존재하고 충분한 증기압을 가질 수 있게 하는 온도까지 가열할 수 있다. 용기를, 예를 들어, 0℃ 내지 150℃ 범위의 온도에서 유지할 수 있다. 당업자는, 용기의 온도를 공지된 방식으로 조정하여 기화된 Si-함유 필름 형성 조성물의 양을 제어할 수 있음을 인식한다.
개시된 전구체에 추가로, 반응 기체를 또한 반응기 내로 도입할 수 있다. 반응 기체는 산화제, 예컨대 O2; O3; H2O; H2O2; 산소 함유 라디칼, 예컨대 O· 또는 OH·; NO; NO2; 카르복실산, 예컨대 포름산, 아세트산, 프로피온산; NO, NO2, 또는 카르복실산의 라디칼 종; 파라-포름알데히드; 및 이들의 혼합물 중 하나일 수 있다. 바람직하게, 산화제는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼, 예컨대 O· 또는 OH·, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게, ALD 공정 수행시, 반응물은 플라즈마 처리된 산소, 오존, 또는 이들의 조합이다. 산화제 사용시, 생성된 규소 함유 필름은 또한 산소를 함유할 것이다.
대안으로, 반응 기체는 환원제, 예컨대 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 9-보라비시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종, 및 이들의 혼합물 중 하나일 수 있다. 바람직하게, 환원제는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 또는 이들의 혼합물이다. 환원제 사용시, 생성된 규소 함유 필름은 순수 Si일 수 있다.
반응 기체를 플라즈마로 처리하여, 반응 기체를 그의 라디칼 형태로 분해할 수 있다. N2는 또한 플라즈마로 처리시 환원제로서 사용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 전력으로 생성될 수 있다. 플라즈마는 생성되거나 그 자체가 반응기 내에 존재할 수 있다. 대안으로, 플라즈마는 일반적으로 반응기로부터 제거된 위치에, 예를 들어, 원거리 위치 플라즈마 시스템 내에 존재할 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 것이다.
개시된 Si-함유 필름 형성 조성물은 또한 할로실란 또는 폴리할로디실란, 예컨대 헥사클로로디실란 펜타클로로디실란, 또는 테트라클로로디실란, 및 하나 이상의 반응물 기체와 함께 사용되어 SiN 또는 SiCN 필름을 형성할 수 있고(전체적으로 그 전문이 본원에 참조로 포함되는 PCT 공개 WO2011/123792에 개시된 바와 같음), 이 공정을 하기에서 보다 상세히 개시한다.
원하는 규소-함유 필름이 또한 또 다른 원소, 예컨대 비제한적으로, Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란타나이드(예컨대, Er), 또는 이들의 조합을 함유하는 경우, 반응물은 금속 알킬, 예컨대 Ln(RCp)3 또는 Co(RCp)2, 금속 아민, 예컨대 Nb(Cp)(NtBu)(Nme2)3 및 임의의 이들의 조합으로부터 선택되는(이에 제한되지는 않음) 금속-함유 전구체를 포함할 수 있다.
Si-함유 필름 형성 조성물 및 하나 이상의 반응물을 동시에(화학 증착), 순차적으로(원자 층 증착), 또는 기타 다른 조합으로 반응 챔버 내로 도입할 수 있다. 예를 들어, Si-함유 필름 형성 조성물을 하나의 펄스로 도입할 수 있고, 추가의 두 금속 공급원을 별도의 펄스로 함께 도입할 수 있다[변형된 원자 층 증착]. 대안으로, 반응 챔버가 Si-함유 필름 형성 조성물의 도입 전에 이미 반응물을 함유할 수 있다. 반응물을 반응 챔버로부터 원거리의 또는 국소화된 플라즈마 시스템으로 통과시켜, 라디칼로 분해할 수 있다. 대안으로, 기타 다른 금속 공급원을 펄스에 의해 도입하면서 Si-함유 필름 형성 조성물을 연속적으로 반응 챔버로 도입할 수 있다(펄스-화학 증착). 각각의 예에서, 펄스 후에 도입된 과량의 성분을 제거하기 위해 퍼지 또는 배기 단계가 이어질 수 있다. 각각의 예에서, 펄스는 약 0.01 s 내지 약 10 s, 대안으로 약 0.3 s 내지 약 3 s, 대안으로 약 0.5 s 내지 약 2 s 범위의 시간 기간 동안 지속될 수 있다. 또 다른 대안으로, Si-함유 필름 형성 조성물 및 하나 이상의 반응물을 아래에 여러 웨이퍼를 유지하는 서셉터가 스피닝된 샤워 헤드로부터 동시에 분무할 수 있다(공간 ALD).
하나의 비제한적 예시적인 원자 층 증착형 공정에서는, Si-함유 필름 형성 조성물의 기체 상을 반응 챔버 내로 도입하고, 여기서 이를 적합한 기판과 접촉시킨다. 이어서, 반응 챔버의 퍼징 및/또는 배기에 의해 과량의 조성물을 반응 챔버로부터 제거할 수 있다. 산소 공급원을 반응 챔버 내로 도입하고, 여기서 이것이 자체-제한 방식으로 흡수된 오르가노디실란 전구체와 반응한다. 반응 챔버의 퍼징 및/또는 배기에 의해 임의의 과량의 산소 공급원을 반응 챔버로부터 제거한다. 원하는 필름이 규소 산화물 필름인 경우, 이러한 2 단계 공정은 원하는 필름 두께를 제공할 수 있거나, 또는 필요한 필름 두께를 갖는 필름이 얻어질 때까지 반복될 수 있다.
대안으로, 원하는 필름이 규소 금속 산화물 필름(즉, SiMOx(여기서, x는 4일 수 있고, M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란타나이드(예컨대, Er), 또는 이들의 조합임)인 경우, 상기 2 단계 공정 후 제2 전구체의 증기를 반응 챔버 내로 도입할 수 있다. 제2 전구체는 침착되는 SiMOx 필름의 성질에 기초하여 선택될 것이다. 반응 챔버 내로의 도입 후, 제2 전구체를 기판과 접촉시킨다. 반응 챔버의 퍼징 및/또는 배기에 의해 임의의 과량의 제2 전구체를 반응 챔버로부터 제거한다. 다시 한번, 산소 공급원을 반응 챔버 내로 도입하여 제2 전구체와 반응시킬 수 있다. 반응 챔버의 퍼징 및/또는 배기에 의해 과량의 산소 공급원을 반응 챔버로부터 제거한다. 원하는 필름 두께가 달성되면, 공정을 종료할 수 있다. 그러나, 보다 두꺼운 필름을 원하는 경우, 전체 4 단계 공정을 반복할 수 있다. Si-함유 필름 형성 조성물, 제2 전구체, 및 산소 공급원의 제공의 교호에 의해, 원하는 조성 및 두께의 필름이 침착될 수 있다.
추가로, 펄스의 수를 변화시킴으로써, 원하는 화학량론적 M:Si 비율을 갖는 필름이 얻어질 수 있다. 예를 들어, Si-함유 필름 형성 조성물의 1 펄스 및 제2 전구체의 1 펄스를 제공하고, 각각의 펄스 후에 산소 공급원의 펄스가 이어지게 함으로써 SiMO2 필름이 얻어질 수 있다. 그러나, 당업자는, 원하는 필름을 얻기 위해 요구되는 펄스의 수가 생성된 필름의 화학량론적 비율과 동일하지 않을 수 있음을 인식할 것이다.
또 다른 대안으로, 그리고 WO WO2011/123792에 개시된 바와 같이, 헥사클로로디실란(HCDS) 또는 펜타클로로디실란(PCDS), 개시된 Si-함유 필름 형성 조성물, 및 암모니아 공반응물로 ALD 방법을 사용하여 치밀 SiCN 필름을 침착시킬 수 있다. 반응 챔버를, Ar의 55 sccm 연속 유동으로 5 Torr, 550℃에서 제어할 수 있다. Si-함유 필름 형성 조성물의 대략 10 초의 긴 펄스를 대략 1 sccm의 유량으로 반응 챔버 내로 도입한다. 조성물을 대략 30 초 동안 Ar의 대략 55 sccm 유동으로 반응 챔버로부터 퍼징한다. HCDS의 대략 10 초의 펄스를 대략 1 sccm의 유량으로 반응 챔버 내로 도입한다. HCDS를 대략 30 초 동안 Ar의 대략 55 sccm 유동으로 반응 챔버로부터 퍼징한다. NH3의 대략 10 초의 긴 펄스를 대략 50 sccm의 유량으로 반응 챔버 내로 도입한다. NH3을 대략 10 초 동안 Ar의 대략 55 sccm 유동으로 반응 챔버로부터 퍼징한다. 침착된 층이 적합한 두께를 달성할 때까지 이들 6 개의 단계를 반복한다. 당업자는 공간 ALD 장치 사용시 도입 펄스가 동시적일 수 있음을 인식할 것이다. PCT 공개 WO2011/123792에 기재된 바와 같이, SiCN 필름 중 탄소 및 질소의 양을 조율하기 위해 전구체의 도입 순서가 달라질 수 있고 침착이 NH3 공반응물과 함께 또는 NH3 공반응물 없이 수행될 수 있다.
또한 또 다른 대안으로, 규소-함유 필름은, 개시된 조성물 및 라디칼 질소- 또는 산소-함유 공반응물을 사용하여 미국 특허 출원 공개 2014/0051264에 개시된 유동성 PECVD 방법에 의해 침착될 수 있다. 라디칼 질소- 또는 산소-함유 공반응물, 예컨대 각각 NH3 또는 H2O은 원거리 플라즈마 시스템에서 생성된다. 라디칼 공반응물 및 개시된 조성물의 증기 상을 반응 챔버 내로 도입하고, 여기서 이들이 반응하여 초기 유동성 필름이 기판 상에 침착된다. 출원인들은, 개시된 N-함유 오르가노디실란 전구체의 질소 원자 및/또는 개시된 S-함유 오르가노디실란 전구체의 황 원자가 침착된 필름의 유동성을 추가로 개선시키는 것을 도와, 보다 적은 공극을 함유하는 필름을 제공할 수 있다고 여긴다.
또한, 캐스팅 침착 방법, 예컨대 스핀 코팅, 분무 코팅, 침지 코팅 또는 슬릿 코팅 기법에서 개시된 Si-함유 필름 형성 조성물을 사용하는 방법이 개시된다. 개시된 방법은 규소-함유 필름의 침착을 위한 Si-함유 필름 형성 조성물의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 장치의 제조에 유용할 수 있다. 방법은, 개시된 Si-함유 필름 형성 조성물의 액체 형태를 반응기 내의 기판 상에 적용하는 단계; 및 기판 상에 Si-함유 층을 형성하는 단계를 포함한다. 상기에서 논의된 바와 같이, 개시된 Si-함유 필름 형성 조성물의 액체 형태는 오르가노디실란 전구체의 순수 용액 또는 전구체와 용매 및 선택적인 pH 조정제 또는 계면활성제의 혼합물일 수 있다. 개시된 Si-함유 필름 형성 조성물의 액체 형태를 기판의 중심에 직접 적용할 수 있거나, 또는 분무에 의해 전체 기판에 적용할 수 있다. 기판의 중심에 직접 적용하는 경우, 기판을 스피닝하여 원심력을 사용하여 기판 상에 조성물을 균일하게 분포시킬 수 있다. 대안으로, 기판을 Si-함유 필름 형성 조성물 중에 침지시킬 수 있다. 생성된 필름을 임의의 용매 또는 필름의 휘발성 성분을 기화시키기에 적절한 온도에서 일정 기간 동안 건조시킬 수 있다. 당업자는, 적절한 온도 선택이 증발되는 용매에 기초함을 인식할 것이다. 기화 공정 동안, 물의 미스트를 기판 상에 분무하여 필름의 가수분해 반응을 촉진시킬 수 있다.
Si-함유 필름 형성 조성물 중의 개시된 오르가노디실란 전구체는 규소 함유 중합체의 합성을 위한 단량체로서 유용한 것으로 입증될 수 있다. Si-함유 필름 형성 조성물을 스핀-온 유전 필름 배합물 형성에, 패턴화가능한 필름에, 또는 반사방지 필름에 사용할 수 있다. 예를 들어, 개시된 Si-함유 필름 형성 조성물은 용매를 포함하고, 기판에 적용되어 필름을 형성할 수 있다. 필요한 경우, 기판을 회전시켜 기판을 가로질러 Si-함유 필름 형성 조성물을 균일하게 분포시킬 수 있다. 당업자는, Si-함유 필름 형성 조성물의 점도가 기판의 회전이 필요한지의 여부에 대해 기여할 것임을 인식할 것이다. 생성된 필름을 불활성 기체, 예컨대 아르곤, 헬륨, 또는 질소 하에 및/또는 감압 하에 가열할 수 있다. 대안으로, 전자 빔 또는 자외선 방사선을 생성된 필름에 적용할 수 있다. 개시된 오르가노디실란 전구체의 8-9 가수분해성 기(즉, Si-Si, Si-N, Si-O, Si-S, 또는 Si-H 직접 결합)는 얻어진 중합체의 연결성을 증가시키기 위해 유용한 것으로 입증될 수 있다.
상기에서 논의된 공정으로부터 생성된 규소-함유 필름은 Si, SiC, SiO2, SiN, SiON, SiCN, SiCOH, pSiCOH, 또는 MSiOx(여기서, M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge와 같은 금속이고, x는 물론 M의 산화 상태에 따라 0 내지 4일 수 있음)를 포함할 수 있다. 당업자는, 적절한 오르가노디실란 전구체 및 공반응물의 선택에 의해, 원하는 필름 조성물이 얻어질 수 있음을 인식할 것이다.
원하는 필름 두께를 얻음에 따라, 필름에 추가의 가공, 예컨대 열 어닐링(annealing), 퍼니스-어닐링, 급속 열 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 기체 노출을 적용할 수 있다. 당업자는 이들 추가의 가공 단계를 수행하기 위해 사용되는 시스템 및 방법을 인식한다. 예를 들어, 규소-함유 필름을 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 이들의 조합 하에 대략 0.1 초 내지 대략 7200 초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출시킬 수 있다. 가장 바람직하게, 온도는 H-함유 분위기 하에 3600 초 미만 동안 600℃이다. 생성된 필름은 보다 적은 불순물을 함유할 수 있고, 따라서 개선된 성능 특징을 가질 수 있다. 어닐링 단계는 침착 공정이 수행되는 것과 동일한 반응 챔버 내에서 수행될 수 있다. 대안으로, 기판을 반응 챔버로부터 제거하고, 어닐링/플래시 어닐링 공정을 별도의 장치에서 수행할 수 있다. 상기 임의의 후처리 방법, 특히 열 어닐링은 규소-함유 필름의 탄소 및 질소 오염을 감소시키는 데 효과적인 것으로 나타났다.
첨부된 청구범위에 나타낸 바와 같은 본 발명의 원리 및 범주 내에서, 본 발명의 성질을 설명하기 위해 본원에 기재되고 예시된 상세사항, 물질, 단계, 및 부분들의 배열에서의 많은 추가적인 변화가 당업자에 의해 이루어질 수 있음을 이해할 것이다. 따라서, 본 발명은 상기에 제공된 예 및/또는 첨부된 도면에서의 구체적 구현예로 제한되는 것으로 의도되지 않는다.

Claims (15)

  1. 하기 화학식을 갖는 오르가노디실란 전구체를 포함하는 Si-함유 필름 형성 조성물.
    (E-(CR)n-E)SiH2-SiHx(E-(CR)n-E)3-x
    (상기 식에서, x는 2 또는 3이고; n은 각각 독립적으로 1 또는 3이고; (E-(CR)n-E) 기는 각각, 각각의 E를 통해 Si에 결합되는 단일음이온 두자리 리간드이고; E는 각각 독립적으로 NR, O 또는 S로부터 선택되고; R은 각각 독립적으로 H, C1 내지 C6 알킬 기, 및 C3-C20 아릴 또는 헤테로사이클 기로 이루어진 군으로부터 선택됨)
  2. 제1항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00213
  3. 제2항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00214
  4. 제2항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00215
  5. 제2항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00216
  6. 제2항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00217
  7. 제1항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00218
  8. 제1항에 있어서, 상기 오르가노디실란 전구체가 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00219
  9. 제8항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00220
  10. 제1항에 있어서, 상기 오르가노디실란 전구체는 하기 화학식을 갖는 것인 Si-함유 필름 형성 조성물.
    Figure 112017034886326-pct00221
  11. 제1항 내지 제10항 중 어느 한 항의 오르가노디실란 전구체의 증기를 안에 기판이 배치된 반응기 내로 도입하는 단계; 및
    증착 방법을 사용하여 오르가노디실란 전구체의 적어도 일부를 기판 상에 침착시켜 Si-함유 층을 형성하는 단계
    를 포함하는, 기판 상의 Si-함유 층의 침착 방법.
  12. 제11항에 있어서,
    상기 오르가노디실란 전구체의 증기를 안에 기판이 배치된 반응기로 도입하는 단계에서,
    추가로 공반응물을 상기 반응기 내로 도입하는 방법.
  13. 제11항에 있어서, 상기 증착 방법은 화학 증착 공정인 방법.
  14. 제11항에 있어서, 상기 증착 방법은 원자 층 증착(ALD) 공정인 방법.
  15. 제1항 내지 제10항 중 어느 한 항의 Si-함유 필름 형성 조성물을 포함하는 용액을 형성하는 단계; 및 용액을 스핀 코팅, 분무 코팅, 침지 코팅, 또는 슬릿 코팅 기법을 통해 기판과 접촉시켜 Si-함유 필름을 형성하는 단계를 포함하는, 기판 상의 Si-함유 필름의 형성 방법.
KR1020177009713A 2014-10-02 2015-10-02 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체 KR102461078B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462059060P 2014-10-02 2014-10-02
US62/059,060 2014-10-02
PCT/US2015/053818 WO2016054566A1 (en) 2014-10-02 2015-10-02 Organodisilane precursors for ald/cvd silicon-containing film applications

Publications (2)

Publication Number Publication Date
KR20170063698A KR20170063698A (ko) 2017-06-08
KR102461078B1 true KR102461078B1 (ko) 2022-10-28

Family

ID=55631643

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177009713A KR102461078B1 (ko) 2014-10-02 2015-10-02 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체

Country Status (3)

Country Link
US (1) US10006122B2 (ko)
KR (1) KR102461078B1 (ko)
WO (1) WO2016054566A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3526365B1 (en) * 2016-10-12 2020-11-25 DDP Specialty Electronic Materials US 9, LLC Thio(di)silanes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
US6649083B1 (en) 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
TWI398541B (zh) * 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物
KR101593352B1 (ko) 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR20150034123A (ko) 2012-07-20 2015-04-02 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체
SG11201602301WA (en) 2013-09-27 2016-04-28 Antonio Sanchez Amine substituted trisilylamine and tridisilylamine compounds

Also Published As

Publication number Publication date
WO2016054566A1 (en) 2016-04-07
KR20170063698A (ko) 2017-06-08
US10006122B2 (en) 2018-06-26
US20170298510A1 (en) 2017-10-19

Similar Documents

Publication Publication Date Title
TWI620751B (zh) 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
KR102658085B1 (ko) 알킬아미노 치환 할로카보실란 전구체
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9969756B2 (en) Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof
JP2020196714A (ja) Si含有膜形成組成物
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TWI659035B (zh) 經烷胺基取代之碳矽烷前驅物
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
KR102461078B1 (ko) Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications
WO2016094711A2 (en) Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
WO2017127044A1 (en) Si-containing film forming compositions for ald/cvd of silicon-containing films

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant