KR20150034123A - Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체 - Google Patents

Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체 Download PDF

Info

Publication number
KR20150034123A
KR20150034123A KR1020147023486A KR20147023486A KR20150034123A KR 20150034123 A KR20150034123 A KR 20150034123A KR 1020147023486 A KR1020147023486 A KR 1020147023486A KR 20147023486 A KR20147023486 A KR 20147023486A KR 20150034123 A KR20150034123 A KR 20150034123A
Authority
KR
South Korea
Prior art keywords
sih
group
precursor
thin film
alkyl group
Prior art date
Application number
KR1020147023486A
Other languages
English (en)
Inventor
크리스티앙 뒤사랏
글렌 쿠첸바이저
벤카테스와라 알 팔렘
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20150034123A publication Critical patent/KR20150034123A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Si-함유 박막 형성 전구체, 그의 합성 방법 및 반도체, 광전지, LCD-TFT, 평판형 디바이스, 내화재 또는 항공분야의 제조를 위해, 기상 증착 방법을 사용하여 규소-함유 필름을 증착하기 위한 그의 사용 방법을 개시한다.

Description

ALD/CVD 규소-함유 필름 적용을 위한 유기실란 전구체{ORGANOSILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS}
관련 출원에 대한 상호-참조
본 출원은 2012년 7월 20일자로 출원된 미국 가출원 번호 61/674,103호에 대한 우선권을 주장하고, 그 전체 내용이 인용에 의해 본원에 포함된다.
기술 분야
Si-함유 박막 형성 전구체, 그의 합성 방법, 및 반도체, 광전지, LCD-TFT, 평판형 디바이스, 내화재 또는 항공분야의 제조를 위해, 기상 증착 방법을 사용하여 규소-함유 필름을 증착하기 위한 그의 사용 방법을 개시한다.
Si-함유 박막은 반도체, 광전지, LCD-TFT, 평판형 디바이스, 내화재, 또는 항공 산업에서 광범위하게 사용된다. Si-함유 박막은 예를 들면, 절연시킬 수 있는 전기적 성질을 갖는 유전체 재료(SiO2, SiN, SiCN, SiCOH, MSiOx, 여기서 M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge이고, x는 0 초과이다)로 사용될 수 있고, Si-함유 박막, 예컨대 금속 규소화물 또는 금속 규소 질화물은 도전막으로 사용될 수 있다. 나노스케일(구체적으로 28 nm 노드(node) 미만)로의 전기 디바이스 구조의 소형화에 의해 강요되는 엄격한 요건 때문에, 높은 증착 속도, 생성된 필름의 등각성 및 콘시스턴시(consistency) 외에도 휘발성(ALD 공정의 경우), 더 낮은 공정 온도, 다양한 산화제와의 반응성 및 낮은 막 오염의 요건을 충족하는 더욱더 미세-조절된 분자 전구체가 요구된다.
실란(SiH4)을 열 CVD에 사용할 수 있다는 것은 잘 알려져 있다. 그러나, 이 분자는 이 실온 가스를 안전하게 다루기 어렵게 하는 자연발화성(pyrophoric)이다. 할로실란(예컨대, 디클로로실란 SiH2Cl2)을 사용한 CVD 방법이 사용되어왔다. 그러나, 이는 긴 퍼지(purge) 시간을 필요로 할 수 있으며, 막의 할로겐 오염 및 입자 형성(염화 암모늄 염으로부터)을 초래할 수 있고, 심지어 특정 기판을 손상시켜 원치 않는 계면층을 형성할 수 있다. 할로겐을 알킬기로 부분적으로 치환하는 것은 약간의 개선을 가져올 수 있지만, 필름 내의 해로운 탄소 오염을 동반한다.
유기아미노실란은 Si-함유 필름의 CVD를 위한 전구체로 사용되어왔다. 뒤사라(Dussarrat) 등의 US 7192626는 SiN 필름의 증착을 위한 트리실일아민 N(SiH3)3 의 사용을 보고한다. 보고된 다른 전구체는 디이소프로필아미노실란[SiH3(NiPr2)] 및 유사 SiH3(NR2) 화합물(예를 들면, 트리단담(Thridandam) 등의 US 7875312 참조) 및 페닐메틸아미노실란[SiH3(NPhMe)] 및 관련된 치환된 실일아닐린(예를 들면, 시아오(Xiao) 등의 EP 2392691 참조)를 포함한다.
Si-함유 필름의 CVD를 위한 Si 전구체의 다른 관련된 부류는 일반식 (R1R2N)xSiH4-x에 의해 나타내어지고, 여기서 x는 1 내지 4이고, R 치환기는 독립적으로 H, C1-C6 선형, 분지형, 또는 환형 탄소 사슬(예를 들면, 뒤사라 등의 WO2006/097525 참조)이다.
헝크스(Hunks) 등은 US2010/0164057에서 광범위한 Si-함유 전구체를 개시하고 있으며, 이는 화학식 R4 - xSiLx를 갖는 규소 화합물을 포함하고, 여기서 x는 1 내지 3의 값을 갖는 정수이고; R은 H, 분지형 및 비분지형 C1-C6 알킬, C3-C8 시클로알킬, 및 C6-C13 아릴기로부터 선택될 수 있고; L은 이소시아나토, 메틸에틸케톡심, 트리플루오로아세테이트, 트리플레이트, 아실옥시, β-디케티미네이트, β-디-이미네이트, 아미디네이트, 구아니디네이트, 알킬아미노, 히드리드, 알콕시드, 또는 포르메이트 리간드로부터 선택될 수 있다. 피나바이아(Pinnavaia) 등은 규소 아세틸아세토네이트와 규소 1,3-디케토네이트 전구체로부터의 다공성 합성의, 반결정질 혼성 유기-무기 산화 규소 조성물의 제조 방법을 청구한다(US6465387).
Si 함유 필름의 증착에 이용가능한 광범위한 선택에도 불구하고, 디바이스 기술자들에게 제조 공정 요건을 조절할 수 있는 능력을 제공하고, 바람직한 전기적 및 물리적 성질을 갖는 필름을 얻게 하기 위해, 추가적인 전구체를 계속하여 찾고 있다.
표기법 및 명명법
특정 약어, 기호, 및 용어가 하기 상세한 설명 및 특허청구범위에 걸쳐 사용되며, 다음을 포함한다:
본원에서 사용된, 수식어 "한" 또는 "하나"는 하나 이상을 의미한다.
본원에서 사용된, 용어 "독립적으로"는, R기를 설명하는 맥락에서 사용될 경우, 대상 R기가 동일하거나 상이한 아래 첨자 또는 위 첨자가 있는 다른 R기에 대해 독립적으로 선택될 뿐만 아니라, 또한 그 동일한 R기의 임의의 추가적인 종에 대해 독립적으로 선택됨을 의미하는 것으로 이해하여야 한다. 예를 들어 식 MR1 x(NR2R3)(4-x)(여기서, x는 2 또는 3이다)에서, 2 개 또는 3 개의 R1기는, 서로 또는 R2 또는 R3와 동일할 수 있으나, 그럴 필요는 없다. 또한, 달리 구체적으로 명시되지 않는 한, R기의 값은 상이한 식에서 사용될 경우 서로 독립적인 것으로 이해하여야 한다.
본원에서 사용된, 용어 "알킬기"는 오로지 탄소 및 수소 원자를 함유하는 포화 관능기를 나타낸다. 또한, 용어 "알킬기"는 선형, 분지형, 또는 환형 알킬기를 나타낸다. 선형 알킬기의 예는 비제한적으로, 메틸기, 에틸기, 프로필기, 부틸기 등을 포함한다. 분지형 알킬기의 예는 비제한적으로, t-부틸을 포함한다. 환형 알킬기의 예는 비제한적으로, 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함한다.
본원에서 사용된, 용어 "아릴"은 하나의 수소 원자가 고리로부터 제거된 방향족 고리 화합물을 나타낸다. 본원에서 사용된, 용어 "헤테로환"은 2 이상의 상이한 원소의 원자를 그 고리의 구성원으로서 갖는 환형 화합물을 나타낸다.
본원에 사용된, 약어 "Me"는 메틸기를 나타내고; 약어 "Et"는 에틸기를 나타내고; 약어 "Pr"은 임의의 프로필기(즉, n-프로필 또는 이소프로필)를 나타내고; 약어 "iPr"은 이소프로필기를 나타내고; 약어 "Bu"는 임의의 부틸기(n-부틸, 이소-부틸, t-부틸, sec-부틸)을 나타내고; 약어 "tBu"는 tert-부틸기를 나타내고; 약어 "sBu"는 sec-부틸기를 나타내고; 약어 "iBu"는 이소-부틸기를 나타내고; 약어 "Ph"는 페닐기를 나타내고; 약어 "Am"은 임의의 아밀기(이소-아밀, sec-아밀, tert-아밀)를 나타내고; 약어 "Cy"는 환형 알킬기(시클로부틸, 시클로펜틸, 시클로헥실 등)를 나타내고; 약어 "R-amd"는 R-N-C(Me)-N-R 아미디네이트 리간드를 나타내고, R은 알킬기(예를 들면, iPr-amd는 iPr-N-C(Me)-N-iPr이다)이다.
본원에서 사용된, 두문자어 "SRO"는 스트론튬 루테늄 옥시드 필름을 나타내고; 두문자어 "HCDS"는 헥사클로로디실란을 나타내고; 두문자어 "PCDS"는 펜타클로로디실란을 나타낸다.
본원에서는 원소 주기율표로부터의 원소의 표준 약어를 사용한다. 원소를 이 약어로서 나타낼 수 있다는 것을 이해해야 한다(예를 들어, Si는 규소를 나타내고, N은 질소를 나타내고, O는 산소를 나타내고, C는 탄소를 나타내는 등).
본 발명의 본질 및 목적의 추가 이해를 위해, 첨부한 도면과 함께 다음의 상세한 설명을 참고할 수 있으며, 동일한 요소는 동일 또는 유사한 참조 번호로 주어졌으며, 여기서:
도 1은 DiPAS(디이소프로필아미노실란)과 비교하여, SiH3(NiPr-amd) 및 SiH3 (NtBu-amd)에 대한 온도 변화에 따른 중량 손실의 백분율을 나타내는 열중량 분석(TGA) 그래프; 및
도 2는 전구체 도입 시간 대 증착 속도 및 굴절률을 나타내는 그래프이다.
하기 화학식을 갖는 유기실란 분자가 개시되고:
Figure pct00001
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클기일 수 있고, R3는 H, C1 내지 C6 알킬기, C3-C20 아릴 또는 헤테로시클기, 아미노기, 알콕시기, 또는 할로겐일 수 있고;
·R1과 R2 및/또는 R2와 R3는 결합하여 환형 사슬을 형성하고;
·유기실란 분자는 하기 화학식을 갖고:
Figure pct00002
상기 식에서, R1 및 R2는 각각 독립적으로 C1 내지 C6 알킬기일 수 있고;
·유기실란 분자는 H3Si(NiPr-amd)이고;
·유기실란 분자는 하기 화학식을 갖고:
Figure pct00003
상기 식에서, R1, R2, R3 및 R4는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고;
·유기실란 분자는 H3Si(-(iPr)N-C(NMe2)-N(iPr)-)이고;
·유기실란 분자는 하기 화학식을 가지고:
Figure pct00004
상기 식에서, R1, R2 및 R3는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고;
·유기실란 분자는 H3Si(-(iPr)N-C(OMe)-N(iPr)-)이고;
·유기 실란 분자는 하기 화학식을 가지고:
α
Figure pct00005
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고, X는 Cl, Br, I 또는 F일 수 있고;
·유기실란 분자는 H3Si(-(iPr)N-C(Cl)-N(iPr)-)이다.
또한, 하기 화학식을 갖는 Si-함유 박막 형성 전구체가 개시되고:
Figure pct00006
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클기일 수 있고, R3는 H, C1 내지 C6 알킬기, C3-C20 아릴 또는 헤테로시클기, 아미노기, 알콕시기, 또는 할로겐일 수 있고;
·R1과 R2 및/또는 R2와 R3는 결합하여 환형 사슬을 형성하고;
·Si-함유 박막 형성 전구체는 하기 화학식을 갖고:
Figure pct00007
상기 식에서, R1 및 R2는 각각 독립적으로 C1 내지 C6 알킬기일 수 있고;
·Si-함유 박막 형성 전구체는 H3Si(NiPr-amd)이고;
·Si-함유 박막 형성 전구체는 하기 화학식을 가지고:
Figure pct00008
상기 식에서, R1, R2, R3 및 R4는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고;
·Si-함유 박막 형성 전구체는 H3Si(-(iPr)N-C(NMe2)-N(iPr)-)이고;
·Si-함유 박막 형성 전구체는 하기 화학식을 가지고:
Figure pct00009
상기 식에서, R1, R2 및 R3는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고;
·Si-함유 박막 형성 전구체는 H3Si(-(iPr)N-C(OMe)-N(iPr)-)이고;
·Si-함유 박막 형성 전구체는 하기 화학식을 가지고:
α
Figure pct00010
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고, X는 Cl, Br, I 또는 F일 수 있고;
·Si-함유 박막 형성 전구체는 H3Si(-(iPr)N-C(Cl)-N(iPr)-)이다.
또한, 기판 상에 Si-함유층을 증착하는 방법이 개시된다.
상술한 하나 이상의 유기실란 전구체를, 내부에 하나 이상의 기판이 배치된 반응기로 도입한다. 기상 증착 방법을 사용하여, 유기실란 전구체의 적어도 일부가 하나 이상의 기판 상에 증착되어 Si-함유층을 형성한다. 개시된 방법은 하기의 측면 중 하나 이상을 가질 수 있다:
·반응기로 하나 이상의 제2 전구체를 포함하는 증기를 도입하는 것;
·하나 이상의 제2 전구체의 원소가 2족, 13족, 14족, 전이 금속, 란탄족원소, 및 그의 조합으로 이루어지는 군으로부터 선택되는 것;
·하나 이상의 제2 전구체의 원소가 Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y 또는 란탄족원소로부터 선택되는 것;
·반응기로 하나 이상의 공반응물을 도입하는 것;
·공반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 그의 라디칼, 및 그의 조합으로 이루어지는 군으로부터 선택되는 것;
·공반응물이 플라즈마 처리된 산소인 것;
·공반응물이 오존인 것;
·Si-함유층이 산화 규소층인 것;
·공반응물이 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 9-보라비실로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 그의 라디칼종 및 그의 혼합물로 이루어지는 군으로부터 선택되는 것;
·공반응물이 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그의 수소 라디칼, 및 그의 혼합물로 이루어지는 군으로부터 선택되는 것;
·공반응물이 플라즈마-처리되는 것;
·공반응물이 원격 플라즈마-처리되는 것;
·공반응물이 플라즈마-처리되지 않는 것;
·공반응물이 H2인 것;
·공반응물이 NH3인 것;
·공반응물이 HCDS인 것;
·공반응물이 PCDS인 것;
·공반응물이 테트라클로로실란인 것;
·공반응물이 트리클로로실란인 것;
·공반응물이 헥사클로로시클로헥사실란인 것;
·기상 증착 공정이 화학 기상 증착 공정인 것;
·기상 증착 공정이 원자층 증착(ALD) 공정인 것;
·기상 증착 공정이 공간(spatial) ALD 공정인 것;
·규소-함유층이 Si인 것;
·규소-함유층이 SiO2인 것;
·규소-함유층이 SiN인 것;
·규소-함유층이 SiON인 것;
·규소-함유층이 SiCN인 것; 및
·규소-함유층이 SiCOH인 것.
Si-함유 박막 형성 전구체, 그의 합성 방법 및 반도체, 광전지, LCD-TFT, 평판형 디바이스, 내화재 또는 항공분야의 제조를 위하여, 기상 증착 방법을 사용하여 규소-함유 필름을 증착하기 위한 그의 사용 방법을 개시한다.
하기 화학식을 갖는 유기실란 전구체가 개시되고:
아미디네이트
Figure pct00011
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클기일 수 있고, R3는 H, C1 내지 C6 알킬기, C3-C20 아릴 또는 헤테로시클기, 아미노기, 알콕시기, 또는 할로겐일 수 있다. R1과 R2 및/또는 R2와 R3는 결합하여 환형 사슬을 형성할 수 있다.
화학식에 나타낸 바와 같이, 질소 원자는 규소 원자에 결합하여, 오배위(pentacoordinate) Si(IV) 중심을 형성한다. 두자리(bidentate) 일가 음이온성 리간드의 주쇄의 탄소 원자는 sp2 혼성화되어, 리간드에 걸쳐 비국재화된(delocalized) 전하를 발생시킨다. 질소 및 탄소 원자 각각은 독립적으로 H, C1-C6 알킬기, 아릴기, 또는 헤테로시클기로 치환될 수 있다.
개시된 유기실란 전구체는 규소 원자의 하이퍼배위(hypercoordination)에 의해 다른 R4 - xSiLx 전구체보다 더 반응성일 수 있다. 다시 말해, 규소 원자는 +IV이지만, 3 개의 수소 결합 및 일가 음이온성 킬레이트 리간드는 규소 원자에 대한 총 5 개의 결합을 만든다.
통상의 기술자는 임의의 R기에서 수소 또는 더 적은 탄소 원자를 갖는 알킬, 아릴, 또는 헤테로시클기(즉, H, C1 또는 C2)의 사용이, 더 많은 탄소(즉, C4+)가 있는 알킬, 아릴, 또는 헤테로시클기를 갖는 분자와 비교하여 더 높은 휘발성을 갖는 분자를 생성할 것이라는 것을 인지할 것이다. -N-C-N- 리간드 상의 2 개의 질소 원자로부터 증가된 질소 함유량 때문에, 질소 또한 함유하는 규소-함유 필름, 예컨대 SiN, SiCN, SiON, MSiN, 또는 MSiON(여기서 M은 Hf, Zr, Ti, Nb, Ta, 또는 Ge와 같은 원소이다)을 생성하기 위해, 또는 그 필름 내의 질소량을 조절하기 위해 이 분자를 사용할 수 있다.
R3가 Me인 경우, 얻은 전구체는 하기 화학식을 갖는 아미디네이트 함유 화합물이고:
Figure pct00012
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클기일 수 있다. 바람직하게, R1 및 R2는 각각 독립적으로 C1 내지 C6 알킬기일 수 있다.
아미디네이트 전구체는 질소 대기 하에서 SiXH3의 탄화수소 용액(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3 -))을 순수 리간드 화합물, 예컨대 Li[R1NC(R2)NR3](또는 Li(amd)) 또는 그의 탄화수소 용액과 배합함으로써 합성할 수 있고, 이때 혼합 플라스크의 유출구는 오일 버블러에 연결되어, 공기 및 수분의 역류를 방지한다.
개시된 아미디네이트 전구체로의 제2 합성 경로는 불활성 대기 하에서 양성자첨가된(protonated) 리간드(R1N=C(R2)-NRH3)를 순수한 디알킬아미노실란[SiH3(NR2)] 또는 그의 탄화수소 용액과 반응을 수행하는 것이다.
대안으로서, 개시된 아미디네이트 전구체는 SiHnCl4 -n를 리간드 화합물(즉, Li[R1NC(R2)NR3] 또는 Li(amd))의 단일 당량과 반응시키고, 이어서, 선택된 금속 수소화물, 예컨대 LAH(리튬 알루미늄 수소화물)을 사용하여 환원시킴으로써 합성할 수 있다.
3 가지 모든 합성 경로에서, 얻은 용액을 실온에서 밤새 교반할 수 있다. 이 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산 또는 톨루엔을 포함한다. 얻은 현탁액은 여과되며, 그로부터 얻은 용액은 용매를 제거하기 위해 증류된다. 얻은 액체 또는 고체의 정제는 증류 또는 승화에 의해 각각 실시된다. 리간드 화합물 Li(amd)를 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은 금속유기 염(즉, 알킬 리튬)의 탄화수소 용액을 적절한 카르보디이미드(즉, R1N=C=NR3)의 탄화수소 용액과 배합함으로써 합성될 수 있다. 추가적인 합성 세부사항을 실시예에서 제공한다.
예시적인 아미디네이트 전구체는:
Figure pct00013
Figure pct00014
Figure pct00015
를 포함한다.
바람직하게, 아미디네이트 전구체는 SiH3(NiPr-amd)이다.
R3가 아미노기(즉, NR3R4)일 때, 얻은 전구체는 하기 화학식을 갖는 구아니디네이트 함유 화합물이고:
구아니디네이트
Figure pct00016
상기 식에서, R1, R2, R3 및 R4는 각각 독립적으로 H, C1 내지 C6 알킬기, C3-C20 아릴, 또는 헤테로시클일 수 있다. 다른 분자와 비교했을 때 그의 증가된 질소 함유량에 의해, 이 분자는 질소 또한 함유하는 규소-함유 필름, 예컨대 SiN 또는 SiON을 생성하기 위해, 또는 SiN 또는 SiON 함유 필름 내의 질소량을 조절하기 위해 사용될 수 있다.
구아니디네이트 전구체는 질소 대기 하에서 SiXH3의 탄화수소 용액(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3 -))을, 순수 리간드 화합물, 예컨대 Li[R1NC(NR3R4)NR2](또는 Li(gnd)) 또는 그의 탄화수소 용액과 배합함으로써 합성할 수 있고, 이때 혼합 플라스크의 유출구는 오일 버블러에 연결되어 공기 및 수분의 역류를 방지한다.
개시된 구아니디네이트 전구체로의 제2 합성 경로는 불활성 대기 하에서 양성자첨가된 리간드(R1N=C(NR3R4)-NR2H)를 순수한 디알킬아미노실란[SiH3(NR2)] 또는 그의 탄화수소 용액과 반응을 수행하는 것이다.
대안으로서, 개시된 구아니디네이트 전구체는 SiHnCl4 -n를 리간드 화합물(즉, Li[R1NC(NR3R4)NR2] 또는 Li(gnd))의 단일 당량과 반응시키고, 이어서 선택된 금속 수소화물, 예컨대 LAH(리튬 알루미늄 수소화물)을 사용하여 환원함으로써 합성할 수 있다.
3 가지 모든 합성 경로에서, 얻은 용액을 실온에서 밤새 교반할 수 있다. 이 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산 또는 톨루엔을 포함한다. 얻은 현탁액은 여과되며, 그로부터 얻은 용액은 용매를 제거하기 위해 증류된다. 얻은 액체 또는 고체의 정제는 증류 또는 승화에 의해 각각 실시된다. 리간드 화합물 Li(gnd)를 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은 금속유기 염(즉, 리튬 아미드 - Li(NR3R4))의 탄화수소 용액을 적절한 카르보디이미드(즉, R1N=C=NR2)의 탄화수소 용액과 배합함으로써 합성될 수 있다.
예시적인 구아니디네이트 전구체는:
Figure pct00017
Figure pct00018
Figure pct00019
Figure pct00020
Figure pct00021
를 포함한다.
바람직하게, 구아니디네이트 전구체는 H3Si(-(iPr)N-C(NMe2)-N(iPr)-)이다.
R3가 알콕시기(즉, OR3)일 때, 얻은 전구체는 하기 화학식을 갖는 이소우리에이트 함유 화합물이고:
이소우리에이트
Figure pct00022
상기 식에서, R1, R2, 및 R3는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있다. 다른 분자와 비교했을 때, 그의 증가된 산소 함유량에 의해, 이 분자는 산소 또한 함유하는 규소-함유 필름, 예컨대 SiO2 또는 SiON을 생성하기 위해, 또는 SiO2 또는 SiON 함유 필름 내의 산소량을 조절하기 위해 사용될 수 있다.
이소우리에이트 전구체는 질소 대기 하에서 SiXH3의 탄화수소 용액(여기서, X는 Cl, Br, I, 또는 트리플레이트(SO3CF3 -))을 순수한 리간드 화합물, 예컨대 Li[R1NC(OR3)NR2](또는 Li(iso)), 또는 그의 탄화수소 용액과 배합함으로써 합성할 수 있고, 이때 혼합 플라스크의 유출구는 오일 버블러에 연결되어 공기 및 수분의 역류를 방지한다.
개시된 이소우리에이트 전구체로의 제2 합성 경로는 불활성 대기 하에서 양성자첨가된 리간드(R1N=C(OR3)-NR2H)를 순수한 디알킬아미노실란[SiH3(NR2)] 또는 그의 탄화수소 용액과 반응시켜 수행하는 것이다.
대안으로서, 개시된 이소우리에이트 전구체는 SiHnCl4 -n를 리간드 화합물(즉, Li[R1NC(OR3)NR2] 또는 Li(iso))의 단일 당량과 반응시키고, 이어서, 선택된 금속 수소화물, 예컨대 LAH(리튬 알루미늄 수소화물)을 사용하여 환원함으로써 합성될 수 있다.
3 가지 모든 합성 경로에서, 얻은 용액을 실온에서 밤새 교반할 수 있다. 이 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산 또는 톨루엔을 포함한다. 얻은 현탁액은 여과되며, 그로부터 얻은 용액은 용매를 제거하기 위해 증류된다. 얻은 액체 또는 고체의 정제는 증류 또는 승화에 의해 각각 실시된다. 리간드 화합물 Li(iso)를 제외하고, 모든 출발 물질은 상업적으로 입수가능하다. 리간드 화합물은 금속유기 염(즉, 리튬 알콕시드 - Li(OR3))의 탄화수소 용액을 적절한 카르보디이미드(즉, R1N=C=NR2)의 탄화수소 용액과 배합함으로써 합성될 수 있다.
예시적인 이소우리에이트 전구체는:
Figure pct00023
Figure pct00024
Figure pct00025
를 포함한다.
바람직하게, 이소우리에이트 전구체는 H3Si(-(iPr)N-C(OMe)-N(iPr)-)이다.
R3가 할로겐(즉, X)일 때, 얻은 전구체는 하기 화학식을 갖는 α-할로아미디네이트 함유 화합물이고:
α-할로아미디네이트
Figure pct00026
상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있고, X는 Cl, Br, I 또는 F일 수 있다. 할로겐 원자는 비정질 규소의 원자층 증착에서 등각성을 개선할 수 있다.
α-할로아미디네이트 전구체는 뉴바우어(Neubauer) 등에 의해 설명된 바와 같이(문헌[Chemische Berichte, 1964, 97(5), 1232-1245]), 이-치환된 우레아 유도체 R1HN-(C=O)-NHR2의 탄화수소 용액을 O=CX2의 탄화수소 용액과 배합함으로써 합성될 수 있다. 1 몰 당량의 적절한 염기(예컨대, 칼륨 헥사메틸디실라지드)의 탄화수소 용액을 반응 혼합물에 첨가하고, 얻은 현탁액을 여과하여 금속 염 부산물을 제거한다. 얻은 용액을 SiRH3(여기서, R은 페닐, 톨릴, 또는 다른 적절한 아릴 치환기이다)과 반응시킬 수 있다. 얻은 혼합물을 분별 증류로 정제할 수 있다. 이 합성 방법에 적합한 예시적인 탄화수소 용액은 디에틸 에테르, 펜탄, 헥산 또는 톨루엔을 포함한다. 모든 출발 물질은 상업적으로 입수가능하다.
예시적인 α-할로아미디네이트 전구체는:
Figure pct00027
Figure pct00028
를 포함한다.
바람직하게, α-할로이미디네이트는 H3Si(-(iPr)N-C(Cl)-N(iPr)-)이다.
또한, 기상 증착 방법을 위해 개시된 유기실란 전구체를 사용하는 방법이 개시된다. 개시된 방법은 규소-함유 필름의 증착을 위한 유기실란 전구체의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 디바이스의 제조에 유용할 수 있다. 방법은: 기판을 제공하는 단계; 개시된 유기실란 전구체 중 하나 이상을 포함하는 증기를 제공하는 단계; 및 증기를 기판과 접촉하여(및 통상적으로는 증기를 기판에 보내어) 기판의 하나 이상의 표면 상에 규소-함유층을 형성하는 단계를 포함한다.
또한 개시된 방법은 기상 증착 공정을 사용하여 기판 상에 2금속(bimetal)-함유층을 형성하는 것을, 및 보다 구체적으로는 SiMOx(여기서, x는 4이고 M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족원소(예컨대, Er), 또는 그의 조합이다)를 증착하는 것을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 평판형 디바이스의 제조에 유용할 수 있다. 방법은: 기판을 제공하는 단계; 개시된 유기실란 전구체 중 하나 이상을 포함하는 증기를 제공하는 단계 및 증기를 기판과 접촉하여(및 통상적으로는 증기를 기판에 보내어) 기판의 하나 이상의 표면 상에 2 금속-함유층을 형성하는 단계를 포함한다. 산소 공급원, 예컨대 O3, O2, H2O, NO, H2O2, 아세트산, 포르말린, 파라-포름알데히드, 그의 산소 라디칼 및 그의 조합, 그러나 바람직하게는 O3 또는 플라즈마 처리된 O2가 증기와 함께 제공될 수 있다.
개시된 유기실란 전구체는 통상의 기술자에게 공지된 임의의 증착 방법을 사용하여 규소-함유 필름을 증착하는 데에 사용될 수 있다. 적합한 증착 방법의 예는 비제한적으로, 종래의 화학 기상 증착(CVD), 저압 화학 기상 증착(LPCVD), 원자층 증착(ALD), 펄스 화학 기상 증착(P-CVD), 열 ALD, 열 CVD, 플라즈마 강화 원자층 증착(PE-ALD), 플라즈마 강화 화학 기상 증착(PE-CVD), 공간 ALD, 또는 그의 조합을 포함한다. 바람직하게, 증착 방법은 ALD, 공간 ALD, 또는 PE-ALD이다.
유기실란 전구체의 증기는 하나 이상의 기판을 포함하는 반응 챔버 내로 도입된다. 반응 챔버 내의 온도 및 압력과 기판의 온도는, 기판 상에 유기실란 전구체의 적어도 일부가 기상 증착되기에 적합한 조건으로 유지된다. 즉, 기화된 전구체의 챔버로의 도입 후, 챔버 내의 조건은 증기화된 전구체의 적어도 일부가 기판 상에 증착되어 규소-함유 필름을 형성하도록 하는 것이다. 또한 공반응물은 Si-함유층의 형성을 돕는 데 사용될 수 있다.
반응 챔버는 증착 방법이 수행되는 임의의 인클로저, 또는 디바이스의 챔버일 수 있고, 예컨대 비제한적으로, 평행판형 반응기, 냉벽(cold-wall)형 반응기, 열벽(hot-wall)형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 그 외 이러한 유형의 증착 시스템이 있다. 모든 이러한 예시적인 반응 챔버는 ALD 반응 챔버로서 기능할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr 범위의 압력으로 유지될 수 있다. 또한, 반응 챔버 내의 온도는 약 20 ℃ 내지 약 600 ℃의 범위일 수 있다. 통상의 기술자는 원하는 결과를 달성하기 위하여 단지 실험을 통해 온도를 최적화할 수 있다는 것을 인지할 것이다.
반응기의 온도는 기판 홀더의 온도를 제어함으로써 또는 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용되는 디바이스는 본 기술 분야에서 공지되어 있다. 충분한 성장 속도로 원하는 물리적 상태 및 조성을 갖는, 원하는 필름을 얻기에 충분한 온도로 반응기 벽이 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적 온도 범위는 약 20 ℃ 내지 약 600 ℃를 포함한다. 플라즈마 증착 공정을 이용할 경우, 증착 온도는 약 20 ℃ 내지 약 550 ℃의 범위일 수 있다. 대안으로서, 열 공정이 행해지는 경우, 증착 온도는 약 300 ℃ 내지 약 600 ℃의 범위일 수 있다.
대안으로서, 충분한 성장 속도로 원하는 물리적 상태 및 조성을 갖는, 원하는 규소-함유 필름을 얻기에 충분한 온도로 기판이 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 예시적 온도 범위는 150 ℃ 내지 600 ℃를 포함한다. 바람직하게, 기판의 온도는 500 ℃ 이하로 유지된다.
그 위로 규소-함유 필름이 증착될 기판의 종류는 의도된 최종 용도에 따라 변할 것이다. 몇몇 실시양태에서, 기판은 수소화된 탄소, 예를 들면 CHx(여기서, x는 0 초과이다)로 제조된 패터닝된 포토레지스트 필름일 수 있다. 몇몇 실시양태에서, 기판은 MIM, DRAM, 또는 FeRam 기술에서 유전체 물질로서 사용되는 산화물(예를 들면, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 삼원 산화물계 물질 등)로부터 또는 구리와 저유전율(low-k)층 사이에 산소 배리어로서 사용되는 질화물계 필름(예를 들면, TaN)으로부터 선택될 수 있다. 다른 기판은 반도체, 광전지, LCD-TFT, 또는 평판 디바이스의 제조에서 사용될 수 있다. 이러한 기판의 예는, 고체 기판, 예컨대 금속 질화물 함유 기판(예를 들면, TaN, TiN, WN, TaCN, TiCN, TaSiN, 및 TiSiN); 절연체(예를 들면, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3, 및 바륨 스트론튬 티타네이트); 이러한 물질의 임의의 수의 조합을 포함하는 다른 기판을 포함하나, 이에 제한되지 않는다. 이용한 실제 기판은 또한 이용한 특정 전구체 실시양태에 의존할 수 있다. 그러나 많은 예들에서, 이용한 바람직한 기판은 수소화된 탄소, TiN, SRO, Ru, 및 Si형 기판, 예컨대 폴리규소 또는 결정질 규소 기판으로부터 선택될 것이다.
개시된 유기실란 전구체는 순수한 형태 또는 적합한 용매, 예컨대 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄, 3차 아민, 아세톤, 테트라히드로푸란, 에탄올, 에틸메틸케톤, 1,4-디옥산, 또는 그 밖의 것들과의 블렌드로 공급될 수 있다. 개시된 전구체는 용매 내에서 변하는 농도로 존재할 수 있다. 예를 들면, 얻은 농도는 약 0.05 M 내지 약 2 M의 범위일 수 있다.
순수한 또는 블렌딩된 유기실란 전구체는 종래의 수단, 예컨대 튜빙 및/또는 유량계에 의해 증기 형태로 반응기에 도입된다. 종래의 기화 수단, 예컨대 직접 기화, 증류를 통해 순수한 또는 블렌딩된 전구체 용액을 기화함으로써, 버블링에 의해, 또는 승화기(sublimator), 예컨대 수(Xu) 등의 PCT 공개공보 WO2009/087609에 개시된 것을 사용함으로써 증기 형태의 전구체를 생성할 수 있다. 순수한 또는 블렌딩된 전구체는 기화기에 액체 상태로 공급될 수 있고, 여기서 반응기로 도입되기 전에 기화된다. 대안으로서, 전구체를 함유하는 용기 내로 캐리어 가스를 통과시킴으로써, 또는 전구체 내로 캐리어 가스를 버블링함으로써 순수한 또는 블렌딩된 전구체가 기화될 수 있다. 캐리어 가스는 Ar, He, 또는 N2 및 그의 혼합물을 포함할 수 있으나, 이에 제한되지는 않는다. 또한 캐리어 가스를 사용한 버블링은 순수한 또는 블렌딩된 전구체 용액에 존재하는 임의의 용해된 산소를 제거할 수 있다. 따라서 캐리어 가스 및 전구체는 증기로서 반응기에 도입된다.
필요한 경우, 용기는 유기실란 전구체가 그의 액상으로 있도록 및 충분한 증기압을 가지도록 허용하는 온도로 가열될 수 있다. 용기는 예를 들면 0-150 ℃ 범위의 온도로 유지될 수 있다. 통상의 기술자는 용기의 온도가 기화된 유기실란 전구체의 양을 제어하기 위해 공지의 방식으로 조절될 수 있다는 것을 인지한다.
개시된 전구체 외에, 반응 가스가 또한 반응기로 도입될 수 있다. 반응 가스는 산화제, 예컨대 O2; O3; H2O; H2O2; 중 하나; 산소 함유 라디칼, 예컨대 O· 또는 OH·; NO; NO2; 카르복실산, 예컨대 포름산, 아세트산, 프로피온산; NO, NO2, 또는 카르복실산의 라디칼종; 파라-포름알데히드; 및 그의 혼합물일 수 있다. 바람직하게, 산화제는 O2, O3, H2O, H2O2, 그의 산소 함유 라디칼, 예컨대 O· 또는 OH·, 및 그의 혼합물로 구성되는 군으로부터 선택된다. 바람직하게, ALD 공정을 수행하는 경우, 공반응물은 플라즈마 처리된 산소, 오존, 또는 그의 조합이다. 산화 가스를 사용하는 경우, 얻은 규소 함유 필름은 산소를 또한 함유할 것이다.
대안으로서, 반응 가스는 환원제, 예컨대 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 9-보라비시클로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 그의 라디칼종, 및 그의 혼합물 중 하나일 수 있다. 바람직하게, 환원제는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그의 수소 라디칼 또는 그의 혼합물이다. 환원제를 사용할 경우, 얻은 규소 함유 필름은 순수한 Si일 수 있다.
반응 가스를 그의 라디칼 형태로 분해하기 위하여, 플라즈마로 반응 가스를 처리할 수 있다. 플라즈마로 처리하는 경우, 또한 N2를 환원제로서 이용할 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 전력으로 발생될 수 있다. 플라즈마는 반응기 그 자체 내에서 발생할 수 있거나, 존재할 수 있다. 대안으로서, 플라즈마는 일반적으로, 예를 들면 원격으로 위치한 플라즈마 시스템에서 반응기로부터 제거된 위치에 있을 수 있다. 통상의 기술자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
또한 개시된 유기실란 전구체는, 그의 전체 내용이 본원에 인용에 의해 포함된 PCT 공개공보 번호 WO2011/123792에 개시된 바와 같이, SiN 또는 SiCN 필름을 형성하기 위하여 할로실란 또는 폴리할로디실란, 예컨대 헥사클로로디실란 펜타클로로디실란, 또는 테트라클로로디실란, 및 하나 이상의 공반응물 가스와 함께 사용될 수 있다.
또한 원하는 규소-함유 필름이 비제한적으로, 예를 들어, Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족원소(예컨대, Er) 또는 그의 조합과 같은 다른 원소를 함유하는 경우, 공반응물은 비제한적으로, 금속 알킬, 예컨대 Ln(RCp)3 또는 Co(RCp)2, 금속 아민, 예컨대 Nb(Cp)(NtBu)(NMe2)3 및 그의 임의의 조합으로부터 선택되는 금속-함유 전구체를 포함할 수 있다.
유기실란 전구체 및 하나 이상의 공반응물은 반응 챔버 내로 동시에(화학 기상 증착), 순차적으로(원자층 증착), 또는 다른 조합으로 도입될 수 있다. 예를 들면, 유기실란 전구체는 한 펄스에 도입될 수 있고, 2 개의 추가 금속 공급원은 별도 펄스에서 함께 도입될 수 있다[개조된(modified) 원자층 증착]. 대안으로서, 유기실란 전구체의 도입 전에 미리 반응 챔버가 공반응물을 함유할 수 있다. 공반응물은 국재화된(localized) 플라즈마 시스템을 통해, 또는 반응 챔버로부터 원격으로 통과하여, 라디칼로 분해될 수 있다. 대안으로서, 유기실란 전구체는 다른 금속 공급원이 펄스에 의해 도입되는 동안 연속적으로 반응 챔버에 도입될 수 있다(펄스-화학 기상 증착). 각각의 예에서, 펄스 다음으로, 도입된 성분의 과량을 제거하기 위한 퍼지 또는 배기 단계가 올 수 있다. 각각의 예에서, 펄스는 약 0.01 s 내지 약 10 s, 대안으로서 약 0.3 s 내지 약 3 s, 대안으로서 약 0.5 s 내지 약 2 s 범위의 시간 간격 동안 지속될 수 있다. 다른 대안으로서, 유기실란 전구체 및 하나 이상의 공반응물은 그 아래에서 몇몇의 웨이퍼를 고정하는 서셉터가 회전하는 샤워 헤드로부터 동시에 분사될 수 있다(공간 ALD).
하나의 비제한적인 예시적 원자층 증착형 공정에서, 유기실란 전구체의 기상이 반응 챔버로 도입되고, 여기서 적합한 기판과 접촉한다. 이어서 초과 유기실란 전구체는 퍼징 및/또는 반응 챔버를 배기함으로써 반응 챔버에서 제거될 수 있다. 산소 공급원은 반응 챔버 내로 도입되고, 여기서 흡수된 유기실란 전구체와 자기-제한 방식으로 반응한다. 임의의 초과 산소 공급원은 퍼징 및/또는 반응 챔버를 배기함으로써 반응 챔버로부터 제거된다. 원하는 필름이 산화 규소 필름이면, 이 두 단계 공정은 원하는 필름 두께를 제공할 수 있고, 또는 필요 두께를 갖는 필름을 얻을 때까지 반복될 수 있다.
대안으로서, 원하는 필름이 규소 금속 옥시드 필름(즉, SiMOx, 여기서 x는 4일 수 있고, M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족원소(예컨대, Er), 또는 그의 조합이다)인 경우, 상기의 두 단계 공정 다음에 금속-함유 전구체의 제2 증기의 반응 챔버로의 도입이 올 수 있다. 금속-함유 전구체는 증착된 규소 금속 옥시드 필름의 성질에 기초하여 선택될 것이다. 반응 챔버로의 도입 후, 금속-함유 전구체는 기판과 접촉한다. 임의의 초과 금속-함유 전구체는 퍼징 및/또는 반응 챔버를 배기함으로써 반응 챔버로부터 제거된다. 다시 한 번, 산소 공급원을 반응 챔버 내로 도입하여 금속-함유 전구체와 반응시킬 수 있다. 초과 산소 공급원은 퍼징 및/또는 반응 챔버를 배기함으로써 반응 챔버로부터 제거된다. 원하는 필름 두께를 달성한 경우, 공정은 종료될 수 있다. 그러나, 더 두꺼운 필름을 원하는 경우, 전체 네 단계 공정을 반복할 수 있다. 유기실란 전구체, 금속-함유 전구체 및 산소 공급원을 교대로 제공함으로써, 원하는 조성 및 두께의 필름을 증착할 수 있다.
또한, 펄스 수를 변화시킴으로써, 원하는 화학양론적 M:Si 비를 갖는 필름을 얻을 수 있다. 예를 들면, 유기실란 전구체의 하나의 펄스 및 금속-함유 전구체의 하나의 펄스를 가짐으로써 SiMO2 필름을 얻을 수 있으며, 이때 각각의 펄스 다음에 산소 공급원의 펄스가 온다. 그러나, 통상의 기술자는 원하는 필름을 얻기 위해 요구되는 펄스의 수가, 얻은 필름의 화학양론적 비와 동일하지 않을 수 있다는 것을 인지할 것이다.
다른 대안에서, Si 또는 조밀한 SiCN 필름은 개시된 화합물 및 화학식 SiaH2a+2-bXb(여기서, X는 F, Cl, Br, 또는 I이고; a=1 내지 6이고; b=1 내지 (2a+2)이다)을 갖는 할로실란 화합물; 또는 화학식 SicH2c - dXd -(여기서 X는 F, Cl, Br, 또는 I이고; c=3-8이고; d=1 내지 2c이다)을 갖는 환형 할로실란 화합물을 사용하여 ALD 또는 개조된 ALD 공정을 통해 증착될 수 있다. 바람직하게, 할로실란 화합물은 트리클로로실란, 헥사클로로디실란(HCDS), 펜타클로로디실란(PCDS), 테트라클로로디실란, 또는 헥사클로로시클로헥사실란이다. 통상의 기술자는, Si-X 결합의 더 낮은 결합 에너지(즉, Si-Cl = 456 kJ/몰; Si-Br = 343 kJ/몰; Si-I = 339 kJ/몰) 때문에, 더 낮은 증착 온도가 필요한 경우, 이 화합물 내의 Cl을 Br 또는 I로 치환할 수 있다는 것을 인지할 것이다. 필요한 경우, 증착은 N-함유 공반응물, 예컨대 NH3를 더 이용할 수 있다. 개시된 전구체의 증기 및 할로실란 화합물은, 최종 필름의 원하는 농도에 따라, 반응기 내로 순차적으로 또는 동시에 도입될 수 있다. 전구체 주입의 선택된 순서는 목표한 원하는 필름 조성에 기초하여 결정될 것이다. 전구체 도입 단계는 증착된 층이 적합한 두께를 이룰 때까지 반복될 수 있다. 통상의 기술자는 공간 ALD 디바이스를 사용하는 경우, 도입 펄스가 동시적일 수 있다는 것을 인지할 것이다. PCT 공개공보 번호 WO2011/123792에 설명된 바와 같이, 전구체의 도입의 순서는 변할 수 있고, SiCN 필름 내의 탄소 및 질소의 양을 조절하기 위하여, 증착은 NH3 공반응물과 함께 또는 그가 없이 수행될 수 있다.
상기 논의한 공정으로 생긴 규소-함유 필름은 Si, SiO2, SiN, SiON, SiCN, SiCOH, 또는 MSiOx를 포함할 수 있고, 여기서 M은 원소, 예컨대 Hf, Zr, Ti, Nb, Ta, 또는 Ge이고, x는 물론 M의 산화 상태에 따라, 4일 수 있다. 통상의 기술자는 적절한 유기실란 전구체 및 공반응물을 판단력 있게 선택함에 의해, 원하는 필름 조성을 얻을 수 있다는 것을 인지할 것이다.
원하는 필름 두께를 얻으면, 필름은 추가의 공정, 예컨대 열 어닐링, 노(furnace)-어닐링, 급속 열 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출을 거칠 수 있다. 통상의 기술자는 이러한 추가 공정 단계를 수행하기 위해 이용되는 시스템 및 방법을 인지한다. 예를 들면, 규소-함유 필름은 불활성 대기, H-함유 대기, N-함유 대기, O-함유 대기, 또는 그의 조합 하에서, 약 200 ℃ 내지 약 1000 ℃ 범위의 온도에, 약 0.1 초 내지 약 7200 초 범위의 시간 동안 노출될 수 있다. 가장 바람직하게, 온도는 H-함유 대기 하에서, 3600 초 미만 동안, 600 ℃이다. 얻은 필름은 더 적은 불순물을 함유할 수 있고, 따라서 개선된 성능 특성을 가질 수 있다. 어닐링 단계는 증착 공정이 수행된 동일한 반응 챔버 내에서 수행될 수 있다. 대안으로서, 기판은 반응 챔버로부터 제거될 수 있고, 어닐링/플래시 어닐링 공정은 별도의 장치에서 수행된다. 임의의 상기 전처리 방법, 하지만 구체적으로 열 어닐링은 규소-함유 필름의 탄소 및 질소 오염을 감소시키는 데에 효과적인 것으로 밝혀졌다.
실시예
다음의 비제한적 실시예는 본 발명의 실시양태를 더 도시하기 위해 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도되지 않으며, 본원에 설명된 발명의 범위를 제한하도록 의도되지 않는다.
실시예 1
SiH 3 ( N i Pr - amd )의 합성: 교반하면서, 메틸 리튬의 디에틸 에테르 용액(91 mL, 1.6 M, 0.146 몰)을 디에틸 에테르(150 mL) 내의 N, N'-디이소프로필카르보디이미드의 -40 ℃ 용액(22.5 mL, 0.145 몰)에 천천히 첨가했다. 첨가를 완료한 후, 얻은 무색의 현탁액을 실온으로 가온하고, 무색의 용액을 형성하도록 3 시간 동안 교반했다. 별도의 플라스크는 -78 ℃(드라이 아이스/아세톤) 응축기를 구비하였고, 디에틸 에테르(100 mL)로 채워졌고, -78 ℃로 냉각되었다. 교반하면서, 모노클로로실란(13.2 g, 0.198 몰)을 제2 플라스크 내로 천천히 응축했고, 그 다음에 제1 단계에서의 리튬 아미디네이트 용액을 천천히 첨가했다. 초기에, 조금의 발연이 관찰되었고, 이어서 무색의 침전물이 형성되었다. 첨가 완료 후, 현탁액을 밤새 활발하게 교반하여 천천히 실온이 되게 했다. 셀라이트(Celite)의 패드를 포함하는 중간 유리 프릿 위로 현탁액을 여과하고 용매를 제거하기 위해 얻은 무색의 용액을 비그럭스(Vigreux) 칼럼을 사용하여 대기압에서 증류했다. 리시빙 플라스크를 -78 ℃ 조에 다시 두고 냉각했으며, 원하는 생성물이 25-28 ℃/200-300 mTorr에서 무색의 액체로서 증류되었다. 수율: 7.9 g(31.6 %). 도 1은 DiPAS와 비교하여 이 전구체에 대한 온도에 따른 중량 손실의 백분율을 나타내는 TGA 그래프이다.
Figure pct00029
실시예 2
SiH 3 ( N t Bu - amd )의 합성: 플라스크는 -78 ℃(드라이 아이스/아세톤) 응축기를 구비하였고, 디에틸 에테르(100 mL)로 채워졌고, -78 ℃로 냉각되었다. 교반하면서, 모노클로로실란(8.9 g, 0.134 몰)을 플라스크 내로 천천히 응축했다. 제2 플라스크에서, 메틸 리튬의 디에틸 에테르 용액(101 mL, 1.6 M, 0.162 몰)을 디에틸 에테르(100 mL) 내의 N, N'-디-tert부틸카르보디이미드의 -40 ℃ 용액(25 g, 0.162 몰)에 천천히 첨가했다. 첨가를 완료한 후, 얻은 리튬 아미디네이트의 무색 현탁액을 실온으로 가온했고, 무색의 용액을 형성하도록 1 시간 동안 교반했다. 얻은 Li-amd 용액을 0 ℃로 냉각했고, 캐뉼라를 사용해 디에틸 에테르 내의 모노클로로실란(MCS)의 -78 ℃ 용액으로 첨가시켰다. 초기에, 조금의 발연이 관찰되었고, 이어서 무색의 침전물이 형성되었다. 첨가 완료 후, 현탁액을 활발하게 교반하여 실온이 되게 했다.
교반을 정지하고, 셀라이트의 베드가 있는 중간 유리 프릿 위로 여과하기 전에, 고체가 침강되게 하였다. 얻은 무색의 용액을 건조된 앰버리스트(Amberlyst) A21 수지(5 g)를 함유하는 플라스크로 옮기고, 살짝 교반하고, 실온에서 14 시간 동안 놔두었다. 이어서, 용액을 여과하고 단경로(short path) 칼럼을 사용하여 대기압에서 증류하여 용매 및 고휘발성 물질을 제거하여 매우 점성의 옅은 황색 액체를 얻었다. 리시빙 플라스크를 다시 놓고 -78 ℃로 냉각했다. 원하는 생성물이, 실온에 놔두면 천천히 결정화되는 무색의 액체로서 55-61 ℃/100 mTorr에서 증류되었다. 수율: 14.5 g (54.1 %). MP = 36 ℃,
Figure pct00030
실시예 3
SiH3(NiPr-amd)의 ALD: 실시예 1에서 제조된 SiH3(NiPr-amd)를 사용하여 ALD 시험을 수행했고, 실온에서 그릇에 두었다. ~0.5 Torr로 고정된 반응기 압력으로 오존을 사용하는 것과 같은 통상적인 ALD 조건을 사용했다. 도 2에서 보는 바와 같이, 완전한 표면 포화 및 반응을 갖는 ALD 거동을 순수한 규소 웨이퍼 상에서 275 ℃로 평가했다. 통상의 기술자는 상이한 증착 장비가 상이한 전구체 도입 시간에서 표면 포화를 나타낼 수 있다는 것을 인지할 것이다. 굴절률은 SiO2 필름의 특성이다(순수한 SiO2는 1.46의 굴절률을 갖는다). 전구체 도입 시간의 변화에 의한 굴절률 변화는 필름 내의 불순물을 나타낸다.
첨부한 특허청구범위에 표기된 바와 같은 본 발명의 원리 및 범위 내에서, 통상의 기술자에 의해, 본 발명의 본질을 설명하기 위해 본원에서 설명되고 도시된 세부사항, 물질, 단계 및 부품의 배열에서의 많은 추가적인 변화가 이루어질 수 있다는 것이 이해될 것이다. 따라서, 본 발명은, 상기에서 주어진 실시예 및/또는 첨부한 도면의 특정 실시양태에 의해 제한되도록 의도되지는 않는다.

Claims (14)

  1. 하기 화학식을 갖는, Si-함유 박막 형성 전구체.
    Figure pct00031

    상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클기일 수 있고, R3는 H, C1 내지 C6 알킬기, C3-C20 아릴 또는 헤테로시클기, 아미노기, 알콕시기, 또는 할로겐일 수 있다
  2. 제1항에 있어서, 하기 화학식을 갖는, Si-함유 박막 형성 전구체.
    Figure pct00032

    상기 식에서, R1 및 R2는 각각 독립적으로 C1 내지 C6 알킬기일 수 있다
  3. 제2항에 있어서, 분자가 SiH3(NiPr-amd)인, Si-함유 박막 형성 전구체.
  4. 제1항에 있어서, 하기 화학식을 갖는, Si-함유 박막 형성 전구체.
    Figure pct00033

    상기 식에서, R1, R2, R3 및 R4는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있다
  5. 제1항에 있어서, 하기 화학식을 갖는, Si-함유 박막 형성 전구체.
    Figure pct00034

    상기 식에서, R1, R2, 및 R3는 각각 독립적으로 H, C1 내지 C6 알킬기, 또는 C3-C20 아릴 또는 헤테로시클일 수 있다
  6. 제1항에 있어서, 하기 화학식을 갖는, Si-함유 박막 형성 전구체.
    α
    Figure pct00035

    상기 식에서, R1 및 R2는 각각 독립적으로 H, C1 내지 C6 알킬기 또는 C3-C20 아릴 또는 헤테로시클일 수 있고, X는 Cl, Br, I 또는 F일 수 있다
  7. 하나 이상의 기판이 그 안에 배치된 반응기로 하나 이상의 제1항 내지 제6항의 유기실란 전구체를 도입하는 단계;
    기상 증착 방법을 사용하여, 유기실란 전구체의 적어도 일부가 하나 이상의 기판 상에 증착되어 Si-함유층을 형성하는 단계
    를 포함하는, 기판 상의 Si-함유층의 증착 방법.
  8. 제7항에 있어서, 반응기로 하나 이상의 공반응물을 도입하는 단계를 더 포함하는 방법.
  9. 제8항에 있어서, 공반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 그의 라디칼, 및 그의 조합으로 이루어지는 군으로부터 선택되고, 바람직하게는 플라즈마 처리된 산소 또는 오존인, 방법.
  10. 제8항에 있어서, 공반응물이 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 9-보라비실로[3,3,1]논, 트리메틸보론, 트리에틸보론, 보라진), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 그의 라디칼종 및 그의 혼합물로 이루어지는 군으로부터 선택되는, 방법.
  11. 제10항에 있어서, 공반응물이 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 그의 수소 라디칼, 및 그의 혼합물로 이루어지는 군으로부터 선택되는, 방법.
  12. 제10항에 있어서, 공반응물이 SiHCl3, Si2Cl6, Si2HCl5, Si2H2Cl4, 및 시클로-Si6H6Cl6로 이루어지는 군으로부터 선택되는, 방법.
  13. 제7항에 있어서, 기상 증착 공정이 화학 기상 증착 공정인, 방법.
  14. 제7항에 있어서, 기상 증착 공정이 원자층 증착 공정인, 방법.
KR1020147023486A 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체 KR20150034123A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261674103P 2012-07-20 2012-07-20
US61/674,103 2012-07-20
PCT/US2013/051244 WO2014015232A1 (en) 2012-07-20 2013-07-19 Organosilane precursors for ald/cvd silicon-containing film applications

Publications (1)

Publication Number Publication Date
KR20150034123A true KR20150034123A (ko) 2015-04-02

Family

ID=49949268

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157001339A KR20150036114A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
KR1020147023486A KR20150034123A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체
KR1020157001394A KR20150036122A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157001339A KR20150036114A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020157001394A KR20150036122A (ko) 2012-07-20 2013-07-19 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체

Country Status (7)

Country Link
US (3) US9938303B2 (ko)
EP (1) EP2875166B1 (ko)
JP (3) JP6242026B2 (ko)
KR (3) KR20150036114A (ko)
CN (1) CN104080944B (ko)
TW (4) TWI579292B (ko)
WO (4) WO2014015241A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190058566A (ko) * 2016-10-12 2019-05-29 다우 실리콘즈 코포레이션 티오(다이)실란

Families Citing this family (399)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (ko) * 2014-03-21 2015-10-02 삼성전자주식회사 기체 차단 필름, 이를 포함하는 냉장고 및 기체 차단 필름의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
WO2016054566A1 (en) * 2014-10-02 2016-04-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organodisilane precursors for ald/cvd silicon-containing film applications
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN104341447B (zh) * 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
CN104447838B (zh) * 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6689886B2 (ja) * 2015-05-22 2020-04-28 ダウ シリコーンズ コーポレーション ペンタクロロジシラン
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127044A1 (en) * 2016-01-18 2017-07-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions for ald/cvd of silicon-containing films
GB201601221D0 (en) * 2016-01-22 2016-03-09 Semblant Ltd Coated electrical assembly
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR102130459B1 (ko) * 2016-02-29 2020-07-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102347201B1 (ko) * 2017-10-25 2022-01-04 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3728688B1 (en) * 2017-12-20 2021-11-10 Basf Se Process for the generation of metal-containing films
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10367415B1 (en) * 2018-08-28 2019-07-30 Ferric Inc. Processor module with integrated packaged power converter
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TWI723856B (zh) * 2020-04-28 2021-04-01 逢甲大學 大氣常壓低溫電漿沉積抗刮疏水層的方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220350242A1 (en) * 2021-04-23 2022-11-03 Entegris, Inc. High quantum efficiency dry resist for low exposure dose of euv radiation
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2590039A (en) 1948-07-30 1952-03-18 Socony Vacuum Oil Co Inc Reaction of organosiliconhalides with organodithiols and diselenyl substituted organo compounds, and products thereof
NL126402C (ko) 1964-11-16
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
FR2575466B1 (fr) 1984-12-27 1987-02-20 Centre Nat Rech Scient Nouveaux complexes de silicium hexacoordines, leur procede de preparation et leur application
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP2716330B2 (ja) 1992-11-13 1998-02-18 セントラル硝子株式会社 低反射ガラスおよびその製法
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6736993B1 (en) 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030118725A1 (en) * 2001-11-02 2003-06-26 Shipley Company, L.L.C. Precursor compounds for metal oxide film deposition and methods of film deposition using the same
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
DE102006029430A1 (de) * 2006-06-27 2008-01-03 Wacker Chemie Ag Verfahren zur Herstellung von siliciumorganischen Verbindungen durch Hydrosilylierung in ionischen Flüssigkeiten
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
KR101097112B1 (ko) * 2006-11-02 2011-12-22 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR20100016477A (ko) 2007-04-12 2010-02-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Ald/cvd용의 지르코늄, 하프늄, 티타늄 및 규소 전구체
KR101593352B1 (ko) 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5666433B2 (ja) 2008-06-05 2015-02-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタニド含有前駆体の調製およびランタニド含有膜の堆積
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101308572B1 (ko) 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
WO2011020028A2 (en) * 2009-08-14 2011-02-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Silane blend for thin film vapor deposition
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8535760B2 (en) 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
US8932674B2 (en) * 2010-02-17 2015-01-13 American Air Liquide, Inc. Vapor deposition methods of SiCOH low-k films
US10360605B2 (en) 2010-03-29 2019-07-23 Rakuten, Inc. Server apparatus, information providing method, information providing program, recording medium recording the information providing program, and information providing system
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US8404878B2 (en) 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US20120277457A1 (en) 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
KR20120078909A (ko) 2011-01-03 2012-07-11 닛산 가가쿠 고교 가부시키 가이샤 신규한 유기아미노 규소 화합물 및 이를 이용한 규소 함유 박막
CN103443108A (zh) 2011-02-05 2013-12-11 株式会社普利司通 金属络合物催化剂以及使用其的聚合方法
WO2012176988A1 (en) 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Organometallic compound, preparing method of the same, and preparing method of thin film using the same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
DE102012002408B4 (de) 2012-02-09 2015-09-24 Fachhochschule Düsseldorf Messanordnung und Verfahren zur Erfassung der Kraftbeanspruchung bei einem biegeweichen Objekt
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN104341447B (zh) 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
CN104447838B (zh) 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190058566A (ko) * 2016-10-12 2019-05-29 다우 실리콘즈 코포레이션 티오(다이)실란
US11479858B2 (en) 2016-10-12 2022-10-25 Dow Silicones Corporation Thio(di)silanes
US11598002B2 (en) 2016-10-12 2023-03-07 Ddp Specialty Electronic Materials Us 9, Llc Thio(di)silanes

Also Published As

Publication number Publication date
CN104080944B (zh) 2016-08-24
TW201412763A (zh) 2014-04-01
US20150004317A1 (en) 2015-01-01
JP6242026B2 (ja) 2017-12-06
TWI631129B (zh) 2018-08-01
US9938303B2 (en) 2018-04-10
EP2875166A4 (en) 2016-06-01
WO2014015232A1 (en) 2014-01-23
TW201412762A (zh) 2014-04-01
US9371338B2 (en) 2016-06-21
TWI579292B (zh) 2017-04-21
WO2014015248A1 (en) 2014-01-23
EP2875166B1 (en) 2018-04-11
WO2014015237A1 (en) 2014-01-23
KR20150036122A (ko) 2015-04-07
EP2875166A1 (en) 2015-05-27
CN104080944A (zh) 2014-10-01
US20150166576A1 (en) 2015-06-18
JP2015525773A (ja) 2015-09-07
JP2015528011A (ja) 2015-09-24
JP2015525774A (ja) 2015-09-07
TWI620751B (zh) 2018-04-11
US20150166577A1 (en) 2015-06-18
TW201410690A (zh) 2014-03-16
TW201410689A (zh) 2014-03-16
US9593133B2 (en) 2017-03-14
KR20150036114A (ko) 2015-04-07
TWI586678B (zh) 2017-06-11
WO2014015241A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
EP2875166B1 (en) Organosilane precursors for ald/cvd silicon-containing film applications
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
KR102398827B1 (ko) Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법
US9777373B2 (en) Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US20200339610A1 (en) Alkylamino-substituted carbosilane precursors
JP2018511585A (ja) アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング
US10570513B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
WO2017127044A1 (en) Si-containing film forming compositions for ald/cvd of silicon-containing films

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination