KR101711356B1 - 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법 - Google Patents

란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법 Download PDF

Info

Publication number
KR101711356B1
KR101711356B1 KR1020167017173A KR20167017173A KR101711356B1 KR 101711356 B1 KR101711356 B1 KR 101711356B1 KR 1020167017173 A KR1020167017173 A KR 1020167017173A KR 20167017173 A KR20167017173 A KR 20167017173A KR 101711356 B1 KR101711356 B1 KR 101711356B1
Authority
KR
South Korea
Prior art keywords
ipr
lanthanide
precursor
deposition
group
Prior art date
Application number
KR1020167017173A
Other languages
English (en)
Other versions
KR20160085357A (ko
Inventor
벤카테스와라 알 팔렘
크리스티앙 두사랏
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20160085357A publication Critical patent/KR20160085357A/ko
Application granted granted Critical
Publication of KR101711356B1 publication Critical patent/KR101711356B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C257/00Compounds containing carboxyl groups, the doubly-bound oxygen atom of a carboxyl group being replaced by a doubly-bound nitrogen atom, this nitrogen atom not being further bound to an oxygen atom, e.g. imino-ethers, amidines
    • C07C257/10Compounds containing carboxyl groups, the doubly-bound oxygen atom of a carboxyl group being replaced by a doubly-bound nitrogen atom, this nitrogen atom not being further bound to an oxygen atom, e.g. imino-ethers, amidines with replacement of the other oxygen atom of the carboxyl group by nitrogen atoms, e.g. amidines
    • C07C257/14Compounds containing carboxyl groups, the doubly-bound oxygen atom of a carboxyl group being replaced by a doubly-bound nitrogen atom, this nitrogen atom not being further bound to an oxygen atom, e.g. imino-ethers, amidines with replacement of the other oxygen atom of the carboxyl group by nitrogen atoms, e.g. amidines having carbon atoms of amidino groups bound to acyclic carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

본원에는 희토류 금속 함유 층을 증착하기 위한 방법 및 조성물이 기술되어 있다. 일반적으로, 개시된 방법은 증착 방법, 예를 들어 화학 기상 증착법 또는 원자층 증착법을 사용하여 희토류 함유 화합물을 포함하는 전구체 화합물을 증착한다. 개시된 전구체 화합물은 치환기로서 1종 이상의 지방족 기를 갖는 시클로펜타디에닐 리간드 및 아미딘 리간드를 포함한다.

Description

란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법{PREPARATION OF LANTHANIDE-CONTAINING PRECURSORS AND DEPOSITION OF LANTHANIDE-CONTAINING FILMS}
산업계가 직면한 심각한 도전 중 하나는 동적 임의 접근 기억장치(Dynamic Random Access Memory (DRAM)) 및 캐패시터를 위한 새로운 게이트 유전체 재료를 개발하는 것이다. 수십년 동안, 이산화규소(SiO2)는 신뢰할만한 유전체였지만, 트랜지스터가 계속 작아지고 있고, 기술이 "완전한(full) Si" 트랜지스터에서 "금속 게이트/하이-K(High-k)" 트랜지스터로 이동함에 따라, SiO2계 게이트 유전체의 신뢰성은 그의 물리적 한계에 도달하고 있는 중이다. 최신 기술의 크기가 작아짐에 따라, 새로운 고 유전상수 재료 및 공정에 대한 요구가 증가하고 있으며 보다 더 중요해지고 있다. 특히 란탄족 함유 재료를 기재로 한 신세대 산화물은 통상적인 유전체 재료에 비해 용량에서 상당한 이점을 제공하는 것으로 생각된다.
그럼에도 불구하고, 란탄족 함유 층들의 증착이 어려워서, 새로운 재료 및 공정이 점차 요구되고 있다. 예를 들어, 불활성 기체 퍼징에 의해 분리된, 교대로 적용된 전구체의 순차적 및 포화 표면 반응에 의존하는, 원자층 증착(ALD)은 마이크로전자기기 제조에 중요한 박막 성장 기술로서 분류되고 있다. ALD의 표면 제어된 성질은 정확한 두께 제어와 함께 높은 정합성 및 균일성을 갖는 박막의 성장을 가능케 한다. 희토류 재료를 위한 새로운 ALD 공정을 개발할 필요성이 명백하다.
불행히도, 증착 공정으로 화합물의 성공적인 통합은 어려운 것으로 판명되었다. 두가지 부류의 분자가 전형적으로 제안되었다: 베타-디케토네이트 및 시클로펜타디에닐. 전자의 화합물 군은 안정하지만, 융점이 항상 90℃를 초과하므로, 이들이 실용적이지 못하게 한다. 란탄족 2,2,6,6-테트라메틸헵탄디오네이트 [La(tmhd)3]의 융점은 260℃만큼 높고, 관련된 란탄족 2,2,7-트리메틸옥탄디오네이트 [La(tmod)3]의 융점은 197℃이다. 또한, 베타-디케토네이트의 전달 효율은 제어하기 매우 어렵다. 비치환 시클로펜타디에닐 화합물도 또한 높은 융점과 낮은 휘발성을 나타낸다. 분자 설계는 휘발성을 향상시키고 융점을 감소시키는 것에 도움을 줄 수 있다. 그러나, 공정 조건에서, 이들 부류의 재료는 제한된 용도를 갖는 것으로 판명되었다. 예를 들어, La(iPrCp)3은 225℃ 초과에서 ALD 체계(regime)를 허용하지 않는다.
현재 활용가능한 란탄족 함유 전구체 중 일부는 증착 공정에서 사용시 많은 문제점을 나타낸다. 예를 들어, 불소화 란탄족 전구체는 부산물로서 LnF3을 생성할 수 있다. 이 부산물은 제거하기 어려운 것으로 알려져 있다.
결과적으로, 란탄족 함유 필름의 증착을 위한 대안적인 전구체에 대한 필요가 존재한다.
하기 화학식의 란탄족 함유 전구체가 본원에서 개시된다:
Ln(R1Cp)m(R2-N-C(R4)=N-R2)n
식 중에서,
- Ln은 대략 0.75 Å 내지 대략 0.94 Å의 이온 반경, 3+의 전하 및 6의 배위수를 갖는 란탄족 금속이고;
- R1은 H 및 C1 내지 C5 알킬 사슬로 이루어진 군으로부터 선택되고;
- R2는 H 및 C1 내지 C5 알킬 사슬로 이루어진 군으로부터 선택되고;
- R4는 H 및 Me로 이루어진 군으로부터 선택되고;
- n 및 m은 1 내지 2 범위이고;
- 전구체의 융점은 대략 105℃ 미만이다.
개시된 란탄족 함유 전구체는 임의로 하기 양태 중 하나 이상을 포함할 수 있다:
- Ln은 Lu, Gd, Tb, Dy, Ho, Er, Tm, 및 Yb로 이루어진 군으로부터 선택된다.
- Ln은 Er 및 Yb로 이루어진 군으로부터 선택된다.
- R1은 Me, Et, 및 iPr로 이루어진 군으로부터 선택된다.
- R2는 iPr 및 tBu로 이루어진 군으로부터 선택된다.
또한, 반도체 기판 상에 란탄족 함유 필름을 증착하는 방법이 개시되어 있으며, 상기 방법은 하기를 포함한다:
a) 기판을 제공하는 단계,
b) 개시된 란탄족 함유 전구체를 제공하는 단계, 및
c) 기판 상에 란탄족 함유 필름을 증착하는 단계.
개시된 방법은 임의로 하기 양태 중 하나 이상을 포함할 수 있다:
- 약 150℃ 내지 약 600℃의 온도에서 기판 상에 란탄족 함유 필름을 증착함.
- 약 0.5 mTorr 내지 약 20 Torr의 압력에서 기판 상에 란탄족 함유 필름을 증착함.
- 란탄족 함유 전구체는 70℃ 미만의 온도에서 액체임.
- 란탄족 함유 전구체는 40℃ 미만의 온도에서 액체임.
- 란탄족 함유 필름은 Ln2O3, (LnLn')O3, Ln2O3-Ln'2O3, LnSixOy, LnGexOy, (Al, Ga, Mn)LnO3, HfLnOx, 및 ZrLnOx로 이루어진 군으로부터 선택되고, 여기서 Ln 및 Ln'는 상이함.
- 란탄족 함유 필름은 HfErOx, ZrErOx, HfYbOx, 및 ZrYbOx로 이루어진 군으로부터 선택됨.
- 란탄족 함유 전구체는 Ln(R1Cp)2(NZ-fmd), Ln(R1Cp)2(NZ-amd), Ln(R1Cp)(NZ-fmd)2, 및 Ln(R1Cp)(NZ-amd)2 (식 중, Ln은 Y, Gd, Dy, Er, 및 Yb로 이루어진 군으로부터 선택되고; R1은 Me, Et, 및 iPr로 이루어진 군으로부터 선택되고; Z는 iPr 또는 tBu임)로 이루어진 군으로부터 선택된 화학식을 가짐.
또한, 그 안에 배치된 하나 이상의 기판을 갖는 반응기를 제공하는 단계, 본원에 개시된 1종 이상의 란탄족 함유 전구체를 반응기에 도입하는 단계, 및 란탄족 함유 전구체 및 기판을 접촉시켜 증착 공정을 사용하여 기판의 적어도 하나의 표면 상에 란탄족 함유 층을 형성하는 단계를 포함하는, 기판 상에 란탄족 함유 필름을 형성하는 제2 방법도 개시되어 있다.
개시된 제2 방법은 임의로 하기 양태 중 하나 이상을 포함할 수 있다:
- 1종 이상의 산소 함유 유체를 반응기에 제공하고, 란탄족 함유 전구체를 산소 함유 유체와 반응시킴.
- 산소 함유 유체는 O2, O3, H2O, H2O2, 아세트산, 포르말린, 파라-포름알데히드, 및 이들의 조합으로 이루어진 군으로부터 선택됨.
- 란탄족 함유 전구체 및 반응물 종은 화학 기상 증착 공정에서와 같이 적어도 부분적으로 동시에 도입되거나, 또는 원자층 증착 공정에서와 같이 적어도 부분적으로 순차적으로 도입됨.
- 란탄족 함유 전구체와 상이한 금속 전구체를 반응기에 도입하고, 금속 전구체의 적어도 일부를 증착시켜 하나 이상의 기판 상에 란탄족 함유 층을 형성함.
- 금속 전구체의 금속은 Hf, Si, Al, Ga, Mn, Ti, Ta, Bi, Zr, Pb, Nb, Mg, Sr, Y, Ba, Ca, 란탄족 및 이들의 조합으로 이루어진 군으로부터 선택됨.
- 증착 공정은 화학 기상 증착 공정임.
- 증착 공정은 복수의 증착 싸이클을 갖는 원자층 증착 공정임.
- 란탄족 함유 전구체는 Ln(R1Cp)2(NZ-fmd), Ln(R1Cp)2(NZ-amd), Ln(R1Cp)(NZ-fmd)2, 및 Ln(R1Cp)(NZ-amd)2로 이루어진 군으로부터 선택된 화학식 (식 중, Ln은 Y, Gd, Dy, Er, 및 Yb으로 이루어진 군으로부터 선택되고; R1은 Me, Et, 및 iPr로 이루어진 군으로부터 선택되고; Z는 iPr 또는 tBu임)을 가짐.
또한, 개시된 제2 방법의 생성물을 포함하는 란탄족 함유 필름이 코팅된 기판이 개시되어 있다.
표기법 및 명명법
특정 약어, 기호 및 용어는 하기 상세한 설명 및 특허청구범위 전체에 걸쳐 사용되고, 하기를 포함함: "Ln"이라는 약어는 하기 원소를 포함하는 란탄족 금속을 나타내고: 스칸듐 ("Sc"), 이트륨 ("Y"), 루테튬 ("Lu"), 란탄 ("La"), 세륨 ("Ce"), 프라세오디뮴 ("Pr"), 네오디뮴 ("Nd"), 사마륨 ("Sm"), 유로퓸 ("Eu"), 가돌리늄 ("Gd"), 테르븀 ("Tb"), 디스프로슘 ("Dy"), 홀뮴 ("Ho"), 에르븀 ("Er"), 툴륨 ("Tm"), 또는 이테르븀 ("Yb"); "Cp"라는 약어는 시클로펜타디엔을 나타내고; "Å"이라는 약어는 옹스트롬을 나타내고; 작은 따옴표("'")는 제1 성분과 상이한 성분을 나타내는 데 사용되며, 예를 들어 (LnLn')O3은 2개의 상이한 란탄족 원소를 함유하는 란탄족 산화물을 나타내고; "지방족 기"라는 용어는 C1 내지 C5 선형 또는 분지형 사슬 알킬기를 나타내고; "알킬기"라는 용어는 배타적으로 탄소 및 수소 원자를 함유하는 포화된 관능기를 나타내고; "Me"라는 약어는 메틸기를 나타내고; "Et"라는 약어는 에틸기를 나타내고; "Pr"이라는 약어는 프로필기를 나타내고; "iPr"이라는 약어는 이소프로필기를 나타내고; "tBu"라는 약어는 3차 부틸기를 나타내고, "Nz-amd"라는 약어는 ZNC(CH3)=NZ를 나타내며, 여기서 Z는 한정된 알킬기, 예를 들어 iPr 또는 tBu이고; "Nz-fmd"라는 약어는 ZNC(H)=NZ를 나타내며, 여기서 Z는 한정된 알킬기, 예를 들어 iPr 또는 tBu이고; "CVD"라는 약어는 화학 증착법을 나타내고; "LPCVD"라는 약어는 저압 화학 증착법을 나타내고; "ALD"라는 약어는 원자층 증착법을 나타내고; "P-CVD"라는 약어는 펄스화 화학 증착법을 나타내고; "PE-ALD"라는 약어는 플라즈마 강화 원자층 증착법을 나타내고; "MIM"이라는 약어는 금속 절연체 금속 (캐패시터에서 사용되는 구조)를 나타내고; "DRAM"이라는 약어는 동적 임의 접근 기억장치를 나타내고; "FeRAM"이라는 약어는 강유전체(ferroeletric) 임의 접근 기억장치를 나타내고; "CMOS"라는 약어는 상보성 금속 산화물 반도체를 나타내고; "THF"라는 약어는 테트라히드로푸란을 나타내고; "TGA"라는 약어는 열중량 분석법을 나타내고; "TMA"라는 약어는 트리메틸 알루미늄을 나타내고; "TBTDET"라는 약어는 3차 부틸이미도, 트리스(디에틸아미노) 탄탈 (Ta[N(C2H5)2]3[NC(CH3)3])을 나타내고; "TAT-DMAE"라는 약어는 탄탈 테트라에톡시드 디메틸아미노에톡시드를 나타내고; "PET"라는 약어는 펜타에톡시 탄탈을 나타내고; "TBTDEN"이라는 약어는 3차 부틸이미도, 트리스(디에틸아미노) 니오븀을 나타내고; "PEN"이라는 약어는 펜타에톡시 니오븀을 나타내고; "TriDMAS"라는 약어는 트리스(디메틸아미노) 실란 [SiH(NMe2)3]을 나타내고; "BDMAS"라는 약어는 비스(디메틸아미노) 실란을 나타내고; "BDEAS"라는 약어는 비스(디에틸아미노) 실란 [SiH2(NEt2)2]를 나타내고; "TDEAS"라는 약어는 테트라키스-디에틸아미노 실란을 나타내고; "TDMAS"라는 약어는 트리스(디메틸아미노) 실란을 나타내고; "TEMAS"라는 약어는 테트라키스-에틸메틸아미노 실란 (Si(N(C2H5)(CH3))4)을 나타내고; "BTBAS"라는 약어는 비스(tert-부틸아미노)실란 [SiH2(NHtBu)2]를 나타낸다.
본 발명의 성질 및 목적의 추가적인 이해를 위해, 첨부된 도면과 연관하여 수행된 하기 상세한 설명을 참조할 것이다:
도 1은 Y(MeCp)2(NiPr-amd)의 온도 변화에 따른 중량 손실의 백분율을 나타내는 TGA 그래프이다.
도 2는 Y(iPrCp)2(NiPr-amd)에 대한 TGA 그래프이다.
도 3은 Er(MeCp)2(iPr-N-C(Me)=N-iPr)에 대한 TGA 그래프이다.
도 4는 Er(MeCp)2(tBu-N-C(Me)=N-tBu)에 대한 TGA 그래프이다.
도 5는 Er(EtCp)2(iPr-N-C(Me)=N-iPr)에 대한 TGA 그래프이다.
도 6은 Er(MeCp)2(iPr-N-C(H)=N-iPr)에 대한 TGA 그래프이다.
도 7은 Yb(MeCp)2(iPr-N-C(Me)=N-iPr)에 대한 TGA 그래프이다.
도 8은 Yb(MeCp)2(tBu-N-C(Me)=N-tBu)에 대한 TGA 그래프이다.
도 9는 Yb(EtCp)2(iPr-N-C(Me)=N-iPr)에 대한 TGA 그래프이다.
도 10은 Yb(EtCp)2(iPr-N-C(H)=N-iPr)에 대한 TGA 그래프이다.
도 11은 Yb(iPrCp)2(iPr-N-C(H)=N-iPr)에 대한 TGA 그래프이다.
하기 화학식을 갖는 란탄족 함유 전구체 화합물이 개시되어 있다:
Ln(R1Cp)m(R2-N-C(R4)=N-R2)n
식 중, Ln은 Sc, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu을 포함하는 란탄족을 나타내고; R1은 H 또는 C1 내지 C5 알킬 사슬로부터 선택되고; R2는 H 또는 C1 내지 C5 알킬 사슬로부터 선택되고; R4는 H, C1 내지 C5 알킬 사슬 및 NR'R"로부터 선택되고, 여기서 R' 및 R"는 독립적으로 C1 내지 C5 알킬 사슬로부터 선택되고; m은 1 또는 2로부터 선택되고; n은 1 또는 2로부터 선택된다.
트리스-치환 시클로펜타디에닐 란탄족 화합물, Ln(RCp)3, 트리스-아세트아미디네이트 화합물, Ln(R-N-C(R')=N-R)3, 또는 트리스-포름아미디네이트 화합물, Ln(R-N-C(H)=N-R)3을 포함하는 란탄족 함유 전구체는 이들의 상응하는 동종리간드성 화합물에 비해 고유한 물리적 및 화학적 특성을 제공한다. 이러한 특성은 금속 중심 주위에서 입체적 밀집(steric crowding)을 보다 우수하게 제어하는 것을 포함하며, 이는 결국 기판 상에서의 표면 반응 및 제2 반응물 (예를 들어, 산소 공급원)과의 반응을 제어한다. 리간드 상의 치환기를 독립적으로 미세하게 제어하는 것은 휘발성 및 열 안정성을 증가시키고 융점을 감소시켜 액체 또는 저 융점 고체 (융점이 대략 105℃ 미만임)를 생성한다.
기상 증착 공정에 적합한 특성을 갖는 안정한 란탄족 함유 전구체 (즉, 휘발성, 또한 열적으로 안정한, 액체 또는 저 융점 고체 (융점이 약 105℃ 미만임))를 합성하기 위해, 중심 금속 이온의 특성 (배위 수, 이온 반경)과 리간드의 특성 (입체 효과, 2개의 헤테로리간드성 리간드의 비율) 사이의 직접적인 연관성이 관찰되었다. 바람직하게는, 금속 화합물은 3+ 전하 및 6의 배위수와 함께 약 0.75 Å 내지 약 0.94 Å의 이온 반경을 포함한다. 결과적으로, Ln은 바람직하게는 Sc, Y, Lu, Gd, Tb, Dy, Ho, Er, Tm, 및 Yb을 포함하는 작은 란탄족 계열의 원소로부터 선택된다. 보다 바람직하게는, Ln은 Lu, Gd, Tb, Dy, Ho, Er, Tm, 또는 Yb로부터 선택된다. 바람직하게는, R1은 C1 내지 C3 알킬 사슬이고; R2는 C3 내지 C4 알킬 사슬이고, R4는 H 또는 Me이다. 바람직하게는, 란탄족 함유 전구체의 융점은 약 105℃ 미만, 바람직하게는 약 80℃ 미만, 보다 바람직하게는 약 70℃ 미만, 보다 더 바람직하게는 약 40℃ 미만이다. 바람직한 란탄족 함유 전구체는 Ln(R1Cp)2(NZ-fmd), Ln(R1Cp)2(NZ-amd), Ln(R1Cp)(NZ-fmd)2, 및 Ln(R1Cp)(NZ-amd)2를 포함하며, 여기서 Ln은 Y, Gd, Dy, Er, 또는 Yb이고; R1은 Me, Et, 또는 iPr이고; Z는 iPr 또는 tBu이다.
Ln(R1Cp)m(R2-N-C(R4)=N-R2)n 전구체 (식 중, m=2, n=1 또는 m=1, n=2)의 합성은 하기 방법에 의해 수행될 수 있다:
방법 A
Ln(R1Cp)2X (식 중, X=Cl, Br 또는 I)를 M(R2-N-C(R4)=N-R2) (식 중, M=Li, Na, K)와 반응시키거나 또는 Ln(R1Cp)X2를 2M(R2-N-C(R4)=N-R2)와 반응시킴 (반응식 1).
<반응식 1>
Figure 112016061842855-pat00001
방법 B
Ln(R1Cp)3을 1당량의 아미딘/구아니딘, R2-NH-C(R4)=N-R2와 반응시켜 Ln(R1Cp)2(R2-N-C(R4)=N-R2)를 생성하거나 또는 2당량의 아미딘/구아니딘, R2-NH-C(R4)=N-R2와 반응시켜 Ln(R1Cp)(R2-N-C(R4)=N-R2)2를 생성함 (반응식 2).
<반응식 2>
Figure 112016061842855-pat00002
방법 C
동일계 내에서, LnX3 (식 중, X=Cl, Br, I)을 (중간체 생성물의 단리 없이 순차적 반응으로) mR1CpM (식 중, M=Li, Na, K)와 반응시킨 후 여과하고, 여과물을 nM(R2-N-C(R4)=N-R2)와 반응시켜 Ln(R1Cp)m(R2-N-C(R4)=N-R2)n 전구체를 얻음 (반응식 3).
<반응식 3>
Figure 112016061842855-pat00003
개시된 전구체 화합물 (이후, "란탄족 함유 전구체")은 당업자에게 공지된 임의의 증착 방법을 사용하여 증착되어 란탄족 함유 필름을 형성할 수 있다. 적당한 증착 방법의 예는 제한 없이 통상적인 화학 기상 증착법(CVD), 저압 화학 기상 증착법(LPCVD), 원자층 증착법(ALD), 펄스화 화학 기상 증착법(P-CVD), 플라즈마 강화 원자층 증착법(PE-ALD) 또는 이들의 조합을 포함한다.
란탄족 함유 필름이 침착될 기판의 유형은 의도하는 최종 용도에 따라 변할 것이다. 일부 실시양태에서, 기판은 MIM, DRAM, FeRam 기술에서 유전체 재료 또는 CMOS 기술에서 게이트 유전체 (예를 들어, HfO2계 재료, TiO2계 재료, ZrO2계 재료, 희토류 산화물계 재료, 3원 산화물계 재료 등)로서 사용되는 산화물, 또는 구리와 로우-k(low-k) 층 사이의 산소 장벽층으로서 사용되는 질화물계 필름 (예를 들어, TaN)으로부터 선택될 수 있다. 다른 기판은 반도체, 태양전지, LCD-TFT, 또는 평판 장치의 제조시 사용될 수 있다. 이러한 기판의 예는 고체 기판, 예를 들어 금속 기판 (예를 들어, Au, Pd, Rh, Ru, W, Al, Ni, Ti, Co, Pt 및 금속 규화물, 예를 들어 TiSi2, CoSi2, 및 NiSi2); 금속 질화물 함유 기판 (예를 들어, TaN, TiN, WN, TaCN, TiCN, TaSiN, 및 TiSiN); 반도체 재료 (예를 들어, Si, SiGe, GaAs, InP, 다이아몬드, GaN, 및 SiC); 절연체 (예를 들어, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3, 및 바륨 스트론튬 티타네이트); 또는 임의의 수의 이들 재료의 조합을 포함하는 다른 기판을 포함하되, 이에 제한되지 않는다. 또한 실제 사용된 기판은 사용된 특정 전구체의 실시양태에 좌우될 수 있다. 그렇지만 많은 경우에서, 바람직한 사용된 기판은 TiN, Ru, 및 Si 유형의 기판으로부터 선택될 것이다.
란탄족 함유 전구체는 적어도 하나의 기판을 함유하는 반응 챔버에 도입된다. 반응 챔버는 증착 방법이 수행되는 장치, 예를 들어 제한 없이 평행판 유형 반응기, 냉벽 유형 반응기, 열벽 유형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기, 또는 다른 유형의 증착 시스템의 임의의 격실 또는 챔버일 수 있다.
반응 챔버는 약 0.5 mTorr 내지 약 20 Torr 범위의 압력에서 유지될 수 있다. 또한, 반응 챔버 내 온도는 약 250℃ 내지 약 600℃의 범위일 수 있다. 당업자라면 온도가 단순한 실험을 통해 최적화되어 목적하는 결과를 달성할 수 있음을 인지할 것이다.
기판은 충분한 온도로 가열하여 원하는 물리적 상태 및 조성으로 충분한 성장 속도로 원하는 란탄족 함유 필름을 얻을 수 있다. 기판이 가열될 수 있는 비제한적이고 예시적인 온도 범위는 150℃ 내지 600℃를 포함한다. 바람직하게는, 기판의 온도는 450℃ 이하로 유지된다.
란탄족 함유 전구체는 액체 상태로 증발기에 공급될 수 있고, 여기서 기화되어 반응 챔버에 도입된다. 기화 전에, 란탄족 함유 전구체는 임의로 1종 이상의 용매, 1종 이상의 금속 공급원, 및 1종 이상의 용매와 1종 이상의 금속 공급원의 혼합물과 혼합될 수 있다. 용매는 톨루엔, 에틸 벤젠, 크실렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄 등으로 이루어진 군으로부터 선택될 수 있다. 생성된 농도는 대략 0.05 M 내지 대략 2 M의 범위일 수 있다. 금속 공급원은 현재 알려져 있거나 또는 이후에 개발된 임의의 금속 전구체를 포함할 수 있다.
별법으로, 란탄족 함유 전구체는 캐리어 기체를 란탄족 함유 전구체를 함유하는 용기로 통과시킴으로써, 또는 캐리어 기체를 란탄족 함유 전구체로 버블링시킴으로써 기화될 수 있다. 이어서, 캐리어 기체 및 란탄족 함유 전구체는 반응 챔버에 도입된다. 필요하다면, 용기는 란탄족 함유 전구체가 액상이게 하고 충분한 증기압을 갖게 하는 온도로 가열될 수 있다. 캐리어 기체는 Ar, He, N2 및 이들의 혼합물을 포함할 수 있되, 이에 제한되지 않는다. 란탄족 함유 전구체는 임의로 용기에서 용매, 다른 금속 전구체 또는 이들의 혼합물과 혼합될 수 있다. 용기는 예를 들어, 0 내지 100℃ 범위의 온도에서 유지될 수 있다. 당업자는 용기의 온도를 공지된 방식으로 조절하여 기화되는 란탄족 함유 전구체의 양을 제어할 수 있음을 인지한다.
반응 챔버에 도입 전 란탄족 함유 전구체와 용매, 금속 전구체 및 안정화제의 임의적 혼합 이외에, 란탄족 함유 전구체는 반응 챔버 내에서 반응물 종과 혼합될 수 있다. 예시적인 반응물 종은 제한 없이 H2, 금속 전구체, 예를 들어 TMA 또는 다른 알루미늄 함유 전구체, 다른 란탄족 함유 전구체, TBTDET, TAT-DMAE, PET, TBTDEN, PEN, 및 이들의 임의의 조합을 포함한다.
또한 원하는 란탄족 함유 필름이 산소를 함유하는 경우, 예를 들어 제한 없이 에르븀 산화물인 경우, 반응물 종은 O2, O3, H2O, H2O2, 아세트산, 포르말린, 파라-포름알데히드 및 이들의 조합으로부터 선택되되, 이에 제한되지 않는 산소 공급원을 포함할 수 있다.
또한 원하는 란탄족 함유 필름이 질소를 함유하는 경우, 예를 들어 제한 없이 에르븀 질화물 또는 에르븀 카르보-니트리드인 경우, 반응물 종은 질소(N2), 암모니아 및 이들의 알킬 유도체, 히드라진 및 이들의 알킬 유도체, N 함유 라디칼 (예를 들어, N·, NH·, NH2·), NO, N2O, NO2, 아민 및 이들의 임의의 조합으로부터 선택되되, 이에 제한되지 않는 질소 공급원을 포함할 수 있다.
또한 원하는 란탄족 함유 필름이 탄소를 함유하는 경우, 예를 들어 제한 없이 에르븀 탄화물 또는 에르븀 카르보-니트리드인 경우, 반응물 종은 메탄, 에탄, 프로판, 부탄, 에틸렌, 프로필렌, t-부틸렌, 이소부틸렌, CCl4 및 임의의 이들의 조합으로부터 선택되되, 이에 제한되지 않는 탄소 공급원을 포함할 수 있다.
또한 원하는 란탄족 함유 필름이 규소를 함유하는 경우, 예를 들어 제한 없이 에르븀 실리사이드, 에르븀 실리코-니트리드, 에르븀 실리케이트, 에르븀 실리코-카르보-니트리드인 경우, 반응물 종은 SiH4, Si2H6, Si3H8, TriDMAS, BDMAS, BDEAS, TDEAS, TDMAS, TEMAS, (SiH3)3N, (SiH3)2O, 트리실리아민, 디실록산, 트리실릴아민, 디실란, 트리실란, 알콕시실란 SiHx(OR1)4 -x, 실란올 Si(OH)x(OR1)4 -x (바람직하게는 Si(OH)(OR1)3; 보다 바람직하게는 Si(OH)(OtBu)3), 아미노실란 SiHx(NR1R2)4 -x (식 중, x는 1, 2, 3, 또는 4이고; R1 및 R2는 독립적으로 H 또는 선형, 분지형 또는 환형 C1 내지 C6 탄소 사슬; 바람직하게는 TriDMAS, BTBAS, 및/또는 BDEAS임), 및 임의의 이들의 조합으로부터 선택되되, 이에 제한되지 않는 규소 공급원을 포함할 수 있다. 표적 필름은 대안적으로 게르마늄(Ge)을 함유할 수 있고, 이 경우 상기 언급된 Si-함유 반응물 종은 Ge-함유 반응 종으로 대체될 수 있다.
또한 원하는 란탄족 함유 필름이 다른 금속, 예를 들어 제한 없이 Ti, Ta, Hf, Zr, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, 또는 이들의 조합을 함유하는 경우, 반응물 종은 금속 알킬, 예를 들어 SbRi' 3 또는 SnRi' 4 (식 중에서, 각각의 Ri '는 독립적으로 H 또는 선형, 분지형, 또는 환형 C1 내지 C6 탄소 사슬임), 금속 알콕시드, 예를 들어 Sb(ORi)3 또는 Sn(ORi)4 (식 중에서, 각각의 Ri는 독립적으로 H 또는 선형, 분지형 또는 환형 C1 내지 C6 탄소 사슬임), 및 금속 아민, 예를 들어 Sb(NR1R2)(NR3R4)(NR5R6) 또는 Ge(NR1R2)(NR3R4)(NR5R6)(NR7R8) (식 중에서, 각각의 R1, R2, R3, R4, R5, R6, R7, 및 R8은 독립적으로 H, C1 내지 C6 탄소 사슬, 또는 트리알킬실릴기이고, 탄소 사슬 및 트리알킬실릴기 각각은 선형, 분지형 또는 환형임), 및 임의의 이들의 조합으로부터 선택되되, 이에 제한되지 않는 금속 공급원을 포함할 수 있다.
란탄족 함유 전구체 및 1종 이상의 반응물 종은 반응 챔버에 동시에 (화학 기상 증착법), 순차적으로 (원자층 증착법), 또는 다른 조합으로 도입될 수 있다. 예를 들어, 란탄족 함유 전구체는 하나의 펄스로 도입될 수 있고, 2개의 추가 금속 공급원은 개별 펄스로 함께 도입될 수 있다 (변형된 원자층 증착법). 대안적으로, 반응 챔버는 란탄족 함유 전구체 도입 전에 이미 반응물 종을 함유할 수 있다. 반응물 종은 반응 챔버로부터 멀리 위치하는 플라즈마 시스템을 통과하여 라디칼로 분해될 수 있다. 대안적으로, 다른 금속 공급원이 펄스에 의해 도입되면서 란탄족 함유 전구체가 연속적으로 반응 챔버에 도입될 수 있다 (펄스화 화학 기상 증착법). 각 예에서, 펄스 후 퍼징 또는 탈기 단계를 거쳐 과량의 도입된 성분을 제거할 수 있다. 각 예에서, 펄스는 약 0.01초 내지 약 10초, 대안적으로 약 0.3초 내지 약 3초, 대안적으로 약 0.5초 내지 약 2초 범위의 시간 동안 지속될 수 있다.
하나의 비제한적이고 예시적인 원자층 증착 유형의 공정에서, 증기상의 란탄족 함유 전구체가 반응 챔버에 도입되어, 여기서 적당한 기판과 접촉한다. 이어서, 과량의 란탄족 함유 전구체는 반응기를 퍼징 및/또는 탈기함으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원이 반응 챔버에 도입되고, 여기서 자가 제한 방식으로 흡수된 란탄족 전구체와 반응한다. 임의의 과량의 산소 공급원은 반응 챔버를 퍼징 및/또는 탈기함으로써 반응 챔버로부터 제거될 수 있다. 원하는 필름이 란탄족 산화물 필름인 경우, 상기 2 단계 공정은 원하는 필름 두께를 제공할 수 있거나 또는 필요한 두께를 갖는 필름이 얻어질 때까지 반복될 수 있다.
대안적으로, 원하는 필름이 란탄족 금속 산화물 필름인 경우, 상기 2단계 공정 후 금속 전구체의 증기를 반응 챔버에 도입할 수 있다. 금속 전구체는 증착되는 란탄족 금속 산화물 필름의 성질을 기준으로 선택될 것이고, 상이한 란탄족 함유 전구체를 포함할 수 있다. 반응 챔버에 도입 후, 금속 전구체는 기판과 접촉한다. 임의의 과량의 금속 전구체는 반응 챔버를 퍼징 및/또는 탈기함으로써 반응 챔버로부터 제거된다. 다시 한번, 산소 공급원이 반응 챔버에 도입되어 제2 금속 전구체와 반응할 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징 및/또는 탈기함으로써 반응 챔버로부터 제거된다. 원하는 필름 두께가 달성된 경우, 공정은 종료될 수 있다. 그러나, 보다 두꺼운 필름을 원하는 경우, 전체 4단계 공정이 반복될 수 있다. 란탄족 함유 전구체, 금속 전구체 및 산소 공급원의 공급을 교대로 함으로써, 원하는 조성 및 두께의 필름이 증착될 수 있다.
상기 논의된 공정으로부터 생성된 란탄족 함유 필름 또는 란탄족 함유 층들은 Ln2O3, (LnLn')O3, Ln2O3-Ln'2O3, LnSixOy, LnGexOy, (Al, Ga, Mn)LnO3, HfLnOx 또는 ZrLnOx를 포함할 수 있다. 바람직하게는 란탄족 함유 필름은 HfErOx, ZrErOx, HfYbOx, 또는 ZrYbOx를 포함할 수 있다. 당업자는 적당한 란탄족 함유 전구체 및 반응물 종의 적당한 선택에 의해 원하는 필름 조성이 얻어질 수 있다는 점을 인지할 것이다.
실시예
하기 비제한적인 실시예는 본 발명의 실시양태를 추가로 예시하기 위해서 제공된다. 그러나, 실시예는 모두를 포함하도록 의도되지 않았고, 본원에 기재된 발명의 범위를 제한하도록 의도되지 않았다.
비교 실시예
(본 발명의 일부가 아님)
하기 실시예 1 내지 12에 제공된 결과에 기초하여, 본 발명자는 보다 작은 반경의 분자가 보다 우수한 착체를 제공한다는 이론을 시험하기를 원하였다. 하기 착체들의 단리물을 얻었다. 그러나, 각각은 열중량 분석 동안 매우 높은 백분율의 잔류 질량 (하기에 제공됨)을 생성하였고, 이는 각각이 기상 증착 공정에서 적합하지 않다는 것을 나타내었다.
Ni(Cp)(iPr-N-C(Me)=N-iPr): 21% 잔류물
Ni(EtCp)(iPr-N-C(Me)=N-iPr): 20% 잔류물
Ni(iPrCp)(iPr-N-C(Me)=N-iPr): 20% 잔류물
Ni(nBuCp)(iPr-N-C(Me)=N-iPr): 25% 잔류물
이 결과에 기초하여, 본 발명자는 기상 증착에 적합한 본원에 개시된 금속 전구체를 개발하기 위해 금속의 반경, 전하 및 배위수가 고려되어야 한다고 결론지었다.
실시예 1: Y(MeCp) 2 (N iPr -amd)
MeLi 에테르 용액(1.6 M) 22.1 mL (35.36 mmol)를 천천히 첨가함으로써 -78℃에서 THF 30 mL 중 디-이소프로필카르보디이미드 (4.47 g, 35.36 mmol)를 반응시켜 NiPr-amd-Li를 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 2시간 동안 추가로 교반하였다. 새로 제조된 NiPr-amd-Li 용액의 전체 양을 THF 50 mL 중 Y(MeCp)2Cl (10.00 g, 35.38 mmol)을 함유하는 플라스크에 첨가하였다. 생성 혼합물을 밤새 교반하였다. 혼합물을 진공 하에 증발시켜 건조하였다. 펜탄을 첨가하고 교반한 후, 셀라이트(Celite) 상표명의 규조토 컬럼을 통해 여과하였다. 펜탄 용매를 진공 하에 증발시켜 건조하여 담황색 왁스성 고체를 얻었다. 담황색 왁스성 고체를 115℃ 및 14 mTorr에서 승화시켜 89% 수율과 연관되는 12.24 g을 생성하였다. 담황색 왁스성 고체는 30℃에서 용융되었고 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 1% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 1에 도시하였다.
실시예 2: Y(iPrCp) 2 (N iPr -amd)
펜탄 60 mL 중 Y(MeCp)3 (11.11 g, 27.07 mmol)을 함유하는 플라스크에 펜탄 20 mL 중 NiPr-amd-H (3.85g, 27.07 mmol)의 용액을 첨가하였다. 생성 혼합물을 밤새 교반하였다. 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 황색 액체를 20℃ 및 8 mTorr에서 증류하였다. 수율은 11.4 g (87%)이었다. 황색 액체는 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 1% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 2에 도시하였다.
실시예 3: Er(MeCp) 2 (N iPr -amd)
MeLi 에테르 용액(1.6 M) 53 mL (84.36 mmol)를 천천히 첨가함으로써 -78℃에서 THF 150 mL 중 디-이소프로필카르보디이미드 (10.65 g, 84.36 mmol)를 반응시켜 NiPr-amd-Li의 용액을 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후, 실온으로 가온하고, 실온에서 2시간 동안 추가로 교반하였다. 새로 제조된 NiPr-amd-Li 용액의 전체 양을 THF 250 mL 중 Er(MeCp)2Cl (30.45 g, 83.36 mmol)을 함유하는 플라스크에 첨가하였다. 생성 혼합물을 밤새 교반하였다. 혼합물을 진공 하에 증발시켜 건조하였다. 펜탄을 첨가하고 교반한 후, 셀라이트 상표명의 규조토 컬럼을 통해 여과하였다. 펜탄 용매를 진공 하에 증발시켜 건조하여 분홍색 고체를 얻었다. 분홍색 고체를 95 내지 115℃ 및 12 mTorr에서 승화시켜 87% 수율과 연관되는 34.3 g을 생성하였다. 분홍색 고체는 36℃에서 용융되고, 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 2.5% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 3에 도시하였다.
실시예 4: Er(MeCp) 2 (N iPr -amd)
펜탄 60 mL 중 Er(MeCp)3 (11.54 g, 28.12 mmol)을 함유하는 플라스크에 펜탄 20 mL 중 NiPr-amd-H (4.00g, 128.12 mmol)의 용액을 첨가하였다. 생성 혼합물을 밤새 교반하였다. 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 분홍색 고체를 95 내지 115℃ 및 12 mTorr에서 증류하였다. 수율은 11.4 g (87%)이었다.
실시예 5: Er(MeCp) 2 (N tBu -amd)
MeLi 에테르 용액(1.6 M) 5.2 mL (8.31 mmol)를 천천히 첨가함으로써 -78℃에서 THF 30 mL 중 1,3-디-tert-부틸카르보디이미드 (1.28g, 8.31 mmol)를 반응시켜 NtBu-amd-Li의 용액을 제조하였다. 용액을 -78℃에서 30분 동안 교반 한 후 실온으로 가온하고, 실온에서 2시간 동안 추가로 교반하였다. 새로 제조된 NtBu-amd-Li 용액의 전체 양을 THF 25 mL 중 Er(MeCp)2Cl (3.00 g, 8.31 mmol)을 함유하는 플라스크에 첨가하였다. 생성 혼합물을 밤새 교반하였다. 혼합물을 진공 하에 증발시켜 건조하였다. 펜탄을 첨가하고 교반한 후, 셀라이트 상표명의 규조토 컬럼을 통해 여과하였다. 펜탄 용매를 진공 하에 증발시켜 건조하여 주황색 고체를 얻었다. 주황색 고체를 100 내지 150℃ 및 10 mTorr에서 승화시켜 64% 수율과 연관되는 2.61 g을 생성하였다. 주황색 고체는 100℃에서 용융되고, 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 1.8% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 4에 도시하였다.
실시예 6: Er(EtCp) 2 (N iPr -amd)
펜탄 200 mL 중 Er(EtCp)3 (20.00 g, 44.77 mmol)을 함유하는 플라스크에 펜탄 50 mL 중 NiPr-amd-H (6.37g, 44.77 mmol)의 용액을 첨가하였다. 생성 혼합물을 밤새 교반하였다. 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 분홍색 액체를 72 내지 74℃ 및 8 mTorr에서 증류하였다. 수율은 16.4 g(67%)이었다. 융점은 18℃이었다. 분홍색 액체는 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 2% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 5에 도시하였다.
실시예 7: Er(MeCp) 2 (N iPr -fmd)
MeLi 에테르 용액(1.6 M) 4.9 mL (7.80 mmol)를 천천히 첨가함으로써 -78℃에서 THF 40 mL 중 디-이소프로필포름아미딘 (10.00 g, 7.80 mmol)를 반응시켜 NiPr-fmd-Li의 용액을 제조하였다. 용액을 -78℃에서 30분 동안 교반한 후 실온으로 가온하고, 실온에서 2시간 동안 추가로 교반하였다. 새로 제조된 NiPr-fmd-Li 용액의 전체 양을 THF 50 mL 중 Er(MeCp)2Cl (2.81 g, 7.80 mmol)을 함유하는 플라스크에 첨가하였다. 생성 혼합물을 밤새 교반하였다. 혼합물을 진공 하에 증발시켜 건조하였다. 펜탄을 첨가하고 교반한 후, 셀라이트 상표명의 규조토 컬럼을 통해 여과하였다. 펜탄 용매를 진공 하에 증발시켜 건조하여 분홍색 고체를 얻었다. 분홍색 고체를 60 내지 80℃ 및 3 mTorr에서 승화시켜 62% 수율과 연관되는 2.2 g을 생성하였다. 분홍색 고체는 50℃에서 용융되었고, 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 5% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 6에 도시하였다.
실시예 8: Yb(MeCp) 2 (N iPr -amd)
MeLi 에테르 용액(1.6 M) 34.1 mL (54.54 mmol)를 천천히 첨가함으로써 -78℃에서 THF 100 mL 중 디-이소프로필카르보디이미드 (6.88g, 54.54 mmol)를 반응시켜 NiPr-amd-Li의 용액을 제조하였다. 용액을 -78℃에서 30분 동안 교반 한 후 실온으로 가온하고, 실온에서 2시간 동안 추가로 교반하였다. 새로 제조된 NiPr-amd-Li 용액의 전체 양을 THF 120 mL 중 Yb(MeCp)2Cl (20.00 g, 54.54 mmol)을 함유하는 플라스크에 첨가하였다. 생성 혼합물을 밤새 교반하였다. 혼합물을 진공 하에 증발시켜 건조하였다. 펜탄을 첨가하고 교반한 후, 셀라이트 상표명의 규조토 컬럼을 통해 여과하였다. 펜탄 용매를 진공 하에 증발시켜 건조하여 주황색 고체를 얻었다. 주황색 고체를 120℃ 및 25 mTorr에서 승화시켜 87% 수율과 연관되는 22.4 g을 생성하였다. 주황색 고체는 36℃에서 용융되고, 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 3% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 7에 도시하였다.
실시예 9: Yb(MeCp) 2 (N tBu -amd)
MeLi 에테르 용액(1.6 M) 5.1 mL (8.18 mmol)를 천천히 첨가함으로써 -78℃에서 THF 30 mL 중 1,3-디-tert-부틸카르보디이미드 (1.26g, 8.18 mmol)를 반응시켜 NtBu-amd-Li의 용액을 제조하였다. 용액을 -78℃에서 30분 동안 교반 한 후 실온으로 가온하고, 실온에서 2시간 동안 추가로 교반하였다. 새로 제조된 NtBu-amd-Li 용액의 전체 양을 THF 25 mL 중 Yb(MeCp)2Cl (3.00 g, 8.18 mmol)를 함유하는 플라스크에 첨가하였다. 생성 혼합물을 밤새 교반하였다. 혼합물을 진공 하에 증발시켜 건조하였다. 펜탄을 첨가하고 교반한 후, 셀라이트 상표명의 규조토 컬럼을 통해 여과하였다. 펜탄 용매를 진공 하에 증발시켜 건조하여 주황색 고체를 얻었다. 주황색 고체를 125℃ 및 10 mTorr에서 승화시켜 43% 수율과 연관되는 1.73 g을 생성하였다. 주황색 고체는 103℃에서 용융되고, 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 1.8% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 8에 도시하였다.
실시예 10: Yb(EtCp) 2 (N iPr -amd)
펜탄 250 mL 중 Yb(EtCp)3 (15.90 g, 35.15 mmol)을 함유하는 플라스크에 펜탄 40 mL 중 NiPr-amd-H (5.00g, 35.15 mmol)의 용액을 첨가하였다. 생성 혼합물을 밤새 교반하였다. 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 주황색 액체를 110℃ 및 10 mTorr에서 증류하였다. 수율은 15.00 g (85%)이었다. 융점은 39℃이었다. 주황색 액체는 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 3.5% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 9에 도시하였다.
실시예 11: Yb(EtCp) 2 (N iPr -fmd)
톨루엔 20 mL 중 Yb(EtCp)3 (6.00 g, 13.26 mmol)을 함유하는 플라스크에 톨루엔 20 mL 중 NiPr-fmd-H (1.7 g, 13.26 mmol)의 용액을 천천히 첨가하였다. 생성 혼합물을 밤새 교반하였다. 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 주황색 액체를 120℃ 및 6 mTorr에서 증류하였다. 수율은 5.9 g (97%)이었다. 주황색 액체는 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 1.4% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 10에 도시하였다.
실시예 12: Yb(iPrCp) 2 (N iPr -fmd)
톨루엔 20 mL 중 Yb(EtCp)3 (3.00 g, 6.07 mmol)을 함유하는 플라스크에 톨루엔 20 mL 중 NiPr-fmd-H (0.78 g, 6.07 mmol)의 용액을 천천히 첨가하였다. 생성 혼합물을 밤새 교반하였다. 용매 및 휘발물질을 진공 하에 증발시켰다. 생성된 주황색 액체를 140℃ 및 20 mTorr에서 증류하였다. 수율은 2.5 g (80%)이었다. 주황색 액체는 질소를 180 mL/분으로 유동시키는 분위기에서 10℃/분의 온도 상승 속도로 측정된 TGA 분석 동안 2% 잔류 질량을 남겼다. 이러한 결과는 온도 변화에 따른 중량 손실 백분율을 나타내는 TGA 그래프인 도 11에 도시하였다.
실시예 13: Er(MeCp) 2 (iPr-N-C(Me)=N-iPr)
실시예 3의 란탄족 함유 전구체, Er(MeCp)2(iPr-N-C(Me)=N-iPr), 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 Er2O3의 필름을 증착하였다. SiO2/Si 기판을 275℃의 온도로 유지하였다. 분홍색 고체 전구체를 115℃에서 유지된 버블러(bubbler)에서 기화시켰다. ALD 싸이클은 전구체 펄스 10초 후, 5초 퍼징 후, 반응물 펄스 2초 후, 5초 퍼징을 포함하였다. Er2O3 성장 속도는 1.2 Å/싸이클인 것으로 관찰되었다. ALD 체계는 1.2 Å/싸이클만큼 높은 증착 속도로 275℃까지 평가되었다.
실시예 14: Er(EtCp) 2 (iPr-N-C(Me)=N-iPr)
실시예 6의 란탄족 함유 전구체, Er(EtCp)2(iPr-N-C(Me)=N-iPr), 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 Er2O3의 필름을 증착하였다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 분홍색 고체 전구체를 115℃에서 유지된 버블러에서 기화시켰다. ALD 싸이클은 전구체 펄스 10초 후, 5초 퍼징 후, 반응물 펄스 2초 후, 5초 퍼징을 포함하였다. Er2O3 성장 속도는 0.3 Å/싸이클인 것으로 관찰되었다. ALD 체계는 0.3 Å/싸이클만큼 높은 증착 속도로 275℃까지 평가되었다.
실시예 15: Yb(MeCp) 2 (iPr-N-C(Me)=N-iPr)
실시예 8의 란탄족 함유 전구체, Yb(MeCp)2(iPr-N-C(Me)=N-iPr), 및 반응물 H2O를 사용하여 SiO2/Si 기판 상에 Yb2O3의 필름을 증착하였다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 주황색 고체 전구체를 115℃에서 유지된 버블러에서 기화시켰다. ALD 싸이클은 전구체 펄스 3초 후, 5초 퍼징 후, 반응물 펄스 2초 후, 10초 퍼징을 포함하였다. Yb2O3 성장 속도는 1.0 Å/싸이클인 것으로 관찰되었다. ALD 체계는 1.0 Å/싸이클만큼 높은 증착 속도로 275℃까지 평가되었다.
실시예 16: Yb(EtCp) 2 (iPr-N-C(Me)=N-iPr)
실시예 10의 란탄족 함유 전구체, Yb(EtCp)2(iPr-N-C(Me)=N-iPr), 및 반응물 H2O를 사용하여 SiO2/Si 기판 상에 Yb2O3의 필름을 증착하였다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 주황색 액체 전구체를 115℃에서 유지된 버블러에서 기화시켰다. ALD 싸이클은 전구체 펄스 10초 후, 5초 퍼징 후, 반응물 펄스 2초 후, 10초 퍼징을 포함하였다. Yb2O3 성장 속도는 1.0 Å/싸이클인 것으로 관찰되었다. ALD 체계는 1.0 Å/주기만큼 높은 증착 속도로 250℃까지 평가되었다.
본 발명의 실시양태를 도시하고 기술하였지만, 당업자가 본 발명의 취지 또는 교시를 벗어나지 않고도 이들을 변형할 수 있다. 본원에 기술된 실시양태는 오직 예시적인 것이고 제한적이지 않다. 본 발명의 범위 내에서 조성 및 방법의 여러가지 변경 및 변형이 가능하다. 따라서, 보호 범위는 본원에 기재된 실시양태에 제한되지 않으며, 오직 하기의 특허청구범위에 의해서만 제한되고, 이들의 범위는 특허청구범위의 주제의 모든 등가물을 포함할 것이다.

Claims (16)

  1. 하기 화학식의 전구체를 포함하는 조성물.
    Ln(R1Cp)m(R2-N-C(R4)=N-R2)n,
    식 중에서:
    - Ln은 La 또는 Ce이고, 3+의 전하 및 6의 배위수를 갖고;
    - R1은 H 및 C1 내지 C5 알킬 사슬로 이루어진 군으로부터 선택되고;
    - R2는 H 및 C1 내지 C5 알킬 사슬로 이루어진 군으로부터 선택되고;
    - R4는 H 및 Me로 이루어진 군으로부터 선택되고;
    - n 및 m은 1 내지 2 범위이고;
    - 전구체의 융점은 105℃ 미만이다.
  2. 제1항에 있어서, Ln이 La인 조성물.
  3. 제1항에 있어서, Ln이 Ce인 조성물.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, R1이 Me, Et, 및 iPr로 이루어진 군으로부터 선택된 것인 조성물.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서, R2가 iPr 및 tBu로 이루어진 군으로부터 선택된 것인 조성물.
  6. 제1항에 있어서, 화학식 La(iPrCp)2(iPr-N-C(R4)=N-iPr)를 갖는 조성물.
  7. 제1항에 있어서, 화학식 La(EtCp)2(iPr-N-C(R4)=N-iPr)를 갖는 조성물.
  8. 제1항에 있어서, 화학식 Ce(MeCp)2(iPr-N-C(R4)=N-iPr)를 갖는 조성물.
  9. 제1항에 있어서, 화학식 Ce(EtCp)2(iPr-N-C(R4)=N-iPr)를 갖는 조성물.
  10. 제1항에 있어서, 화학식 Ce(iPrCp)2(iPr-N-C(R4)=N-iPr)를 갖는 조성물.
  11. 제1항에 있어서, 화학식 La(iPrCp)2(iPr-N-C(Me)=N-iPr)를 갖는 조성물.
  12. 제1항에 있어서, 화학식 La(EtCp)2(iPr-N-C(Me)=N-iPr)를 갖는 조성물.
  13. 제1항에 있어서, 화학식 Ce(MeCp)2(iPr-N-C(Me)=N-iPr)를 갖는 조성물.
  14. 제1항에 있어서, 화학식 Ce(EtCp)2(iPr-N-C(Me)=N-iPr))를 갖는 조성물.
  15. 제1항에 있어서, 화학식 Ce(iPrCp)2(iPr-N-C(Me)=N-iPr))를 갖는 조성물.
  16. 제1항 내지 제3항 또는 제6항 내지 제15항 중 어느 한 항에 따른 조성물에 의해 형성된 필름.
KR1020167017173A 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법 KR101711356B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5921408P 2008-06-05 2008-06-05
US61/059,214 2008-06-05
US12/479,175 2009-06-05
PCT/US2009/046443 WO2009149372A1 (en) 2008-06-05 2009-06-05 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US12/479,175 US8283201B2 (en) 2008-06-05 2009-06-05 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107027175A Division KR101660052B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177004193A Division KR101802124B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법

Publications (2)

Publication Number Publication Date
KR20160085357A KR20160085357A (ko) 2016-07-15
KR101711356B1 true KR101711356B1 (ko) 2017-02-28

Family

ID=41100459

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020167017173A KR101711356B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
KR1020107027175A KR101660052B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
KR1020177004193A KR101802124B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020107027175A KR101660052B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
KR1020177004193A KR101802124B1 (ko) 2008-06-05 2009-06-05 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법

Country Status (6)

Country Link
US (3) US8283201B2 (ko)
JP (1) JP5666433B2 (ko)
KR (3) KR101711356B1 (ko)
CN (1) CN102057077B (ko)
TW (1) TWI463032B (ko)
WO (1) WO2009149372A1 (ko)

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156373A1 (en) 2008-06-05 2012-06-21 American Air Liquide, Inc. Preparation of cerium-containing precursors and deposition of cerium-containing films
WO2009149372A1 (en) 2008-06-05 2009-12-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011054935A (ja) * 2009-06-19 2011-03-17 Rohm & Haas Electronic Materials Llc ドーピング方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9079923B2 (en) 2010-08-05 2015-07-14 Air Products And Chemicals, Inc. Multidentate ketoimine ligands for metal complexes
US8617305B2 (en) 2011-01-25 2013-12-31 Air Products And Chemicals, Inc. Metal complexes for metal-containing film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US20130078455A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal PCAI Precursors And Aluminum Precursors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9099301B1 (en) 2013-12-18 2015-08-04 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of lanthanum-containing precursors and deposition of lanthanum-containing films
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101617396B1 (ko) 2014-08-01 2016-05-13 연세대학교 산학협력단 초소수성 코팅 부재 및 이의 제조 방법
KR101615897B1 (ko) * 2014-08-01 2016-05-13 연세대학교 산학협력단 코팅층 형성 방법 및 방수성 코팅 부재
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102424961B1 (ko) * 2015-07-07 2022-07-25 삼성전자주식회사 란타넘 화합물 및 그 제조 방법과 란타넘 전구체 조성물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10913754B2 (en) 2015-07-07 2021-02-09 Samsung Electronics Co., Ltd. Lanthanum compound and methods of forming thin film and integrated circuit device using the lanthanum compound
KR102551351B1 (ko) * 2018-03-16 2023-07-04 삼성전자 주식회사 란타넘 화합물과 이를 이용한 박박 형성 방법 및 집적회로 소자의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017095185A1 (ko) * 2015-12-03 2017-06-08 인천대학교 산학협력단 기능성 필터 및 그 제조방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US20160315168A1 (en) * 2016-06-30 2016-10-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for forming gate insulators for tft structures
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN109906228A (zh) * 2016-11-08 2019-06-18 默克专利有限公司 包含环戊二烯基配体的金属配合物
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10487398B2 (en) * 2016-12-04 2019-11-26 Applied Materials, Inc. Synthesis of metal nitride thin films materials using hydrazine derivatives
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180187303A1 (en) * 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Lanthanide precursors and deposition of lanthanide-containing films using the same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20200246494A1 (en) * 2017-08-07 2020-08-06 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Lu-containing compositions and methods of producing the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102138707B1 (ko) 2018-12-19 2020-07-28 주식회사 한솔케미칼 희토류 전구체, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11473198B2 (en) 2019-01-25 2022-10-18 Applied Materials, Inc. Homoleptic lanthanide deposition precursors
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021133080A1 (ko) * 2019-12-27 2021-07-01 주식회사 유피케미칼 이트륨/란탄족 금속 전구체 화합물, 이를 포함하는 막 형성용 조성물 및 이를 이용한 이트륨/란탄족 금속 함유 막의 형성 방법
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021178854A1 (en) 2020-03-05 2021-09-10 Akoustis, Inc. Methods of forming films including scandium at low temperatures using chemical vapor deposition to provide devices
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11274069B2 (en) 2020-08-13 2022-03-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Mono-substituted cyclopentadienes and metal cyclopentadienyl complexes and synthesis methods thereof
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2024500630A (ja) 2020-11-20 2024-01-10 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング ランタニド及びランタニド様遷移金属錯体
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113582879A (zh) * 2021-09-02 2021-11-02 合肥安德科铭半导体科技有限公司 一种有机镧前驱体La(iPr2-FMD)3的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102661498B1 (ko) * 2021-11-18 2024-04-29 주식회사 한솔케미칼 유기 금속 화합물을 이용하여 박막을 형성하는 방법 및 이로부터 제조된 박막
US11784041B2 (en) 2022-02-08 2023-10-10 L'Air Liquide, Sociéte Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
WO2024050202A1 (en) 2022-08-31 2024-03-07 Merck Patent Gmbh Multiple substituted cyclopentadienyl rare-earth complexes as precursors for vapor phase thin film deposition processes
KR20240030581A (ko) 2022-08-31 2024-03-07 에스케이트리켐 주식회사 신규한 아미디네이트 리간드, 상기 리간드를 포함하는 박막 형성용 전구체.
WO2024058431A1 (ko) * 2022-09-16 2024-03-21 에스케이트리켐 주식회사 이트륨 또는 스칸듐 함유 박막 형성용 전구체, 이를 이용한 이트륨 또는 스칸듐 함유 박막 형성 방법 및 상기 이트륨 또는 스칸듐 함유 박막을 포함하는 반도체 소자
KR20240038627A (ko) 2022-09-16 2024-03-25 에스케이트리켐 주식회사 란탄족 금속 함유 박막 형성용 전구체, 이를 이용한 란탄족 금속 함유 박막 형성 방법 및 상기 란탄족 금속 함유 박막을 포함하는 반도체 소자.
KR102614467B1 (ko) 2022-11-30 2023-12-14 에스케이트리켐 주식회사 스칸듐 또는 이트륨 함유 박막 형성용 전구체, 이를 이용한 스칸듐 또는 이트륨 함유 박막 형성 방법 및 상기 스칸듐 또는 이트륨 함유 박막을 포함하는 반도체 소자.

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006037161A (ja) * 2004-07-27 2006-02-09 Jsr Corp 化学気相成長材料及び化学気相成長方法
JP2006511716A (ja) * 2002-11-15 2006-04-06 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 金属アミジナートを用いる原子層の析出

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7023A (en) * 1850-01-15 peters
US5453494A (en) 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
US5322813A (en) * 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US7396949B2 (en) * 2003-08-19 2008-07-08 Denk Michael K Class of volatile compounds for the deposition of thin films of metals and metal compounds
JP4312006B2 (ja) * 2003-08-25 2009-08-12 株式会社Adeka 希土類金属錯体、薄膜形成用原料及び薄膜の製造方法
WO2006012052A2 (en) * 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US8524931B2 (en) * 2007-01-17 2013-09-03 Advanced Technology Materials, Inc. Precursor compositions for ALD/CVD of group II ruthenate thin films
JP5437594B2 (ja) * 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
WO2009149372A1 (en) 2008-06-05 2009-12-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006511716A (ja) * 2002-11-15 2006-04-06 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 金属アミジナートを用いる原子層の析出
JP2006037161A (ja) * 2004-07-27 2006-02-09 Jsr Corp 化学気相成長材料及び化学気相成長方法

Also Published As

Publication number Publication date
US8507905B2 (en) 2013-08-13
JP2011522833A (ja) 2011-08-04
US9076648B2 (en) 2015-07-07
KR20170020936A (ko) 2017-02-24
US20090302434A1 (en) 2009-12-10
US8283201B2 (en) 2012-10-09
WO2009149372A1 (en) 2009-12-10
KR20160085357A (ko) 2016-07-15
KR101802124B1 (ko) 2017-11-27
JP5666433B2 (ja) 2015-02-12
US20130303739A1 (en) 2013-11-14
KR20110014179A (ko) 2011-02-10
US20120329999A1 (en) 2012-12-27
TW201002855A (en) 2010-01-16
CN102057077A (zh) 2011-05-11
CN102057077B (zh) 2013-11-13
KR101660052B1 (ko) 2016-09-26
TWI463032B (zh) 2014-12-01

Similar Documents

Publication Publication Date Title
KR101711356B1 (ko) 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
US11242597B2 (en) Lanthanide precursors and deposition of lanthanide-containing films using the same
US10217629B2 (en) Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
US9711347B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US8193388B2 (en) Compounds for depositing tellurium-containing films
US8765220B2 (en) Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US9099301B1 (en) Preparation of lanthanum-containing precursors and deposition of lanthanum-containing films
TWI593820B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant