CN104080944A - 用于ald/cvd含硅薄膜应用的有机硅烷前体 - Google Patents

用于ald/cvd含硅薄膜应用的有机硅烷前体 Download PDF

Info

Publication number
CN104080944A
CN104080944A CN201380007437.1A CN201380007437A CN104080944A CN 104080944 A CN104080944 A CN 104080944A CN 201380007437 A CN201380007437 A CN 201380007437A CN 104080944 A CN104080944 A CN 104080944A
Authority
CN
China
Prior art keywords
sih
precursor
film
alkyl
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380007437.1A
Other languages
English (en)
Other versions
CN104080944B (zh
Inventor
C·迪萨拉
G·库肯拜泽尔
V·R·帕里姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN104080944A publication Critical patent/CN104080944A/zh
Application granted granted Critical
Publication of CN104080944B publication Critical patent/CN104080944B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Abstract

本发明公开了含Si薄膜形成前体、其合成方法及使用其以使用气相沉积法沉积含硅薄膜用于制造半导体、光伏装置、LCD-TFT、平板型装置、耐火材料或航空材料的方法。

Description

用于ALD/CVD含硅薄膜应用的有机硅烷前体
相关申请的交互引用
本申请主张2012年7月20日申请的美国临时申请第61/674,103号的优先权,该申请的全部内容引入本文供参考。
技术领域
公开含Si薄膜形成前体、其合成方法及使用其以使用气相沉积法沉积含硅薄膜用于制造半导体、光伏装置、LCD-TFT、平板型装置、耐火材料或航空材料的方法。
现有技术
含Si薄膜广泛用于半导体、光伏装置、LCD-TFT、平板型装置、耐火材料或航空行业中。含Si薄膜可例如用作具有电学性质的可绝缘的介电材料(SiO2、SiN、SiCN、SiCOH、MSiOx,其中M为Hf、Zr、Ti、Nb、Ta或Ge且x大于零),含Si薄膜可用作导电薄膜,如金属硅化物或金属硅氮化物。由于电学装置架构朝向纳米级(尤其低于28nm节点)降低尺寸所施加的严格要求,需要愈来愈精细调节的分子前体,这些分子前体除具有高沉积速率、所产生薄膜的保形性及坚实度以外也满足挥发性(对于ALD制程)、较低制程温度、与各种氧化剂的反应性及低薄膜污染的要求。
熟知硅烷(SiH4)可用于热CVD。然而,此分子具自燃性,由此使得此室温气体在安全处理方面面临挑战。已使用采用卤代硅烷(如二氯硅烷SiH2Cl2)的CVD方法。然而,这些方法可能需要较长吹扫时间,造成薄膜的卤素污染及粒子形成(由氯化铵盐形成),且甚至破坏某些基质,从而引起不希望的界面层形成。以烷基部分地替代卤素可得到一些改进,但代价是薄膜内产生不利的碳污染。
有机氨基硅烷已用作含Si薄膜的CVD的前体。Dussarrat等人的US7192626报导了使用三硅烷胺N(SiH3)3来沉积SiN薄膜。其他已报导的前体包括二异丙氨基硅烷[SiH3(NiPr2)]及类似SiH3(NR2)化合物(参见例如Thridandam等人的US 7875312)以及苯基甲基氨基硅烷[SiH3(NPhMe)]及相关经取代的硅烷苯胺(参见例如Xiao等人的EP 2392691)。
另一相关类别的用于含Si薄膜的CVD的Si前体由通式(R1R2N)xSiH4-x给出,其中x在1与4之间且R取代基独立地为H、C1-C6直链、分支链或环状碳链(参见例如Dussarrat等人的WO2006/097525)。
Hunks等人在US2010/0164057中公开诸多含Si前体,包括具有式R4-xSiLx的硅化合物,其中x为具有1-3的值的整数;R可选自H、分支链和未分支链C1-C6烷基、C3-C8环烷基和C6-C13芳基;且L可选自异氰酸酯基、甲基乙基酮肟、三氟乙酸酯、三氟甲磺酸酯、酰氧基、β-二酮亚胺(β-diketiminate)、β-二-亚胺(β-di-iminate)、脒、胍、烷氨基、氢化物、烷氧化物或甲酸酯配位体。Pinnavaia等人主张了一种由乙酰基丙酮酸硅和1,3-二酮硅(silicon 1,3-diketonate)前体制备多孔合成半结晶有机-无机杂合氧化硅组成物的方法(US6465387)。
尽管有诸多选择可用于含Si薄膜的沉积,但仍不断寻找其他前体以为装置工程师提供调节制造制程要求及达成具有所要电学和物理性质的薄膜的能力。
记法和命名法
贯穿以下说明书及申请专利范围使用某些缩写、符号和术语,且包括:
如本文所用,不定冠词“一(a/an)”意谓一个(种)或多个(种)。
如本文所用,术语“独立地”在用于描述R基团的情形中时应理解为表示所述R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,还相对于同一R基团的任何其他种类独立地选择。举例而言,在式MR1 x(NR2R3)(4-x)(其中x为2或3)中,两个或三个R1基团可但无需彼此或与R2或R3相同。另外,应了解,除非另外特定陈述,否则当用于不同式子中时R基团的值彼此独立。
如本文所用,术语“烷基”指排他性地含有碳和氢原子的饱和官能基。另外,术语“烷基”指直链、分支链或环状烷基。直链烷基的实例包括但不限于甲基、乙基、丙基、丁基等。分支链烷基的实例包括但不限于叔丁基。环状烷基的实例包括但不限于环丙基、环戊基、环己基等。
如本文所用,术语“芳基”指已自环中移除一个氢原子的芳环化合物。如本文所用,术语“杂环”指环状化合物,其具有至少两种不同元素的原子作为其环的成员。
如本文所用,缩写“Me”指甲基;缩写“Et”指乙基;缩写“Pr”指任何丙基(即正丙基或异丙基);缩写“iPr”指异丙基;缩写“Bu”指任何丁基(正丁基、异丁基、叔丁基、仲丁基);缩写“tBu”指叔丁基;缩写“sBu”指仲丁基;缩写“iBu”指异丁基;缩写“Ph”指苯基;缩写“Am”指任何戊基(异戊基、仲戊基、叔戊基);缩写“Cy”指环状烷基(环丁基、环戊基、环己基等);且缩写“R-amd”指R-N-C(Me)-N-R脒配位体,其中R为烷基(例如iPr-amd为iPr-N-C(Me)-N-iPr)。
如本文所用,首字母缩写词“SRO”表示氧化锶钌薄膜;首字母缩写词“HCDS”表示六氯二硅烷;且首字母缩写词“PCDS”表示五氯二硅烷。
本文使用元素周期表的元素的标准缩写。应了解,可用这些缩写来指代元素(例如Si指硅,N指氮,O指氧,C指碳等)。
附图简述
为了进一步理解本发明的性质和目的,应结合附图参考以下详细说明,其中相似组件由相同或类似参考编号指定且其中:
图1为热解重量分析(TGA)图,其显示SiH3(NiPr-amd)和SiH3(NtBu-amd)与DiPAS(二异丙基氨基硅烷)相比在温度变化下的重量损失百分比;且
图2为显示前体引入时间对比沉积速率和折射率的图。
发明内容
公开具有下式的有机硅烷分子:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环基且R3可为H、C1-C6烷基或C3-C20芳基或杂环基、氨基、烷氧基或卤素;
·R1与R2和/或R2与R3连接以形成环状链;
·有机硅烷分子具有下式:
其中R1和R2可各自独立地为C1-C6烷基;
·有机硅烷分子为H3Si(NiPr-amd);
·有机硅烷分子具有下式:
其中R1、R2、R3和R4可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环;
·有机硅烷分子为H3Si(-(iPr)N-C(NMe2)-N(iPr)-);
·有机硅烷分子具有下式:
其中R1、R2和R3可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环;
·有机硅烷分子为H3Si(-(iPr)N-C(OMe)-N(iPr)-);
·有机硅烷分子具有下式:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环;且X可为Cl、Br、I或F;且
·有机硅烷分子为H3Si(-(iPr)N-C(Cl)-N(iPr)-)。
还公开具有下式的含Si薄膜形成前体:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环基且R3可为H、C1-C6烷基、C3-C20芳基或杂环基、氨基、烷氧基或卤素;
·R1与R2和/或R2与R3连接以形成环状链;
·含Si薄膜形成前体具有下式:
其中R1和R2可各自独立地为C1-C6烷基;
·含Si薄膜形成前体为H3Si(NiPr-amd);
·含Si薄膜形成前体具有下式:
其中R1、R2、R3和R4可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环;
·含Si薄膜形成前体为H3Si(-(iPr)N-C(NMe2)-N(iPr)-);
·含Si薄膜形成前体具有下式:
其中R1、R2和R3可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环;且
·含Si薄膜形成前体为H3Si(-(iPr)N-C(OMe)-N(iPr)-);
·含Si薄膜形成前体具有下式:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环;且X可为Cl、Br、I或F;且
·含Si薄膜形成前体为H3Si(-(iPr)N-C(Cl)-N(iPr)-)。
还公开在基底上沉积含Si层的方法。
以上公开的至少一种有机硅烷前体引入其中安置有至少一个基底的反应器中。使用气相沉积法将至少一部分有机硅烷前体沉积至至少一个基底上以形成含Si层。所公开的方法可具有以下方面中的一种或多种:
·向反应器中引入包含至少一种第二前体的蒸气;
·至少一种第二前体的元素选自:第2族、第13族、第14族、过渡金属、镧系元素及其组合;
·至少一种第二前体的元素选自Mg、Ca、Sr、Ba、Zr、Hf、Ti、Nb、Ta、Al、Si、Ge、Y或镧系元素;
·向反应器中引入至少一种共反应物;
·共反应物选自:O2、O3、H2O、H2O2、NO、NO2、羧酸、其自由基及其组合;
·共反应物为经等离子处理的氧气;
·共反应物为臭氧;
·含Si层为氧化硅层;
·共反应物选自:H2、NH3、(SiH3)3N、氢化硅烷(如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯硅烷和氯聚硅烷(如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基硅烷(如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3)、肼(如N2H4、MeHNNH2、MeHNNHMe)、有机胺(如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(如B2H6、9-硼双环[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖嗪(borazine))、烷基金属(如三甲基铝、三乙基铝、二甲基锌、二乙基锌)、其自由基物质及其混合物。
·共反应物选自:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基及其混合物;
·共反应物经等离子处理;
·共反应物经远程等离子处理;
·共反应物不经等离子处理;
·共反应物为H2
·共反应物为NH3
·共反应物为HCDS;
·共反应物为PCDS;
·共反应物为四氯硅烷;
·共反应物为三氯硅烷;
·共反应物为六氯环六硅烷;
·气相沉积法为化学气相沉积法;
·气相沉积法为原子层沉积(ALD)法;
·气相沉积法为空间ALD法;
·含硅层为Si;
·含硅层为SiO2
·含硅层为SiN;
·含硅层为SiON;
·含硅层为SiCN;且
·含硅层为SiCOH。
实施方式
公开了含Si薄膜形成前体、其合成方法及使用其以使用气相沉积法沉积含硅薄膜用于制造半导体、光伏装置、LCD-TFT、平板型装置、耐火材料或航空材料的方法。
所公开的有机硅烷前体具有下式:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环基且R3可为H、C1-C6烷基、C3-C20芳基或杂环基、氨基、烷氧基或卤素。R1及R2和/或R2及R3可连接以形成环状链。
如该式中所说明,氮原子键结于硅原子,从而产生五配位Si(IV)中心。二齿单阴离子配位体的主链中的碳原子为sp2杂化,从而在配位体上产生非定域电荷。氮和碳原子可各自独立地经H、C1-C6烷基、芳基或杂环基取代。
所公开的有机硅烷前体由于硅原子处的超配位而可能比其他R4-xSiLx前体更具反应性。换言之,尽管硅原子为+IV,但三个氢键和单阴离子螯合配位体与硅原子形成总共5个键。
本领域技术人员应认识到使用氢或任何R基团中具有较少碳原子的烷基、芳基或杂环基(即H、C1或C2)与具有含较多碳(即C4+)的烷基、芳基或杂环基的分子相比将产生具有较高挥发性的分子。由于其在-N-C-N-配位体上的两个氮原子的氮含量增加,这些分子可用于产生还含有氮的含硅薄膜,如SiN、SiCN、SiON、MSiN或MSiON,其中M为如Hf、Zr、Ti、Nb、Ta或Ge的元素,或用于调节彼等薄膜中的氮的量。
当R3为Me时,所得前体为具有下式的含有脒的化合物:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环基。优选地,R1和R2各独立地为C1-C6烷基。
脒前体可如下合成:通过将SiXH3(其中X为Cl、Br、I或三氟甲磺酸根(SO3CF3 -))的烃溶液与纯配位体化合物(如Li[R1NC(R2)NR3]或Li(amd))或其烃溶液在氮气氛围下组合,混合烧瓶的出口与油起泡器连接以抑制空气及水分回流。
获得所公开的脒前体的第二合成途径为通过在惰性氛围下使质子化配位体(R1N=C(R2)-NRH3)与纯二烷基氨基硅烷[SiH3(NR2)]或其烃溶液反应。
或者,所公开的脒前体可如下合成:通过SiHnCl4-n与单一当量的配位体化合物(即Li[R1NC(R2)NR3]或Li(amd))反应,随后使用所选金属氢化物(如LAH(氢化锂铝))还原。
在所有三个合成途径中,可在室温下搅拌所得溶液过夜。适合于这些合成方法的例示性烃溶液包括乙醚、戊烷、己烷或甲苯。过滤所得悬浮液且蒸馏所得溶液以移除溶剂。分别通过蒸馏或升华来纯化所得液体或固体。除了配位体化合物Li(amd),所有起始材料均可在市面上购得。配位体化合物可通过将有机金属盐(即烷基锂)的烃溶液与适当碳化二亚胺(即R1N=C=NR3)的烃溶液组合来合成。其他合成细节提供于实施例中。
例示性脒前体包括:
优选地,脒前体为SiH3(NiPr-amd)。
当R3为氨基(即NR3R4)时,所得前体为具有下式的含有胍的化合物:
其中R1、R2、R3和R4可各自独立地为H、C1-C6烷基、C3-C20芳基或杂环。由于其与其他分子相比时氮含量增加,这些分子可用于产生还含有氮的含硅薄膜(如SiN或SiON),或用于调节含有SiN或SiON的薄膜中的氮的量。
胍前体可如下合成:通过将SiXH3(其中X为Cl、Br、I或三氟甲磺酸根(SO3CF3 -))的烃溶液与纯配位体化合物(如Li[R1NC(NR3R4)NR2](或Li(gnd)))或其烃溶液在氮气氛围下组合,混合烧瓶的出口与油起泡器连接以抑制空气及水分回流。
获得所公开的胍前体的第二合成途径为通过在惰性氛围下使质子化配位体(R1N=C(NR3R4)-NR2H)与纯二烷基氨基硅烷[SiH3(NR2)]或其烃溶液反应。
或者,所公开的胍前体可如下合成:通过SiHnCl4-n与单一当量的配位体化合物(即Li[R1NC(NR3R4)NR2]或Li(gnd))反应,随后使用所选金属氢化物(如LAH(氢化锂铝))还原。
在所有三个合成途径中,可在室温下搅拌所得溶液过夜。适合于这些合成方法的例示性烃溶液包括乙醚、戊烷、己烷或甲苯。过滤所得悬浮液且蒸馏所得溶液以移除溶剂。分别通过蒸馏或升华来纯化所得液体或固体。除了配位体化合物Li(gnd),所有起始材料均可在市面上购得。配位体化合物可通过将有机金属盐(即氨基锂-Li(NR3R4))的烃溶液与适当碳化二亚胺(即R1N=C=NR2)的烃溶液组合来合成。
例示性胍前体包括:
优选地,胍前体为H3Si(-(iPr)N-C(NMe2)-N(iPr)-)。
当R3为烷氧基(即OR3)时,所得前体为具有下式的含有异脲的化合物:
异脲
其中R1、R2和R3可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环。
由于其与其他分子相比时氧含量增加,这些分子可用于产生还含有氧的含硅薄膜(如SiO2或SiON),或用于调节含有SiO2或SiON的薄膜中的氧的量。
异脲前体可如下合成:通过将SiXH3(其中X为Cl、Br、I或三氟甲磺酸根(SO3CF3-))的烃溶液与纯配位体化合物(如Li[R1NC(OR3)NR2](或Li(iso)))或其烃溶液在氮气氛围下组合,混合烧瓶的出口与油起泡器连接以抑制空气和水分回流。
获得所公开的异脲前体的第二合成途径为通过在惰性氛围下使质子化配位体(R1N=C(OR3)-NR2H)与纯二烷基氨基硅烷[SiH3(NR2)]或其烃溶液反应。
或者,所公开的异脲前体可如下合成:通过SiHnCl4-n与单一当量的配位体化合物(即Li[R1NC(OR3)NR2]或Li(iso))反应,随后使用所选金属氢化物(如LAH(氢化锂铝))还原。
在所有三个合成途径中,可在室温下搅拌所得溶液过夜。适合于这些合成方法的例示性烃溶液包括乙醚、戊烷、己烷或甲苯。过滤所得悬浮液且蒸馏所得溶液以移除溶剂。分别通过蒸馏或升华来纯化所得液体或固体。除了配位体化合物Li(iso),所有起始材料均可在市面上购得。配位体化合物可通过将有机金属盐(即烷醇锂-Li(OR3))的烃溶液与适当碳化二亚胺(即R1N=C=NR2)的烃溶液组合来合成。
例示性异脲前体包括:
优选地,异脲前体为H3Si(-(iPr)N-C(OMe)-N(iPr)-)。
当R3为卤素(即X)时,所得前体为具有下式的含有α-卤基脒的化合物:
α-卤基脒
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环且X可为Cl、Br、I或F。卤素原子可改进非晶硅的原子层沉积的保形性。
α-卤基脒前体可如下合成:通过组合经双取代的脲衍生物R1HN-(C=O)-NHR2的烃溶液与O=CX2的烃溶液,如Neubauer等人所述(Chemische Berichte,1964,97(5),1232-1245)。添加一摩尔当量的适当碱(如六甲基二硅烷氨基钾)的烃溶液至反应混合物中,且过滤所得悬浮液以移除金属盐副产物。所得溶液可与SiRH3反应,其中R为苯基、甲苯基或其他适当芳基取代基。所得混合物可通过分馏纯化。适合于这些合成方法的例示性烃溶液包括乙醚、戊烷、己烷或甲苯。所有起始材料均可在市面上购得。
例示性α-卤基脒前体包括:
优选地,α-卤基脒为H3Si(-(iPr)N-C(Cl)-N(iPr)-)。
还公开使用所公开的有机硅烷前体进行气相沉积法的方法。所公开的方法提供有机硅烷前体用于沉积含硅薄膜的用途。所公开的方法可适用于制造半导体、光伏装置、LCD-TFT或平板型装置。该方法包括:提供基底;提供包括所公开的有机硅烷前体中的至少一种的蒸气;及使蒸气与基底接触(且典型地将蒸气导引至基底)以在基底的至少一个表面上形成含硅层。
所公开的方法还可使用气相沉积法在基底上形成含双金属层且更特定言之沉积SiMOx薄膜,其中x为4且M为Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Er)或其组合。所公开的方法可适用于制造半导体、光伏装置、LCD-TFT或平板型装置。该方法包括:提供基底;提供包括所公开的有机硅烷前体中的至少一种的蒸气且使蒸气与基底接触(且典型地将蒸气导引至基底)以在基底的至少一个表面上形成含双金属的层。如O3、O2、H2O、NO、H2O2、乙酸、福尔马林、三聚甲醛、其氧自由基及其组合但优选O3或经等离子处理的O2的氧源也可与蒸气一起提供。
所公开的有机硅烷前体可用于使用本领域熟练技术人员已知的任何沉积方法沉积含硅薄膜。适合沉积方法的实例包括但不限于已知化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、原子层沉积(ALD)、脉冲式化学气相沉积(P-CVD)、热ALD、热CVD、等离子增强式原子层沉积(PE-ALD)、等离子增强式化学气相沉积(PE-CVD)、空间ALD或其组合。优选地,沉积方法为ALD、空间ALD或PE-ALD。
将有机硅烷前体蒸气引入含有至少一个基底的反应室中。反应室内的温度和压力以及基底的温度保持在适合于将至少一部分有机硅烷前体气相沉积至基底上的条件下。换言之,在将汽化前体引入腔室中之后,腔室内的条件使得至少一部分汽化前体沉积至基底上以形成含硅薄膜。共反应物也可用于帮助形成含Si层。
反应室可为装置中进行沉积方法的任何封闭区域或腔室,如但不限于平行板型反应器、冷壁型反应器、热壁型反应器、单晶圆反应器、多晶圆反应器或其他此种类型的沉积体系。所有这些例示性反应室均能够充当ALD反应室。反应室可维持在约0.5毫托至约20托范围内的压力下。此外,反应室内的温度可在约20℃至约600℃范围内。本领域技术人员应认识到可仅经由实验来优化温度以达成所要结果。
可通过控制基底固持器的温度或控制反应器壁的温度来控制反应器温度。用于加热基底的装置为本领域中已知。将反应器壁加热至足以获得处于充足生长速率下且具有所要物理状态和组成的所要薄膜的温度。可将反应器壁加热至的非限制性例示性温度范围包括约20℃至约600℃。当使用等离子沉积法时,沉积温度可在约20℃至约550℃范围内。或者,当执行热制程时,沉积温度可在约300℃至约600℃范围内。
或者,可将基底加热至足以获得处于充足生长速率下且具有所要物理状态和组成的所要含硅薄膜的温度。可将基底加热至的非限制性例示性温度范围包括150℃至600℃。优选地,基底温度保持低于或等于500℃。
上面将沉积含硅薄膜的基底的类型将视预期的最终用途而不同。在一些具体实施方案中,基底可为由氢化碳(例如CHx,其中x大于零)制成的图案化光阻薄膜。在一些具体实施方案中,基底可选自在MIM、DRAM或FeRam技术中用作介电材料的氧化物(例如基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物(ternary oxide)的材料等)或选自用作铜与低k层之间的氧障壁的基于氮化物的薄膜(例如TaN)。可使用其他基底来制造半导体、光伏装置、LCD-TFT或平板装置。此类基底的实例包括但不限于固体基底,如含有金属氮化物的基底(例如TaN、TiN、WN、TaCN、TiCN、TaSiN和TiSiN);绝缘体(例如SiO2、Si3N4、SiON、HfO2、Ta2O5、ZrO2、TiO2、Al2O3和钛酸钡锶);或包括这些材料的多种组合的其他基底。所使用的实际基底也可视所使用的特定前体具体实施方案而定。但在许多情况下,所使用的优选基底将选自氢化碳、TiN、SRO、Ru和Si型基底,如多晶硅或结晶硅基底。
所公开的有机硅烷前体可以纯形式或以与适合溶剂(如甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷、叔胺、丙酮、四氢呋喃、乙醇、乙基甲基酮、1,4-二烷或其他溶剂)的掺混物形式供应。所公开的前体可以不同浓度存在于溶剂中。举例而言,所得浓度可在约0.05M至约2M范围内。
纯的或掺混的有机硅烷前体通过已知构件(如管道和/或流量计)以蒸气形式引入反应器中。可通过经由已知汽化步骤(如直接汽化、蒸馏、通过鼓泡或通过使用升华器(如Xu等人的PCT公开案WO2009/087609中所公开的升华器))使纯的或掺混的前体溶液汽化来产生呈蒸气形式的前体。纯的或掺混的前体可以液态装至汽化器中,在该汽化器中其经汽化随后将其引入反应器中。或者,可通过将载气通入含有前体的容器中或通过使载气鼓泡至前体中来使纯的或掺混的前体汽化。载气可包括但不限于Ar、He或N2及其混合物。用载气鼓泡也可移除存在于纯的或掺混的前体溶液中的任何溶解氧。接着,载气及前体以蒸气形式引入反应器中。
必要时,可将容器加热至允许有机硅烷前体呈其液相且具有充足蒸气压的温度。容器可维持在例如0-150℃范围内的温度下。本领域熟练技术人员认识到可以已知方式调节容器的温度以控制汽化的有机硅烷前体的量。
除所公开的前体以外,反应气体(即共反应物)也可引入反应器中。反应气体可为氧化剂,如以下中的一种:O2;O3;H2O;H2O2;含氧自由基,如O·或OH·;NO;NO2;羧酸,如甲酸、乙酸、丙酸;NO、NO2或羧酸的自由基物质;三聚甲醛;及其混合物。优选地,氧化剂选自:O2、O3、H2O、H2O2、其含氧自由基(如O·或OH·)及其混合物。优选地,当执行ALD制程时,共反应物为经等离子处理的氧气、臭氧或其组合。当使用氧化气体时,所得含硅薄膜也将含有氧。
或者,反应气体可为还原剂,如以下中的一种:H2、NH3、(SiH3)3N、氢化硅烷(如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯硅烷和氯聚硅烷(如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基硅烷(如(CH3)2SiH2、(C2H5)2SiH2、(CH3)SiH3、(C2H5)SiH3)、肼(如N2H4、MeHNNH2、MeHNNHMe)、有机胺(如N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(如B2H6、9-硼双环[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖嗪)、烷基金属(如三甲基铝、三乙基铝、二甲基锌、二乙基锌)、其自由基物质及其混合物。优选地,还原剂为H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基或其混合物。当使用还原剂时,所得含硅薄膜可为纯Si。
反应气体可经等离子处理,以便使反应气体分解成其自由基形式。当经等离子处理时N2也可用作还原剂。举例而言,可产生功率在约50W至约500W、优选约100W至约200W范围内的等离子。等离子可产生或存在于反应器自身内。或者,等离子可通常处于移离反应器的位置处,例如在远程定位的等离子体系中。本领域熟练技术人员将想到适合于该等离子处理的方法及装置。
所公开的有机硅烷前体也可与卤代硅烷或聚卤代硅烷(如六氯二硅烷、五氯二硅烷或四氯二硅烷)及一种或多种共反应物气体一起使用,以形成SiN或SiCN薄膜,如PCT公开案第WO2011/123792号中所公开,该公开案的全部内容引入本文供参考。
当所要含硅薄膜还含有另一元素(如但不限于Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Er)或其组合)时,共反应物可包括含金属前体,其选自但不限于金属烷基,如Ln(RCp)3或Co(RCp)2;金属胺,如Nb(Cp)(NtBu)(NMe2)3;及其任何组合。
有机硅烷前体及一种或多种共反应物可同时(化学气相沉积)、相继(原子层沉积)或以其他组合形式引入反应室中。举例而言,有机硅烷前体可在一次脉冲中引入且两种其他金属来源可在独立脉冲中一起引入[改进的原子层沉积]。或者,反应室在引入有机硅烷前体之前可能已含有共反应物。可使共反应物通过定位于反应室远程的等离子体系,且分解成自由基。或者,有机硅烷前体可连续引入反应室中,而其他金属来源通过脉冲引入(脉冲式化学气相沉积)。在各实施例中,可在脉冲之后进行吹扫或抽空步骤以移除所引入的过量组分。在各实施例中,脉冲可持续约0.01s至约10s,或者约0.3s至约3s,或者约0.5s至约2s范围内的时间。在另一替代方案中,有机硅烷前体及一种或多种共反应物可同时自淋浴喷头喷洒,在该淋浴喷头下固持若干晶圆的基座旋转(空间ALD)。
在一个非限制性例示性原子层沉积型制程中,有机硅烷前体的气相引入反应室中,在该反应室中其与适合基底接触。接着,可通过吹扫和/或抽空反应室来自反应室中移除过量有机硅烷前体。将氧源引入反应室中,在该反应室中其与所吸收的有机硅烷前体以自限制方式反应。通过吹扫和/或抽空反应室自反应室中移除任何过量氧源。若所要薄膜为氧化硅薄膜,则此两步制程可提供所要膜厚度或可重复直至已获得具有必需厚度的薄膜。
或者,若所要薄膜为硅金属氧化物薄膜(即SiMOx,其中x可为4且M为Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Er)或其组合),则可在以上两步制程之后将含金属前体的第二蒸气引入反应室中。含金属前体将基于所沉积的硅金属氧化物薄膜的性质来选择。在引入反应室中之后,含金属前体与基底接触。通过吹扫和/或抽空反应室自反应室中移除任何过量含金属前体。再次,可将氧源引入反应室中以与含金属前体反应。通过吹扫和/或抽空反应室自反应室中移除过量氧源。若已达成所要膜厚度,则制程可终止。然而,若需要较厚薄膜,则可重复整个四步骤制程。通过交替提供有机硅烷前体、含金属前体及氧源,可沉积具有所要组成及厚度的薄膜。
另外,通过改变脉冲次数,可获得具有所要化学计量M:Si比率的薄膜。举例而言,通过进行一次有机硅烷前体的脉冲及一次含金属前体的脉冲,且在各脉冲之后进行氧源的脉冲可获得SiMO2薄膜。然而,本领域技术人员应认识到为获得所要薄膜所需的脉冲次数可能不等于所得薄膜的化学计量比率。
在另一替代方案中,可经由ALD或改进的ALD制程使用所公开的化合物及具有式SiaH2a+2-bXb(其中X为F、Cl、Br或I;a=1至6;且b=1至(2a+2))的卤代硅烷化合物;或具有式-SicH2c-dXd-(其中X为F、Cl、Br、或I;c=3-8;且d=1至2c)的环状卤代硅烷化合物沉积Si或致密SiCN薄膜。优选地,卤代硅烷化合物为三氯硅烷、六氯二硅烷(HCDS)、五氯二硅烷(PCDS)、四氯二硅烷或六氯环六硅烷。本领域技术人员应认识到当必需为较低沉积温度时这些化合物中的Cl可经Br或I取代,此归因于Si-X键的较低键能(即Si-Cl=456kJ/mol;Si-Br=343kJ/mol;Si-I=339kJ/mol)。必要时,沉积可进一步使用含N反应物,如NH3。所公开的前体及卤代硅烷化合物的蒸气可视所要的最终薄膜浓度而相继或同时引入反应器。所选前体注入顺序将基于所要目的薄膜组成来确定。可重复前体引入步骤直至沉积层达成适合厚度。本领域技术人员应认识到当使用空间ALD装置时引导性脉冲(introductory pulse)可为同时的。如PCT公开案第WO2011/123792号中所描述,可改变前体引入顺序且可在存在或不存在NH3共反应物下执行沉积,以便调节SiCN薄膜中碳和氮的量。
由上文所论述的制程产生的含硅薄膜可包括Si、SiN、SiON、SiCN、SiCOH或MSiOx,其中M为如Hf、Zr、Ti、Nb、Ta或Ge的元素,且x可为4,当然视M的氧化态而定。本领域技术人员应认识到通过慎重选择适当有机硅烷前体和共反应物,可获得所要薄膜组成。
获得所要膜厚度后,可对薄膜进行进一步处理,如热退火、炉退火、快速热退火、UV或电子束固化和/或等离子气体暴露。本领域熟练技术人员可想到用于执行这些额外加工步骤的系统和方法。举例而言,含硅薄膜可在惰性氛围、含H氛围、含N氛围、含O氛围或其组合下暴露于约200℃至约1000℃范围内的温度,持续约0.1秒至约7200秒范围内的时间。最优选地,温度为400℃,在含H氛围下持续3600秒。所得薄膜可含有较少杂质,且因此可具有改进的效能特性。可在执行沉积制程的同一反应室中执行退火步骤。或者,可自反应室中移除基底,且在独立装置中执行退火/急骤退火制程。已发现以上后处理方法中的任一种、尤其热退火可有效减少含硅薄膜的碳和氮污染。
实施例
提供以下非限制性实施例以进一步说明本发明的具体实施方案。然而,这些实施例并不意欲包括所有且并不意欲限制本文所述的发明范畴。
实施例1
SiH3(NiPr-amd)的合成:在搅拌下,将甲基锂的乙醚溶液(91mL,1.6M,0.146mol)缓慢添加至N,N'-二异丙基碳化二亚胺(22.5mL,0.145mol)于乙醚(150mL)中的-40℃溶液中。添加完成后,使所得无色悬浮液升温至室温且搅拌三小时以形成无色溶液。在装备有-78℃(干冰/丙酮)冷凝器的独立烧瓶中装入乙醚(100mL)且冷却至-78℃。在搅拌下,一氯甲硅烷(13.2g,0.198mol)缓慢冷凝至第二烧瓶中,随后缓慢添加来自第一步骤的脒基锂溶液。最初观察到一定程度的发烟,随后形成无色沉淀。完成添加后,在剧烈搅拌过夜下使悬浮液缓慢达至室温。经含有硅藻土垫的中等玻璃粉过滤悬浮液且在大气压下使用维格罗管柱(Vigreux column)蒸馏所得无色溶液以移除溶剂。更换接收烧瓶且在-78℃浴中冷却,在25-28℃/200-300毫托下蒸馏得到呈无色液体状的所需产物。产量:7.9g(31.6%)。图1为显示此前体与DiPAS相比在温度变化下的重量损失百分比的TGA图。29Si NMR(80MHz,C6D6,25℃)δ(ppm)=-87.7;1H NMR(400MHz,C6D6,25℃)δ(ppm)=4.73(s,3H,SiH3),3.38(sept.,2H,1JH-H=8.0Hz,NCH(CH3)2),1.40(s,3H,CCH3),1.12(d,12H,1JH-H=8.0Hz,NCH(CH3)2)。
实施例2
SiH3(NtBu-amd)的合成:在装备有-78℃(干冰/丙酮)冷凝器的烧瓶中装入乙醚(100mL)且冷却至-78℃。在搅拌下,一氯甲硅烷(8.9g,0.134mol)缓慢冷凝至烧瓶中。在第二烧瓶中,将甲基锂的乙醚溶液(101mL,1.6M,0.162mol)缓慢添加至N,N'-二-叔丁基碳化二亚胺(25g,0.162mol)于乙醚(100mL)中的-40℃溶液中。添加完成后,使所得脒基锂无色悬浮液升温至室温且搅拌一小时以形成无色溶液。将所得Li-amd溶液冷却至0℃且由套管添加至一氯甲硅烷(MCS)于乙醚中的-78℃溶液中。最初观察到一定程度的发烟,随后形成无色沉淀。完成添加后,在剧烈搅拌下使悬浮液达至室温。
停止搅拌,且使固体沉降随后经具有硅藻土床的中等玻璃粉过滤。将所得无色溶液转移至含有干燥Amberlyst A21树脂(5g)的烧瓶中,略微搅拌且使其在室温下静置14小时。接着,过滤溶液且在大气压下使用短程管柱蒸馏以移除溶剂和高挥发物,从而得到高黏性浅黄色液体。更换接收烧瓶且冷却至-78℃,在55-61℃/100毫托下蒸馏得到呈无色液体状的所需产物,其在室温下静置之后缓慢结晶。产量:14.5g(54.1%)。MP=36℃,29SiNMR(80MHz,C6D6,25℃)δ(ppm)=-117.5;1H NMR(400MHz,C6D6,25℃)δ(ppm)=5.05(s,3H,SiH3),1.79(s,3H,CCH3),1.25(s,9H,NC(CH3)3)。
实施例3
SiH3(NiPr-amd)的ALD:使用实施例1中制备的SiH3(NiPr-amd)执行ALD测试,将该SiH3(NiPr-amd)置放于室温下的容器中。使用典型ALD条件,如在固定于约0.5托的反应器压力下使用臭氧。如图2中所示,在275℃下在纯硅晶圆上评估完全表面饱和及反应情况下的ALD特性。本领域技术人员应认识到不同沉积设备可在不同前体引入时间展现表面饱和。折射率为SiO2薄膜的特性(纯SiO2的折射率为1.46)。由前体引入时间变化引起的折射率变化指示薄膜中的杂质。
应了解,在如所附申请专利范围中所表述的本发明原理和范畴内,本领域熟练技术人员可对本文中已描述且说明以便解释本发明的性质的细节、材料、步骤和部件配置作出许多其他改变。因此,本发明并不意欲限于以上所提供的实施例和/或附图中的特定具体实施方案。

Claims (14)

1.一种含Si薄膜形成前体,其具有下式:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环基且R3可为H、C1-C6烷基、C3-C20芳基或杂环基、氨基、烷氧基或卤素。
2.根据权利要求1的含Si薄膜形成前体,其具有下式:
其中R1和R2可各自独立地为C1-C6烷基。
3.根据权利要求2的含Si薄膜形成前体,其中分子为SiH3(NiPr-amd)。
4.根据权利要求1的含Si薄膜形成前体,其具有下式:
其中R1、R2、R3和R4可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环。
5.根据权利要求1的含Si薄膜形成前体,其具有下式:
其中R1、R2和R3可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环。
6.根据权利要求1的含Si薄膜形成前体,其具有下式:
其中R1和R2可各自独立地为H、C1-C6烷基或C3-C20芳基或杂环且X可为Cl、Br、I或F。
7.一种在基底上沉积含Si层的方法,所述方法包括:
将至少一种根据权利要求1-6中任一项的有机硅烷前体引入其中安置有至少一个基底的反应器中;
使用气相沉积法将至少一部分有机硅烷前体沉积至至少一个基底上以形成含Si层。
8.根据权利要求7的方法,其进一步包含向反应器中引入至少一种共反应物。
9.根据权利要求8的方法,其中共反应物选自:O2、O3、H2O、H2O2、NO、NO2、羧酸、其自由基及其组合,优选经等离子处理的氧气或臭氧。
10.根据权利要求8的方法,其中共反应物选自:H2、NH3、(SiH3)3N、氢化硅烷(如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯硅烷和氯聚硅烷(如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基硅烷(如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3)、肼(如N2H4、MeHNNH2、MeHNNHMe)、有机胺(如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(如B2H6、9-硼双环[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖嗪)、烷基金属(如三甲基铝、三乙基铝、二甲基锌、二乙基锌)、其自由基物质及其混合物。
11.根据权利要求10的方法,其中共反应物选自:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基及其混合物。
12.根据权利要求10的方法,其中共反应物选自:SiHCl3、Si2Cl6、Si2HCl5、Si2H2Cl4和环-Si6H6Cl6
13.根据权利要求7的方法,其中气相沉积法为化学气相沉积法。
14.根据权利要求7的方法,其中气相沉积法为原子层沉积法。
CN201380007437.1A 2012-07-20 2013-07-19 用于ald/cvd含硅薄膜应用的有机硅烷前体 Active CN104080944B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261674103P 2012-07-20 2012-07-20
US61/674,103 2012-07-20
PCT/US2013/051244 WO2014015232A1 (en) 2012-07-20 2013-07-19 Organosilane precursors for ald/cvd silicon-containing film applications

Publications (2)

Publication Number Publication Date
CN104080944A true CN104080944A (zh) 2014-10-01
CN104080944B CN104080944B (zh) 2016-08-24

Family

ID=49949268

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380007437.1A Active CN104080944B (zh) 2012-07-20 2013-07-19 用于ald/cvd含硅薄膜应用的有机硅烷前体

Country Status (7)

Country Link
US (3) US9938303B2 (zh)
EP (1) EP2875166B1 (zh)
JP (3) JP6242026B2 (zh)
KR (3) KR20150036122A (zh)
CN (1) CN104080944B (zh)
TW (4) TWI620751B (zh)
WO (4) WO2014015241A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104341447A (zh) * 2014-11-06 2015-02-11 江南大学 一种含n脒基硅化合物及其应用
CN110291223A (zh) * 2017-02-16 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 用于形成含Si膜的方法

Families Citing this family (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6242026B2 (ja) 2012-07-20 2017-12-06 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (ko) * 2014-03-21 2015-10-02 삼성전자주식회사 기체 차단 필름, 이를 포함하는 냉장고 및 기체 차단 필름의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN113025992B (zh) * 2014-10-24 2024-02-02 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN104447838B (zh) * 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016094711A2 (en) * 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
EP3298177A1 (en) * 2015-05-22 2018-03-28 Dow Corning Corporation Pentachlorosilane
TWI617690B (zh) * 2015-06-16 2018-03-11 慧盛材料美國責任有限公司 鹵代矽烷化合物及使用其的用於沉積含矽膜之組合物和方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) * 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127044A1 (en) * 2016-01-18 2017-07-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions for ald/cvd of silicon-containing films
GB201601221D0 (en) * 2016-01-22 2016-03-09 Semblant Ltd Coated electrical assembly
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6473269B2 (ja) * 2016-02-29 2019-02-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018071379A1 (en) 2016-10-12 2018-04-19 Dow Silicones Corporation Thio(di)silanes
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR20200007823A (ko) 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102347201B1 (ko) * 2017-10-25 2022-01-04 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN111727272B (zh) * 2017-12-20 2023-04-28 巴斯夫欧洲公司 产生含金属膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10367415B1 (en) * 2018-08-28 2019-07-30 Ferric Inc. Processor module with integrated packaged power converter
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TWI723856B (zh) * 2020-04-28 2021-04-01 逢甲大學 大氣常壓低溫電漿沉積抗刮疏水層的方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022226310A1 (en) * 2021-04-23 2022-10-27 Entegris, Inc. High quantum efficiency dry resist for low exposure dose of euv radiation
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101078109A (zh) * 2006-05-23 2007-11-28 气体产品与化学公司 从有机氨基硅烷前体制备氧化硅薄膜的方法
CN102057077A (zh) * 2008-06-05 2011-05-11 乔治洛德方法研究和开发液化空气有限公司 含镧系元素的前体的制备和含镧系元素的薄膜的沉积

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2590039A (en) 1948-07-30 1952-03-18 Socony Vacuum Oil Co Inc Reaction of organosiliconhalides with organodithiols and diselenyl substituted organo compounds, and products thereof
NL126402C (zh) 1964-11-16
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
FR2575466B1 (fr) 1984-12-27 1987-02-20 Centre Nat Rech Scient Nouveaux complexes de silicium hexacoordines, leur procede de preparation et leur application
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP2716330B2 (ja) 1992-11-13 1998-02-18 セントラル硝子株式会社 低反射ガラスおよびその製法
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6649083B1 (en) * 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6736993B1 (en) 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030118725A1 (en) 2001-11-02 2003-06-26 Shipley Company, L.L.C. Precursor compounds for metal oxide film deposition and methods of film deposition using the same
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
AU2003290956A1 (en) 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102006029430A1 (de) * 2006-06-27 2008-01-03 Wacker Chemie Ag Verfahren zur Herstellung von siliciumorganischen Verbindungen durch Hydrosilylierung in ionischen Flüssigkeiten
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
JP5320295B2 (ja) 2006-11-02 2013-10-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属薄膜のcvd/aldに有用なアンチモンおよびゲルマニウム錯体
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2008128141A2 (en) 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
WO2009006272A1 (en) 2007-06-28 2009-01-08 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101308572B1 (ko) 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
WO2011020028A2 (en) 2009-08-14 2011-02-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Silane blend for thin film vapor deposition
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8535760B2 (en) 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
JP2013520030A (ja) * 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード SiCOHLOW−K膜の蒸着方法
WO2011122583A1 (ja) 2010-03-29 2011-10-06 楽天株式会社 サーバ装置、情報提供方法、情報提供プログラム、情報提供プログラムが記録された記録媒体、及び情報提供システム
JP5815669B2 (ja) 2010-04-01 2015-11-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
US8404878B2 (en) 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US20120277457A1 (en) 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
KR20120078909A (ko) 2011-01-03 2012-07-11 닛산 가가쿠 고교 가부시키 가이샤 신규한 유기아미노 규소 화합물 및 이를 이용한 규소 함유 박막
KR101938903B1 (ko) 2011-02-05 2019-01-15 가부시키가이샤 브리지스톤 금속복합체 촉매 및 이를 이용한 중합 방법
WO2012176989A1 (en) 2011-06-24 2012-12-27 Up Chemical Co., Ltd. A diamine compound or its salt, preparing method of the same, and uses of the same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
DE102012002408B4 (de) 2012-02-09 2015-09-24 Fachhochschule Düsseldorf Messanordnung und Verfahren zur Erfassung der Kraftbeanspruchung bei einem biegeweichen Objekt
JP6242026B2 (ja) 2012-07-20 2017-12-06 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN104447838B (zh) 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用
CN104341447B (zh) 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101078109A (zh) * 2006-05-23 2007-11-28 气体产品与化学公司 从有机氨基硅烷前体制备氧化硅薄膜的方法
CN102057077A (zh) * 2008-06-05 2011-05-11 乔治洛德方法研究和开发液化空气有限公司 含镧系元素的前体的制备和含镧系元素的薄膜的沉积

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104341447A (zh) * 2014-11-06 2015-02-11 江南大学 一种含n脒基硅化合物及其应用
CN104341447B (zh) * 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
CN110291223A (zh) * 2017-02-16 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 用于形成含Si膜的方法

Also Published As

Publication number Publication date
TWI586678B (zh) 2017-06-11
TWI579292B (zh) 2017-04-21
TWI620751B (zh) 2018-04-11
TW201412763A (zh) 2014-04-01
JP2015525774A (ja) 2015-09-07
TW201410689A (zh) 2014-03-16
EP2875166A4 (en) 2016-06-01
TWI631129B (zh) 2018-08-01
EP2875166B1 (en) 2018-04-11
KR20150034123A (ko) 2015-04-02
WO2014015237A1 (en) 2014-01-23
WO2014015241A1 (en) 2014-01-23
US9593133B2 (en) 2017-03-14
US20150004317A1 (en) 2015-01-01
WO2014015248A1 (en) 2014-01-23
EP2875166A1 (en) 2015-05-27
US9371338B2 (en) 2016-06-21
KR20150036114A (ko) 2015-04-07
TW201412762A (zh) 2014-04-01
TW201410690A (zh) 2014-03-16
US20150166577A1 (en) 2015-06-18
KR20150036122A (ko) 2015-04-07
JP6242026B2 (ja) 2017-12-06
US20150166576A1 (en) 2015-06-18
JP2015528011A (ja) 2015-09-24
CN104080944B (zh) 2016-08-24
JP2015525773A (ja) 2015-09-07
WO2014015232A1 (en) 2014-01-23
US9938303B2 (en) 2018-04-10

Similar Documents

Publication Publication Date Title
CN104080944B (zh) 用于ald/cvd含硅薄膜应用的有机硅烷前体
JP6310018B2 (ja) ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
CN107002236B (zh) 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
EP2669249B1 (en) Method for depositing silicon-containing films using organoaminodisilane precursors
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
KR101470876B1 (ko) 오가노아미노실란 전구체 및 이를 제조하고 사용하는 방법
EP2669248B1 (en) Organoaminodisilane precursors and methods for depositing films comprising same
KR101820397B1 (ko) 알콕시아미노실란 화합물 및 이의 응용품
CN107667187A (zh) 用于形成含硅和氧的薄膜的汽相沉积方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant