CN104080944A - Organosilane precursors for ALD/CVD silicon-containing film applications - Google Patents

Organosilane precursors for ALD/CVD silicon-containing film applications Download PDF

Info

Publication number
CN104080944A
CN104080944A CN201380007437.1A CN201380007437A CN104080944A CN 104080944 A CN104080944 A CN 104080944A CN 201380007437 A CN201380007437 A CN 201380007437A CN 104080944 A CN104080944 A CN 104080944A
Authority
CN
China
Prior art keywords
sih
precursor
film
alkyl
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380007437.1A
Other languages
Chinese (zh)
Other versions
CN104080944B (en
Inventor
C·迪萨拉
G·库肯拜泽尔
V·R·帕里姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN104080944A publication Critical patent/CN104080944A/en
Application granted granted Critical
Publication of CN104080944B publication Critical patent/CN104080944B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Disclosed are Si-containing thin film forming precursors, methods of synthesizing the same, and methods of using the same to deposit silicon-containing films using vapor deposition processes for manufacturing semiconductors, photovoltaics, LCD-TFT, flat panel-type devices, refractory materials, or aeronautics.

Description

For the organosilane precursor of ALD/CVD silicon-containing film application
Quoting alternately of related application
The application advocates the U.S. Provisional Application the 61/674th of application on July 20th, 2012, the right of priority of No. 103, and the full content of this application is incorporated herein for reference.
Technical field
Openly form precursor, its synthetic method containing Si film and use it to use the method for vapour deposition process depositing silicon-containing films for the manufacture of semi-conductor, photovoltaic devices, LCD-TFT, plate device, refractory materials or aeronautical material.
Prior art
Be widely used in semi-conductor, photovoltaic devices, LCD-TFT, plate device, refractory materials or Aviation Industry containing Si film.Can be for example as the dielectric materials (SiO insulating with electrical properties containing Si film 2, SiN, SiCN, SiCOH, MSiOx, wherein M is that Hf, Zr, Ti, Nb, Ta or Ge and x are greater than zero), can be used as conductive film containing Si film, as metal silicide or metal silicon nitride.Because electrical devices framework reduces towards nano level (especially lower than 28nm node) strict demand that size applies, need the molecular precursor of more and more meticulous adjusting, these molecular precursor also meet volatility (for ALD processing procedure), lower process temperatures, and the reactivity of various oxygenants and the requirement of low Film Contamination except having the conformality of high deposition rate, the film that produces and firmness.
Know silane (SiH 4) can be used for hot CVD.But this molecule tool pyrophoricity, makes this room temperature air face the challenge aspect safe handling thus.Use and adopted halogenated silanes (as dichlorosilane SiH 2cl 2) CVD method.But these methods may need long purge time, cause the halogen of film to pollute and particle formation (by ammonium chloride salt formation), and even destroy some matrix, thereby cause that undesirable interfacial layer forms.With moieties substitute halogen and can obtain some and improve, but cost is to produce disadvantageous Prevent Carbon Contamination in film.
Organic amino base silane has been used as the precursor containing the CVD of Si film.The people's such as Dussarrat US7192626 has reported and has used three silanamines N (SiH 3) 3deposit SiN film.Other precursors of having reported comprise diisopropyl ammonia base silane [SiH 3(NiPr 2)] and similar SiH 3(NR 2) compound (referring to the people's such as such as Thridandam US 7875312) and phenyl methyl aminosilane [SiH 3] and the relevant silane aniline (referring to the people's such as such as Xiao EP 2392691) being substituted (NPhMe).
The Si precursor for the CVD containing Si film of another related category is by general formula (R 1r 2n) xsiH 4-xprovide, wherein x between 1 and 4 and R substituting group be H, C1-C6 straight chain, branched chain or ring-type carbochain (referring to the people's such as such as Dussarrat WO2006/097525) independently.
The people such as Hunks disclose many containing Si precursor in US2010/0164057, comprise and have formula R 4-xsiL xsilicon compound, wherein x is the integer with the value of 1-3; R can be selected from H, branched chain and not branched chain C1-C6 alkyl, C3-C8 cycloalkyl and C6-C13 aryl; And L can be selected from isocyanate group, methyl ethyl ketone oxime, trifluoro-acetate, triflate, acyloxy, beta-diketon imines (β-diketiminate), β-bis--imines (β-di-iminate), amidine, guanidine, alkylamino, hydride, alkoxide or manthanoate ligand.The people such as Pinnavaia have advocated a kind of by Acetyl Acetone acid silicon and 1, and 3-diketone silicon (silicon 1,3-diketonate) precursor is prepared the method (US6465387) of the synthetic hypocrystalline organic and inorganic heterozygosis silicon oxide constituent of porous.
Although there are all more options to can be used for the deposition containing Si film, think that unit engineering teacher provides the ability of manufacturing processing procedure requirement and reaching the film with wanted electricity and physical properties that regulates but still constantly find other precursors.
Notation and nomenclature
Run through following specification sheets and claim and use some abbreviation, symbol and term, and comprise:
As used herein, indefinite article " (a/an) " means one (kind) or multiple (kinds).
As used herein, term " independently " in the time of the situation for describing R group, be interpreted as representing described R group not only with respect to identical or different subscript or on other R groups of target select independently, also select independently with respect to any other kind of same R group.For example, at formula MR 1 x(NR 2r 3) (4-x)in (wherein x is 2 or 3), two or three R 1group can but without each other or and R 2or R 3identical.In addition, should be appreciated that, unless other specific statement, in the time being used for different formula, the value of R group is independent of one another.
As used herein, term " alkyl " refers to exclusively contain the saturated functional group of carbon and hydrogen atom.In addition, term " alkyl " refers to straight chain, branched chain or cyclic alkyl.The example of straight chained alkyl includes but not limited to methyl, ethyl, propyl group, butyl etc.The example of branched chain alkyl includes but not limited to the tertiary butyl.The example of cyclic alkyl includes but not limited to cyclopropyl, cyclopentyl, cyclohexyl etc.
As used herein, term " aryl " refers in ring, remove the aromatic compound of a hydrogen atom.As used herein, term " heterocycle " refers to ring compound, and it has the atom of at least two kinds of different elements as the member of its ring.
As used herein, abbreviation " Me " nail base; Abbreviation " Et " refers to ethyl; Abbreviation " Pr " refers to any propyl group (being n-propyl or sec.-propyl); Abbreviation " iPr " refers to sec.-propyl; Abbreviation " Bu " refers to any butyl (normal-butyl, isobutyl-, the tertiary butyl, sec-butyl); Abbreviation " tBu " refers to the tertiary butyl; Abbreviation " sBu " refers to sec-butyl; Abbreviation " iBu " refers to isobutyl-; Abbreviation " Ph " refers to phenyl; Abbreviation " Am " refers to any amyl group (isopentyl, sec.-amyl sec-pentyl secondary amyl, tert-pentyl); Abbreviation " Cy " refers to cyclic alkyl (cyclobutyl, cyclopentyl, cyclohexyl etc.); And abbreviation " R-amd " refers to R-N-C (Me)-N-R amidine ligand, and wherein R is alkyl (for example iPr-amd is iPr-N-C (Me)-N-iPr).
As used herein, acronym " SRO " represents strontium ruthineum oxide film; Acronym " HCDS " represents hexachloro-silane; And acronym " PCDS " represents pentachloro-disilane.
Use the standardized abbreviations of the element of the periodic table of elements herein.Should be appreciated that, can abridge to refer to element (for example Si refers to silicon, and N refers to nitrogen, and O refers to oxygen, and C refers to carbon etc.) with these.
Accompanying drawing summary
In order further to understand character of the present invention and object, should describe in detail with reference to following by reference to the accompanying drawings, wherein similar assembly is specified by identical or similar Ref. No. and wherein:
Fig. 1 is thermogravimetric analysis (TGA) figure, and it shows SiH 3(N iand SiH Pr-amd) 3(N tBu-amd) weight loss per-cent compared with DiPAS (diisopropylaminoethyl silane) under temperature variation; And
Fig. 2 is the figure that shows precursor introducing time contrast sedimentation rate and specific refractory power.
Summary of the invention
Openly there is the organosilane molecule of following formula:
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocyclic radical and R independently of one another 3can be H, C1-C6 alkyl or C3-C20 aryl or heterocyclic radical, amino, alkoxy or halogen;
R 1with R 2and/or R 2with R 3connect to form closed chain;
Organosilane molecule has following formula:
Wherein R 1and R 2can be C1-C6 alkyl independently of one another;
Organosilane molecule is H 3si (N ipr-amd);
Organosilane molecule has following formula:
Wherein R 1, R 2, R 3and R 4can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another;
Organosilane molecule is H 3si (( ipr) N-C (NMe 2)-N ( ipr)-);
Organosilane molecule has following formula:
Wherein R 1, R 2and R 3can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another;
Organosilane molecule is H 3si ((iPr) N-C (OMe)-N (iPr)-);
Organosilane molecule has following formula:
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another; And X can be Cl, Br, I or F; And
Organosilane molecule is H 3si ((iPr) N-C (Cl)-N (iPr)-).
What also openly have following formula forms precursor containing Si film:
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocyclic radical and R independently of one another 3can be H, C1-C6 alkyl, C3-C20 aryl or heterocyclic radical, amino, alkoxy or halogen;
R 1with R 2and/or R 2with R 3connect to form closed chain;
Form precursor containing Si film and there is following formula:
Wherein R 1and R 2can be C1-C6 alkyl independently of one another;
Forming precursor containing Si film is H 3si (N ipr-amd);
Form precursor containing Si film and there is following formula:
Wherein R 1, R 2, R 3and R 4can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another;
Forming precursor containing Si film is H 3si (( ipr) N-C (NMe 2)-N ( ipr)-);
Form precursor containing Si film and there is following formula:
Wherein R 1, R 2and R 3can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another; And
Forming precursor containing Si film is H 3si ((iPr) N-C (OMe)-N (iPr)-);
Form precursor containing Si film and there is following formula:
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another; And X can be Cl, Br, I or F; And
Forming precursor containing Si film is H 3si ((iPr) N-C (Cl)-N (iPr)-).
Also be disclosed in substrate the method for deposition containing Si layer.
Disclosed at least one organosilane precursor is introduced and is wherein mounted with in the reactor of at least one substrate above.Use vapour deposition process that at least a portion organosilane precursor deposition is contained to Si layer to form at least one substrate.Disclosed method can have one or more in following aspect:
In reactor, introduce the steam that comprises at least one the second precursor;
The element of at least one the second precursor is selected from: the 2nd family, the 13rd family, the 14th family, transition metal, lanthanon and combination thereof;
The element of at least one the second precursor is selected from Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y or lanthanon;
In reactor, introduce at least one co-reactant;
Co-reactant is selected from: O 2, O 3, H 2o, H 2o 2, NO, NO 2, carboxylic acid, its free radical and combination thereof;
Co-reactant is the oxygen through plasma treatment;
Co-reactant is ozone;
Be silicon oxide layer containing Si layer;
Co-reactant is selected from: H 2, NH 3, (SiH 3) 3n, hydrosilanes are (as SiH 4, Si 2h 6, Si 3h 8, Si 4h 10, Si 5h 10, Si 6h 12), chlorosilane and chlorine polysilane be (as SiHCl 3, SiH 2cl 2, SiH 3cl, Si 2cl 6, Si 2hCl 5, Si 3cl 8), alkyl silane is (as Me 2siH 2, Et 2siH 2, MeSiH 3, EtSiH 3), hydrazine is (as N 2h 4, MeHNNH 2, MeHNNHMe), organic amine is (as NMeH 2, NEtH 2, NMe 2h, NEt 2h, NMe 3, NEt 3, (SiMe 3) 2nH), pyrazoline, pyridine, containing B molecule (as B 2h 6, 9-boron dicyclo [3,3,1] nonane, trimethyl-boron, triethyl-boron, boron azine (borazine)), metal alkylide (as trimethyl aluminium, triethyl aluminum, zinc methide, zinc ethyl), its free radical material and composition thereof.
Co-reactant is selected from: H 2, NH 3, SiH 4, Si 2h 6, Si 3h 8, SiH 2me 2, SiH 2et 2, N (SiH 3) 3, its hydroperoxyl radical and composition thereof;
Co-reactant is through plasma treatment;
Co-reactant is through remote plasma processing;
Co-reactant is without plasma treatment;
Co-reactant is H 2;
Co-reactant is NH 3;
Co-reactant is HCDS;
Co-reactant is PCDS;
Co-reactant is tetrachloro silicane;
Co-reactant is trichlorosilane;
Co-reactant is chlordene ring six silane;
Vapour deposition process is chemical Vapor deposition process;
Vapour deposition process is ald (ALD) method;
Vapour deposition process is space ALD method;
Silicon-containing layer is Si;
Silicon-containing layer is SiO 2;
Silicon-containing layer is SiN;
Silicon-containing layer is SiON;
Silicon-containing layer is SiCN; And
Silicon-containing layer is SiCOH.
Embodiment
Disclose containing Si film and formed precursor, its synthetic method and use it to use the method for vapour deposition process depositing silicon-containing films for the manufacture of semi-conductor, photovoltaic devices, LCD-TFT, plate device, refractory materials or aeronautical material.
Disclosed organosilane precursor has following formula:
Amidine
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocyclic radical and R independently of one another 3can be H, C1-C6 alkyl, C3-C20 aryl or heterocyclic radical, amino, alkoxy or halogen.R 1and R 2and/or R 2and R 3can connect to form closed chain.
As illustrated in this formula, nitrogen-atoms is binding on Siliciumatom, thereby produces pentacoordinate Si (IV) center.Carbon atom in the main chain of bidentate single anion ligand is sp 2hydridization, thus non-localized electric charge in ligand, produced.Nitrogen and carbon atom can replace through H, C1-C6 alkyl, aryl or heterocyclic radical independently of one another.
Disclosed organosilane precursor may be than other R due to the super coordination at Siliciumatom place 4-xsiL xprecursor has more reactivity.In other words, although Siliciumatom is+IV that three hydrogen bonds and single anion chelating ligand and Siliciumatom form 5 keys altogether.
Those skilled in the art will recognize that and use alkyl, aryl or the heterocyclic radical (being H, C1 or C2) in hydrogen or any R group with less carbon atom, compared with thering is the molecule of the alkyl, aryl or the heterocyclic radical that contain more carbon (being C4+), generation is had to more high-volatile molecule.Because the nitrogen content of its two nitrogen-atoms in-N-C-N-ligand increases, these molecules can be used for producing the silicon-containing film that also contains nitrogen, as SiN, SiCN, SiON, MSiN or MSiON, wherein M is as the element of Hf, Zr, Ti, Nb, Ta or Ge, or for regulating the amount of nitrogen of they's film.
Work as R 3during for Me, gained precursor is the compound that contains amidine with following formula:
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocyclic radical independently of one another.Preferably, R1 and R2 are respectively C1-C6 alkyl independently.
Amidine precursor can synthesize as follows: by by SiXH 3(wherein X is Cl, Br, I or trifluoromethanesulfonic acid root (SO 3cF 3 -)) hydrocarbon solution and pure ligand compound (as Li[R 1nC (R 2) NR 3] or Li (amd)) or its hydrocarbon solution under nitrogen atmosphere, combine, the outlet and the oily bubbler that mix flask are connected to suppress air and moisture backflow.
The second route of synthesis that obtains disclosed amidine precursor is by make protonated ligand (R under inert atmosphere 1n=C (R 2)-NRH 3) and pure dialkyl amino base silane [SiH 3(NR 2)] or its hydrocarbon solution reaction.
Or disclosed amidine precursor can synthesize as follows: pass through SiH ncl 4-nwith the ligand compound of single equivalent (be Li[R 1nC (R 2) NR 3] or Li (amd)) reaction, use subsequently selected metal hydride (as LAH (lithium aluminium hydride)) reduction.
In all three route of synthesis, can at room temperature stir gained solution and spend the night.The exemplary hydrocarbon solution that is suitable for these synthetic methods comprises ether, pentane, hexane or toluene.Filter gained suspension and distillation gained solution to remove solvent.Respectively by distilling or the purifying gained liquid or solid that distils.Except ligand compound Li (amd), all parent materials all can buied on the market.Ligand compound can be by (being R by the hydrocarbon solution of organic metal salt (being lithium alkylide) with suitable carbodiimide 1n=C=NR 3) hydrocarbon solution synthesize.Other synthetic details are provided in embodiment.
Exemplary amidine precursor comprises:
Preferably, amidine precursor is SiH 3(N ipr-amd).
Work as R 3for amino (is NR 3r 4) time, gained precursor is the compound that contains guanidine with following formula:
Guanidine
Wherein R 1, R 2, R 3and R 4can be H, C1-C6 alkyl, C3-C20 aryl or heterocycle independently of one another.Due to it, nitrogen content increases compared with other molecules time, and these molecules can be used for producing the silicon-containing film (as SiN or SiON) that also contains nitrogen, or for regulating the amount of nitrogen of the film that contains SiN or SiON.
Guanidine precursor can synthesize as follows: by by SiXH 3(wherein X is Cl, Br, I or trifluoromethanesulfonic acid root (SO 3cF 3 -)) hydrocarbon solution and pure ligand compound (as Li[R 1nC (NR 3r 4) NR 2] (or Li (gnd))) or its hydrocarbon solution under nitrogen atmosphere, combine, the outlet and the oily bubbler that mix flask are connected to suppress air and moisture backflow.
The second route of synthesis that obtains disclosed guanidine precursor is by make protonated ligand (R under inert atmosphere 1n=C (NR 3r 4)-NR 2h) with pure dialkyl amino base silane [SiH 3(NR 2)] or its hydrocarbon solution reaction.
Or disclosed guanidine precursor can synthesize as follows: pass through SiH ncl 4-nwith the ligand compound of single equivalent (be Li[R 1nC (NR 3r 4) NR 2] or Li (gnd)) reaction, use subsequently selected metal hydride (as LAH (lithium aluminium hydride)) reduction.
In all three route of synthesis, can at room temperature stir gained solution and spend the night.The exemplary hydrocarbon solution that is suitable for these synthetic methods comprises ether, pentane, hexane or toluene.Filter gained suspension and distillation gained solution to remove solvent.Respectively by distilling or the purifying gained liquid or solid that distils.Except ligand compound Li (gnd), all parent materials all can buied on the market.Ligand compound can be by (being Lithamide-Li (NR by organic metal salt 3r 4)) hydrocarbon solution (be R with suitable carbodiimide 1n=C=NR 2) hydrocarbon solution synthesize.
Exemplary guanidine precursor comprises:
Preferably, guanidine precursor is H 3si (( ipr) N-C (NMe 2)-N ( ipr)-).
Work as R 3for alkoxyl group (is OR 3) time, gained precursor is the compound that contains isourea with following formula:
Isourea
Wherein R 1, R 2and R 3can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another.
Due to it, oxygen level increases compared with other molecules time, and these molecules also can be used for producing silicon-containing film containing aerobic (as SiO 2or SiON), or contain SiO for regulating 2or the amount of oxygen in the film of SiON.
Isourea precursor can synthesize as follows: by by SiXH 3(wherein X is Cl, Br, I or trifluoromethanesulfonic acid root (SO 3cF 3-)) hydrocarbon solution and pure ligand compound (as Li[R 1nC (OR 3) NR 2] (or Li (iso))) or its hydrocarbon solution under nitrogen atmosphere, combine, the outlet and the oily bubbler that mix flask are connected to suppress air and moisture backflow.
The second route of synthesis that obtains disclosed isourea precursor is by make protonated ligand (R under inert atmosphere 1n=C (OR 3)-NR 2h) with pure dialkyl amino base silane [SiH 3(NR 2)] or its hydrocarbon solution reaction.
Or disclosed isourea precursor can synthesize as follows: pass through SiH ncl 4-nwith the ligand compound of single equivalent (be Li[R 1nC (OR 3) NR 2] or Li (iso)) reaction, use subsequently selected metal hydride (as LAH (lithium aluminium hydride)) reduction.
In all three route of synthesis, can at room temperature stir gained solution and spend the night.The exemplary hydrocarbon solution that is suitable for these synthetic methods comprises ether, pentane, hexane or toluene.Filter gained suspension and distillation gained solution to remove solvent.Respectively by distilling or the purifying gained liquid or solid that distils.Except ligand compound Li (iso), all parent materials all can buied on the market.Ligand compound can be by (being alkanol lithium-Li (OR by organic metal salt 3)) hydrocarbon solution (be R with suitable carbodiimide 1n=C=NR 2) hydrocarbon solution synthesize.
Exemplary isourea precursor comprises:
Preferably, isourea precursor is H 3si ((iPr) N-C (OMe)-N (iPr)-).
Work as R 3during for halogen (being X), gained precursor is the compound that contains α-halogen amidine with following formula:
α-halogen amidine
Wherein R 1and R 2can be that H, C1-C6 alkyl or C3-C20 aryl or heterocycle and X can be Cl, Br, I or F independently of one another.Halogen atom can improve the conformality of the ald of non-crystalline silicon.
α-halogen amidine precursor can synthesize as follows: by combining through disubstituted urea derivatives R 1hN-(C=O)-NHR 2hydrocarbon solution and O=CX 2hydrocarbon solution, as described in the people such as Neubauer (Chemische Berichte, 1964,97 (5), 1232-1245).Add the hydrocarbon solution of suitable alkali (as hexamethyldisilane potassium amide) of a molar equivalent to reaction mixture, and filter gained suspension to remove metal-salt by product.Gained solution can with SiRH 3reaction, wherein R is phenyl, tolyl or other suitable aryl substituents.Gained mixture can be by fractionation purifying.The exemplary hydrocarbon solution that is suitable for these synthetic methods comprises ether, pentane, hexane or toluene.All parent materials all can buied on the market.
Exemplary α-halogen amidine precursor comprises:
Preferably, α-halogen amidine is H 3si ((iPr) N-C (Cl)-N (iPr)-).
Also openly use disclosed organosilane precursor to carry out the method for vapour deposition process.Disclosed method provides the purposes of organic silane precursor for depositing silicon-containing films.Disclosed method is applicable to manufacturing semi-conductor, photovoltaic devices, LCD-TFT or plate device.The method comprises: substrate is provided; At least one the steam comprising in disclosed organosilane precursor is provided; And make steam and substrate contact (and typically steam being directed to substrate) to form silicon-containing layer at least one surface of substrate.
Disclosed method also can be used vapour deposition process in substrate, to form containing double-metal layer and deposition SiMO in more specific words xfilm, wherein x be 4 and M be Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanon (as Er) or its combination.Disclosed method is applicable to manufacturing semi-conductor, photovoltaic devices, LCD-TFT or plate device.The method comprises: substrate is provided; Provide comprise in disclosed organosilane precursor at least one steam and make steam and substrate contact (and typically steam being directed to substrate) to form containing bimetallic layer at least one surface of substrate.As O 3, O 2, H 2o, NO, H 2o 2, acetic acid, formalin, trioxymethylene, its oxyradical and combination thereof but preferred O 3or through the O of plasma treatment 2oxygen source also can provide together with steam.
Disclosed organosilane precursor can be used for any deposition silicon-containing film that uses those skilled in the art known.The example that is applicable to deposition method includes but not limited to known chemical vapour deposition (CVD), low-pressure chemical vapor deposition (LPCVD), ald (ALD), pulsed chemical vapour deposition (P-CVD), hot ALD, hot CVD, plasma enhanced ald (PE-ALD), plasma enhanced chemical vapor deposition (PE-CVD), space ALD or its combination.Preferably, deposition method is ALD, space ALD or PE-ALD.
Organosilane precursor vapor is introduced in the reaction chamber that contains at least one substrate.Temperature and pressure in reaction chamber and the temperature of substrate remain on and are suitable at least a portion organosilane precursor gas phase to be deposited under suprabasil condition.In other words, in vaporized precursor is introduced to chamber after, the condition in chamber makes at least a portion vaporized precursor be deposited in substrate to form silicon-containing film.Co-reactant also can be used for helping to form containing Si layer.
Reaction chamber can be any closed region or the chamber that in device, carry out deposition method, as but be not limited to the sedimentary system of parallel plate type reactor, cold-wall type reactor, hot wall type reactor, single-wafer reactor, many wafer reactor or other these types.All these exemplary reaction chambers all can serve as ALD reaction chamber.Reaction chamber can maintain approximately 0.5 millitorr to the pressure within the scope of approximately 20 holders.In addition, the temperature in reaction chamber can be within the scope of approximately 20 DEG C to approximately 600 DEG C.Those skilled in the art will recognize that can only optimize temperature via experiment is wanted result to reach.
Can control temperature of reactor by the temperature of the temperature of control substrate holder or control reactor wall.Be that this area is known for the device that heats substrate.Reactor wall is heated to the temperature that is enough to obtain under sufficient growth velocity and there is the film of wanting of wanted physical condition and composition.The non-limiting exemplary temperature range that reactor wall can be heated to comprises approximately 20 DEG C to approximately 600 DEG C.In the time using plasma deposition processes, depositing temperature can be within the scope of approximately 20 DEG C to approximately 550 DEG C.Or in the time carrying out hot processing procedure, depositing temperature can be within the scope of approximately 300 DEG C to approximately 600 DEG C.
Or, substrate can be heated to the temperature that is enough to obtain under sufficient growth velocity and there is the silicon-containing film of wanting of wanted physical condition and composition.The non-limiting exemplary temperature range that substrate can be heated to comprises 150 DEG C to 600 DEG C.Preferably, base reservoir temperature keeps below or equals 500 DEG C.
The type of the substrate of depositing silicon-containing films will be looked to the end-use of expection and difference above.In some specific embodiments, substrate for example can be, by hydrogenated carbon (CH x, wherein x is greater than zero) and the patterning photoresistance film made.In some specific embodiments, the oxide compound that is used as dielectric materials in the optional comfortable MIM of substrate, DRAM or FeRam technology is (for example, based on ZrO 2material, based on HfO 2material, based on TiO 2material, the material based on rare earth oxide, the material based on ternary oxide (ternary oxide) etc.) or be selected from the film based on nitride (for example TaN) as the oxygen barrier between copper and low k layer.Can manufacture semi-conductor, photovoltaic devices, LCD-TFT or board device with other substrates.The example of this type of substrate includes but not limited to solid substrate, as the substrate that contains metal nitride (for example TaN, TiN, WN, TaCN, TiCN, TaSiN and TiSiN); Isolator (for example SiO 2, Si 3n 4, SiON, HfO 2, Ta 2o 5, ZrO 2, TiO 2, Al 2o 3and barium strontium); Or comprise other substrates of the multiple combination of these materials.The actual base using is also determined by used specific precursor specific embodiments.But in many cases,, the preferred substrate using will be selected from hydrogenated carbon, TiN, SRO, Ru and the substrate of Si type, as polysilicon or silicon metal substrate.
Disclosed organosilane precursor can pure form or with applicable solvent (as toluene, ethylbenzene, dimethylbenzene, sym-trimethylbenzene, decane, dodecane, octane, hexane, pentane, tertiary amine, acetone, tetrahydrofuran (THF), ethanol, ethyl methyl ketone, Isosorbide-5-Nitrae-bis- alkane or other solvents) blend form supply.Disclosed precursor can be present in solvent by different concns.For example, gained concentration can be at about 0.05M to about 2M.
Organosilane precursor pure or blending is introduced in reactor with steam form by known members (as pipeline and/or under meter).Can be by making precursor solution pure or blending vaporize to produce the precursor that is steam form via known pervaporation step (as direct boiling, distillation, by bubbling or by using sublimer (disclosed sublimer in case WO2009/087609 as open in the people's such as Xu PCT)).Precursor pure or blending can liquid state be filled in vaporizer, and in this vaporizer, it is introduced in reactor subsequently through vaporization.Or, can be by carrier gas being passed in the container that contains precursor or by making carrier gas bubbling make precursor vaporization pure or blending to precursor.Carrier gas can include but not limited to Ar, He or N 2and composition thereof.With the carrier gas bubbling also removable any dissolved oxygen being present in precursor solution pure or blending.Then, carrier gas and precursor are introduced in reactor with steam form.
If desired, container can be heated to the temperature that allows organosilane precursor to be its liquid phase and there is sufficient vapour pressure.At the temperature of container within the scope of for example can maintaining 0-150 DEG C.Those skilled in the art recognize and can regulate in a known way the temperature of container to control the amount of the organosilane precursor of vaporizing.
Except disclosed precursor, reactant gases (being co-reactant) also can be introduced in reactor.Reactant gases can be oxygenant, the one as with lower: O 2; O 3; H 2o; H 2o 2; Oxygen radical, as O or OH; NO; NO 2; Carboxylic acid, as formic acid, acetic acid, propionic acid; NO, NO 2or the free radical material of carboxylic acid; Trioxymethylene; And composition thereof.Preferably, oxygenant is selected from: O 2, O 3, H 2o, H 2o 2, its oxygen radical (as O or OH) and composition thereof.Preferably, in the time carrying out ALD processing procedure, co-reactant is through the oxygen of plasma treatment, ozone or its combination.In the time using oxidizing gas, gained silicon-containing film also will be containing aerobic.
Or reactant gases can be reductive agent, the one as with lower: H 2, NH 3, (SiH 3) 3n, hydrosilanes are (as SiH 4, Si 2h 6, Si 3h 8, Si 4h 10, Si 5h 10, Si 6h 12), chlorosilane and chlorine polysilane be (as SiHCl 3, SiH 2cl 2, SiH 3cl, Si 2cl 6, Si 2hCl 5, Si 3cl 8), alkyl silane is (as (CH 3) 2siH 2, (C 2h 5) 2siH 2, (CH 3) SiH 3, (C 2h 5) SiH 3), hydrazine is (as N 2h 4, MeHNNH 2, MeHNNHMe), organic amine is (as N (CH 3) H 2, N (C 2h 5) H 2, N (CH 3) 2h, N (C 2h 5) 2h, N (CH 3) 3, N (C 2h 5) 3, (SiMe 3) 2nH), pyrazoline, pyridine, containing B molecule (as B 2h 6, 9-boron dicyclo [3,3,1] nonane, trimethyl-boron, triethyl-boron, boron azine), metal alkylide (as trimethyl aluminium, triethyl aluminum, zinc methide, zinc ethyl), its free radical material and composition thereof.Preferably, reductive agent is H 2, NH 3, SiH 4, Si 2h 6, Si 3h 8, SiH 2me 2, SiH 2et 2, N (SiH 3) 3, its hydroperoxyl radical or its mixture.In the time using reductive agent, gained silicon-containing film can be pure Si.
Reactant gases can be through plasma treatment, to make reactant gases resolve into its radical form.N when through plasma treatment 2also can be used as reductive agent.For example, can produce power at about 50W to about 500W, preferred about 100W to the plasma within the scope of about 200W.Plasma can produce or be present in reactor self.Or plasma can be conventionally for example, in moving apart the position of reactor, in the plasma based of long range positioning.Those skilled in the art will expect being suitable for method and the device of this plasma treatment.
Disclosed organosilane precursor also can use together with halogenated silanes or poly-halogenated silanes (as hexachloro-silane, pentachloro-disilane or tetrachloro disilane) and one or more co-reactant gases, to form SiN or SiCN film, disclosed in No. WO2011/123792nd, case as open in PCT, the full content of the disclosure case is incorporated herein for reference.
When wanted silicon-containing film also contain another element (as but be not limited to Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanon (as Er) or its combination) time, co-reactant can comprise containing metal precursor, it is selected from but is not limited to metal alkyl, as Ln (RCp) 3or Co (RCp) 2; Metal amine, as (NtBu) (NMe of Nb (Cp) 2) 3; And any combination.
Organosilane precursor and one or more co-reactants be (chemical vapour deposition), (ald) or introduce in reaction chambers with other array configurations in succession simultaneously.For example, organosilane precursor can be introduced and two kinds of other metal sources can be introduced together [improved ald] in independent pulse in pulsatile once.Or reaction chamber may contain co-reactant before introducing organosilane precursor.Can make co-reactant by being positioned the long-range plasma based of reaction chamber, and resolve into free radical.Or organosilane precursor can be introduced in reaction chamber continuously, and other metal sources are introduced (pulsed chemical vapour deposition) by pulse.In each embodiment, can after pulse, purge or excessive component that evacuation step is introduced to remove.In each embodiment, the sustainable about 0.01s of pulse is to about 10s, or about 0.3s is to about 3s, or about 0.5s is to the time within the scope of about 2s.In another replacement scheme, organosilane precursor and one or more co-reactants can spray from showerhead simultaneously, the pedestal of the some wafers of fixing rotation (space ALD) under this showerhead.
In a non-limiting exemplary ald type processing procedure, the gas phase of organosilane precursor is introduced in reaction chamber, itself and applicable substrate contact in this reaction chamber.Then, can by purge and/or the reaction chamber of finding time from removing excessive organosilane precursor in reaction chamber.Oxygen source is introduced in reaction chamber, in this reaction chamber its with absorbed organosilane precursor to react from ways to restrain.By purge and/or the reaction chamber autoreaction chamber of finding time in remove any excessive oxygen source.If the film of wanting is silicon oxide film, this two steps processing procedure can provide wanted film thickness maybe can repeat until obtained the film with essential thickness.
Or, (be SiMO if the film of wanting is silicon metal oxide film xwherein x can be 4 and M be Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanon (as Er) or its combination), can be by the second steam introducing reaction chamber of containing metal precursor above two step processing procedures after.Containing metal precursor is selected the character of the silicon metal oxide film based on deposited.After introducing in reaction chamber, containing metal precursor and substrate contact.By purge and/or the reaction chamber autoreaction chamber of finding time in remove any excessive containing metal precursor.Again, oxygen source can be introduced in reaction chamber with containing metal precursors reaction.In reaction chamber autoreaction chamber, remove excessive oxygen source by purging and/or finding time.If reached wanted film thickness, processing procedure can stop.But, if desired compared with thick film, can repeat whole four step process.To form and the film of thickness by organic silane precursor, containing metal precursor and oxygen source being alternately provided, can depositing to have.
In addition, by changing pulse number, can obtain the film with wanted stoichiometry M:Si ratio.For example, by carrying out the pulse of an organosilane precursor and the pulse of a containing metal precursor, and the pulse of carrying out oxygen source after each pulse can obtain SiMO 2film.But, those skilled in the art will recognize that for obtain the required pulse number of the film of wanting may be not equal to the stoichiometric ratio of gained film.
In another replacement scheme, can use disclosed compound and there is formula Si via ALD or improved ALD processing procedure ah 2a+2-bx b(wherein X is F, Cl, Br or I; A=1 to 6; And b=1 is to (2a+2)) halogenated silanes compound; Or there is formula-Si ch 2c-dx d-(wherein X is F, Cl, Br or I; C=3-8; And d=1 to 2c) ring-type halogenated silanes Compound deposition Si or fine and close SiCN film.Preferably, halogenated silanes compound is trichlorosilane, hexachloro-silane (HCDS), pentachloro-disilane (PCDS), tetrachloro disilane or chlordene ring six silane.Those skilled in the art will recognize that when essential when compared with low deposition temperature the Cl in these compounds can replace through Br or I, this lower bond energy owing to Si-X key (is Si-Cl=456kJ/mol; Si-Br=343kJ/mol; Si-I=339kJ/mol).If desired, deposition can further be used containing N reactant, as NH 3.The visual desired final film concentration of steam of disclosed precursor and halogenated silanes compound and in succession or simultaneously introduce reactor.Selected precursor injection order is determined the film composition based on institute's syllabus.Can repeat precursor and introduce step until settled layer is reached applicable thickness.Those skilled in the art will recognize that guided bone pulse (introductory pulse) can be simultaneously in the time of usage space ALD device.Described in No. WO2011/123792nd, case as open in PCT, can change precursor introducing order and can have or not exist NH 3under co-reactant, carry out deposition, to regulate the amount of carbon and nitrogen in SiCN film.
The silicon-containing film being produced by the processing procedure above discussed can comprise Si, SiN, SiON, SiCN, SiCOH or MSiO x, wherein M is as the element of Hf, Zr, Ti, Nb, Ta or Ge, and x can be 4, certainly depending on the oxidation state of M.Those skilled in the art will recognize that by the suitable organosilane precursor of careful selection and co-reactant, can obtain wanted film composition.
Acquisition is wanted after film thickness, can be further processed film, as thermal annealing, furnace annealing, rapid thermal annealing, UV or electrocuring and/or plasma gas exposure.Those skilled in the art are conceivable for carrying out the system and method for these additional processing steps.For example, silicon-containing film is exposed to the temperature within the scope of approximately 200 DEG C to approximately 1000 DEG C, the time within the scope of lasting approximately 0.1 second to approximately 7200 seconds under can be at inert atmosphere, containing H atmosphere, containing N atmosphere, containing O atmosphere or its combination.Most preferably, temperature is 400 DEG C, containing continuing 3600 seconds under H atmosphere.Gained film can contain less impurity, and therefore can have improved performance characteristics.Can in the same reaction chamber of carrying out deposition manufacture process, carry out annealing steps.Or, can in autoreaction chamber, remove substrate, and carry out annealing/hurried annealing process in self-contained system.Found in above post-treating method any, especially thermal annealing can effectively reduce carbon and the polluted by nitrogen of silicon-containing film.
Embodiment
Provide following non-limiting example to further illustrate specific embodiment of the invention scheme.But these embodiment are also not intended to comprise all and are not intended to limit invention category as herein described.
embodiment 1
SiH 3(N isynthesizing Pr-amd): under agitation, the diethyl ether solution of lithium methide (91mL, 1.6M, 0.146mol) is slowly added into N, in-40 DEG C of solution of N'-di-isopropyl carbodiimide (22.5mL, 0.145mol) in ether (150mL).After having added, make the colourless suspension of gained be warming up to room temperature and stir three hours to form colourless solution.In the independent flask that is equipped with-78 DEG C of (dry ice/acetone) condensers, pack ether (100mL) into and be cooled to-78 DEG C.Under agitation, monochlorosilane (13.2g, 0.198mol) is slowly condensed in the second flask, slowly adds subsequently the amidino groups lithium solution from first step.Original observed being fuming to a certain extent, forms colourless precipitation subsequently.Complete after interpolation, under vigorous stirring is spent the night, make suspension slowly reach to room temperature.Medium glass powder filtering suspension liquid through containing Celite pad and under atmospheric pressure use Wei Geluo tubing string (Vigreux column) distillation gained colourless solution to remove solvent.Change and receive flask and cooling in-78 DEG C of baths, under 25-28 DEG C/200-300 millitorr, distillation obtains being the required product of colourless liquid shape.Output: 7.9g (31.6%).Fig. 1 is the TGA figure that shows this precursor weight loss per-cent under temperature variation compared with DiPAS. 29Si?NMR(80MHz,C 6D 6,25℃)δ(ppm)=-87.7; 1H?NMR(400MHz,C 6D 6,25℃)δ(ppm)=4.73(s,3H,SiH 3),3.38(sept.,2H, 1J H-H=8.0Hz,NCH(CH 3) 2),1.40(s,3H,CCH 3),1.12(d,12H, 1J H-H=8.0Hz,NCH(CH 3) 2)。
embodiment 2
SiH 3(N tsynthesizing Bu-amd): pack ether (100mL) into and be cooled to-78 DEG C in the flask that is equipped with-78 DEG C of (dry ice/acetone) condensers.Under agitation, monochlorosilane (8.9g, 0.134mol) is slowly condensed in flask.In the second flask, the diethyl ether solution of lithium methide (101mL, 1.6M, 0.162mol) is slowly added into N, in-40 DEG C of solution of N'-bis--tertiary butyl carbodiimide (25g, 0.162mol) in ether (100mL).After having added, make the colourless suspension of gained amidino groups lithium be warming up to room temperature and stir one hour to form colourless solution.Gained Li-amd solution is cooled to 0 DEG C and be added in-78 DEG C of solution of monochlorosilane (MCS) in ether by sleeve pipe.Original observed being fuming to a certain extent, forms colourless precipitation subsequently.Complete after interpolation, under vigorous stirring, suspension is reached to room temperature.
Stop stirring, and make solid settlement with after filter through the medium glass powder with bed of diatomaceous earth.Gained colourless solution is transferred in the flask that contains dry Amberlyst A21 resin (5g), slightly stirs and make it at room temperature leave standstill 14 hours.Then, filtering solution and under atmospheric pressure use short distance tubing string to distill to remove solvent and high volatile matter, thus obtain high viscosity light yellow liquid.Change and receive flask and be cooled to-78 DEG C, under 55-61 DEG C/100 millitorrs, distillation obtains being the required product of colourless liquid shape, and it at room temperature leaves standstill slowly crystallization afterwards.Output: 14.5g (54.1%).MP=36℃, 29Si?NMR(80MHz,C 6D 6,25℃)δ(ppm)=-117.5; 1H?NMR(400MHz,C 6D 6,25℃)δ(ppm)=5.05(s,3H,SiH 3),1.79(s,3H,CCH 3),1.25(s,9H,NC(CH 3) 3)。
embodiment 3
SiH 3(N ipr-amd) ALD: the SiH that uses preparation in embodiment 1 3(N ipr-amd) carry out ALD test, by this SiH 3(N ipr-amd) be placed in the container under room temperature.Use typical ALD condition, as used ozone under the reactor pressure being fixed on approximately 0.5 holder.As shown in Figure 2, at 275 DEG C, on pure silicon wafer, assess the ALD characteristic under completely surperficial saturated and response situation.Those skilled in the art will recognize that different depositing devices can represent surface in the different precursor introducing time saturated.Specific refractory power is SiO 2characteristic (the pure SiO of film 2specific refractory power be 1.46).Changed the impurity in the variations in refractive index instruction film causing by the precursor introducing time.
Should be appreciated that, in the principle of the invention and category of being explained in as appended claim, those skilled in the art can be to having described and having illustrated to explain that details, material, step and the component configuration of character of the present invention make many other changes herein.Therefore, the present invention be not intended to be limited to the specific specific embodiments in above provided embodiment and/or accompanying drawing.

Claims (14)

1. form a precursor containing Si film, it has following formula:
Wherein R 1and R 2can be H, C1-C6 alkyl or C3-C20 aryl or heterocyclic radical and R independently of one another 3can be H, C1-C6 alkyl, C3-C20 aryl or heterocyclic radical, amino, alkoxy or halogen.
2. form precursor according to the Si film that contains of claim 1, it has following formula:
Wherein R 1and R 2can be C1-C6 alkyl independently of one another.
3. form precursor according to the Si film that contains of claim 2, wherein molecule is SiH 3(N ipr-amd).
4. form precursor according to the Si film that contains of claim 1, it has following formula:
Wherein R 1, R 2, R 3and R 4can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another.
5. form precursor according to the Si film that contains of claim 1, it has following formula:
Wherein R 1, R 2and R 3can be H, C1-C6 alkyl or C3-C20 aryl or heterocycle independently of one another.
6. form precursor according to the Si film that contains of claim 1, it has following formula:
Wherein R 1and R 2can be that H, C1-C6 alkyl or C3-C20 aryl or heterocycle and X can be Cl, Br, I or F independently of one another.
7. in substrate, deposition is containing a method for Si layer, and described method comprises:
At least one organosilane precursor according to any one in claim 1-6 is introduced and is wherein mounted with in the reactor of at least one substrate;
Use vapour deposition process that at least a portion organosilane precursor deposition is contained to Si layer to form at least one substrate.
8. according to the method for claim 7, it further comprises in reactor introduces at least one co-reactant.
9. method according to Claim 8, wherein co-reactant is selected from: O 2, O 3, H 2o, H 2o 2, NO, NO 2, carboxylic acid, its free radical and combination thereof, preferably through oxygen or the ozone of plasma treatment.
10. method according to Claim 8, wherein co-reactant is selected from: H 2, NH 3, (SiH 3) 3n, hydrosilanes are (as SiH 4, Si 2h 6, Si 3h 8, Si 4h 10, Si 5h 10, Si 6h 12), chlorosilane and chlorine polysilane be (as SiHCl 3, SiH 2cl 2, SiH 3cl, Si 2cl 6, Si 2hCl 5, Si 3cl 8), alkyl silane is (as Me 2siH 2, Et 2siH 2, MeSiH 3, EtSiH 3), hydrazine is (as N 2h 4, MeHNNH 2, MeHNNHMe), organic amine is (as NMeH 2, NEtH 2, NMe 2h, NEt 2h, NMe 3, NEt 3, (SiMe 3) 2nH), pyrazoline, pyridine, containing B molecule (as B 2h 6, 9-boron dicyclo [3,3,1] nonane, trimethyl-boron, triethyl-boron, boron azine), metal alkylide (as trimethyl aluminium, triethyl aluminum, zinc methide, zinc ethyl), its free radical material and composition thereof.
11. according to the method for claim 10, and wherein co-reactant is selected from: H 2, NH 3, SiH 4, Si 2h 6, Si 3h 8, SiH 2me 2, SiH 2et 2, N (SiH 3) 3, its hydroperoxyl radical and composition thereof.
12. according to the method for claim 10, and wherein co-reactant is selected from: SiHCl 3, Si 2cl 6, Si 2hCl 5, Si 2h 2cl 4and ring-Si 6h 6cl 6.
13. according to the method for claim 7, and wherein vapour deposition process is chemical Vapor deposition process.
14. according to the method for claim 7, and wherein vapour deposition process is atomic layer deposition method.
CN201380007437.1A 2012-07-20 2013-07-19 Organosilane based precursor for the application of ALD/CVD silicon-containing film Active CN104080944B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261674103P 2012-07-20 2012-07-20
US61/674,103 2012-07-20
PCT/US2013/051244 WO2014015232A1 (en) 2012-07-20 2013-07-19 Organosilane precursors for ald/cvd silicon-containing film applications

Publications (2)

Publication Number Publication Date
CN104080944A true CN104080944A (en) 2014-10-01
CN104080944B CN104080944B (en) 2016-08-24

Family

ID=49949268

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380007437.1A Active CN104080944B (en) 2012-07-20 2013-07-19 Organosilane based precursor for the application of ALD/CVD silicon-containing film

Country Status (7)

Country Link
US (3) US9593133B2 (en)
EP (1) EP2875166B1 (en)
JP (3) JP2015528011A (en)
KR (3) KR20150036114A (en)
CN (1) CN104080944B (en)
TW (4) TWI586678B (en)
WO (4) WO2014015248A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104341447A (en) * 2014-11-06 2015-02-11 江南大学 Compound containing N amidino-silicon and application thereof
CN110291223A (en) * 2017-02-16 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 The method for being used to form the film containing Si

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9593133B2 (en) 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (en) * 2014-03-21 2015-10-02 삼성전자주식회사 Gas barrier film, refrigerator having the same and method of manufacturing the gas barrier film
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6494411B2 (en) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 Film forming method and film forming apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
CN104447838B (en) * 2014-11-06 2017-12-29 江南大学 A kind of beta diimine radical silicide and its application
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
WO2016094711A2 (en) * 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10157735B2 (en) * 2015-05-22 2018-12-18 Dow Silicones Corporation Pentachlorodisilane
CN107889510A (en) * 2015-06-16 2018-04-06 弗萨姆材料美国有限责任公司 Halosilane compounds and compositions and methods for depositing silicon-containing films using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) * 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127044A1 (en) * 2016-01-18 2017-07-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions for ald/cvd of silicon-containing films
GB201601221D0 (en) * 2016-01-22 2016-03-09 Semblant Ltd Coated electrical assembly
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108475624B (en) * 2016-02-29 2023-10-20 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11598002B2 (en) 2016-10-12 2023-03-07 Ddp Specialty Electronic Materials Us 9, Llc Thio(di)silanes
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (en) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102347201B1 (en) * 2017-10-25 2022-01-04 한국화학연구원 Silicone aminoamide imide compounds, preparation method thereof and process for the formation of thin film using the same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN111727272B (en) * 2017-12-20 2023-04-28 巴斯夫欧洲公司 Method for producing metal-containing films
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10367415B1 (en) * 2018-08-28 2019-07-30 Ferric Inc. Processor module with integrated packaged power converter
KR102018318B1 (en) * 2018-09-11 2019-09-04 주식회사 유진테크 Method for forming a thin film
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102627584B1 (en) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
JP7386732B2 (en) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 Film forming method
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TWI723856B (en) * 2020-04-28 2021-04-01 逢甲大學 Method for deposit the scratch resistance and hydrophobic layers using atmospheric pressure cold plasmas
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
KR20220081905A (en) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. Silicon precursors for silicon silicon nitride deposition
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
WO2022226310A1 (en) * 2021-04-23 2022-10-27 Entegris, Inc. High quantum efficiency dry resist for low exposure dose of euv radiation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101078109A (en) * 2006-05-23 2007-11-28 气体产品与化学公司 Process for producing silicon oxide films from organoaminosilane precursors
CN102057077A (en) * 2008-06-05 2011-05-11 乔治洛德方法研究和开发液化空气有限公司 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2590039A (en) 1948-07-30 1952-03-18 Socony Vacuum Oil Co Inc Reaction of organosiliconhalides with organodithiols and diselenyl substituted organo compounds, and products thereof
NL126402C (en) 1964-11-16
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
FR2575466B1 (en) 1984-12-27 1987-02-20 Centre Nat Rech Scient NOVEL HEXACOORDIN SILICON COMPLEXES, THEIR PREPARATION PROCESS AND THEIR APPLICATION
JPH06132276A (en) 1992-10-22 1994-05-13 Kawasaki Steel Corp Method for forming semiconductor film
JPH06132284A (en) 1992-10-22 1994-05-13 Kawasaki Steel Corp Method for forming protective film of semiconductor device
JP2716330B2 (en) 1992-11-13 1998-02-18 セントラル硝子株式会社 Low-reflection glass and its manufacturing method
JP2000195801A (en) 1998-12-24 2000-07-14 Fujitsu Ltd Manufacture of semiconductor device
US6649083B1 (en) 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6736993B1 (en) 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030118725A1 (en) 2001-11-02 2003-06-26 Shipley Company, L.L.C. Precursor compounds for metal oxide film deposition and methods of film deposition using the same
JP4358492B2 (en) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for producing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition
JP4988159B2 (en) * 2002-11-15 2012-08-01 プレジデント アンド フェロウズ オブ ハーバード カレッジ Atomic layer deposition using metal amidinates.
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP2005213633A (en) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Production method for silicon nitride film or silicon oxynitride film by chemical vapor deposition method
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (en) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
DE102006029430A1 (en) * 2006-06-27 2008-01-03 Wacker Chemie Ag Process for the preparation of organosilicon compounds by hydrosilylation in ionic liquids
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
CN101495672B (en) * 2006-11-02 2011-12-07 高级技术材料公司 Antimony and germanium complexes useful for CVD/ALD of metal thin films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2008128141A2 (en) 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
KR101593352B1 (en) 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 Precursors for silicon dioxide gap fill
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101308572B1 (en) 2009-07-21 2013-09-13 주식회사 유엠티 Metal organic precursor for deposition of silicon containing thin film
WO2011020028A2 (en) 2009-08-14 2011-02-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Silane blend for thin film vapor deposition
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8535760B2 (en) 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
WO2011103282A2 (en) 2010-02-17 2011-08-25 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
WO2011122583A1 (en) 2010-03-29 2011-10-06 楽天株式会社 Server device, information providing method, information providing program, recording medium on which information providing program is recorded and information providing system
KR101226876B1 (en) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US8404878B2 (en) * 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US20120277457A1 (en) 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
KR20120078909A (en) 2011-01-03 2012-07-11 닛산 가가쿠 고교 가부시키 가이샤 A new organic amino silicon composition and thin film comprising silicon by using the same
US9150671B2 (en) 2011-02-05 2015-10-06 Bridgestone Corporation Metal complex catalysts and polymerization methods employing same
WO2012176988A1 (en) 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Organometallic compound, preparing method of the same, and preparing method of thin film using the same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
DE102012002408B4 (en) 2012-02-09 2015-09-24 Fachhochschule Düsseldorf Measuring arrangement and method for detecting the force load in a flexible object
US9593133B2 (en) 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN104447838B (en) 2014-11-06 2017-12-29 江南大学 A kind of beta diimine radical silicide and its application
CN104341447B (en) 2014-11-06 2017-06-16 江南大学 A kind of silicon compound of amidino groups containing N and its application

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101078109A (en) * 2006-05-23 2007-11-28 气体产品与化学公司 Process for producing silicon oxide films from organoaminosilane precursors
CN102057077A (en) * 2008-06-05 2011-05-11 乔治洛德方法研究和开发液化空气有限公司 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104341447A (en) * 2014-11-06 2015-02-11 江南大学 Compound containing N amidino-silicon and application thereof
CN104341447B (en) * 2014-11-06 2017-06-16 江南大学 A kind of silicon compound of amidino groups containing N and its application
CN110291223A (en) * 2017-02-16 2019-09-27 乔治洛德方法研究和开发液化空气有限公司 The method for being used to form the film containing Si

Also Published As

Publication number Publication date
JP2015525774A (en) 2015-09-07
US20150166576A1 (en) 2015-06-18
US20150004317A1 (en) 2015-01-01
TWI620751B (en) 2018-04-11
EP2875166A4 (en) 2016-06-01
TW201410690A (en) 2014-03-16
WO2014015248A1 (en) 2014-01-23
US9938303B2 (en) 2018-04-10
KR20150034123A (en) 2015-04-02
TW201412762A (en) 2014-04-01
TW201410689A (en) 2014-03-16
KR20150036114A (en) 2015-04-07
US9371338B2 (en) 2016-06-21
JP2015525773A (en) 2015-09-07
WO2014015237A1 (en) 2014-01-23
TWI586678B (en) 2017-06-11
US20150166577A1 (en) 2015-06-18
US9593133B2 (en) 2017-03-14
KR20150036122A (en) 2015-04-07
TWI631129B (en) 2018-08-01
EP2875166A1 (en) 2015-05-27
WO2014015232A1 (en) 2014-01-23
EP2875166B1 (en) 2018-04-11
WO2014015241A1 (en) 2014-01-23
CN104080944B (en) 2016-08-24
JP2015528011A (en) 2015-09-24
TWI579292B (en) 2017-04-21
JP6242026B2 (en) 2017-12-06
TW201412763A (en) 2014-04-01

Similar Documents

Publication Publication Date Title
CN104080944B (en) Organosilane based precursor for the application of ALD/CVD silicon-containing film
JP6310018B2 (en) Halogenated organoaminosilane precursor and method for depositing a film containing the same
CN107002236B (en) For depositing the amine precursor and its method of the carbon silane substituted of the film containing Si
EP2669249B1 (en) Method for depositing silicon-containing films using organoaminodisilane precursors
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
KR101470876B1 (en) Organoaminosilane precursors and methods for making and using same
EP2669248B1 (en) Organoaminodisilane precursors and methods for depositing films comprising same
KR101820397B1 (en) Alkoxyaminosilane compounds and applications thereof
US20160152640A1 (en) Hexacoordinate silicon-containing precursors for ald/cvd silicon-containing film applications
CN107667187A (en) For forming the siliceous and film of oxygen vapour deposition method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant