TW201412763A - 用於ald/cvd含矽薄膜應用之有機矽烷前驅物 - Google Patents

用於ald/cvd含矽薄膜應用之有機矽烷前驅物 Download PDF

Info

Publication number
TW201412763A
TW201412763A TW102126078A TW102126078A TW201412763A TW 201412763 A TW201412763 A TW 201412763A TW 102126078 A TW102126078 A TW 102126078A TW 102126078 A TW102126078 A TW 102126078A TW 201412763 A TW201412763 A TW 201412763A
Authority
TW
Taiwan
Prior art keywords
precursor
containing film
sih
group
ipr
Prior art date
Application number
TW102126078A
Other languages
English (en)
Other versions
TWI620751B (zh
Inventor
Christian Dussarrat
Glenn Kuchenbeiser
Venkateswara R Pallem
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW201412763A publication Critical patent/TW201412763A/zh
Application granted granted Critical
Publication of TWI620751B publication Critical patent/TWI620751B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭示形成含Si薄膜之前驅物、其合成方法及使用其以使用氣相沉積製程沉積含矽薄膜用於製造半導體、光伏打裝置、LCD-TFT、平板型裝置、耐火材料或航空材料之方法。

Description

用於ALD/CVD含矽薄膜應用之有機矽烷前驅物 【相關申請案的交互參照】
本申請案主張2012年7月20日申請之美國臨時申請案第61/674,103號之優先權,該申請案之全部內容以引用之方式併入本文中。
揭示形成含Si薄膜之前驅物、其合成方法及使用其以使用氣相沉積製程沉積含矽薄膜製造半導體、光伏打裝置、LCD-TFT、平板型裝置、耐火材料或航空材料之方法。
含Si薄膜廣泛用於半導體、光伏打裝置、LCD-TFT、平板型裝置、耐火材料或航空行業中。含Si薄膜可例如用作具有電學性質之可絕緣的介電材料(SiO2、SiN、SiCN、SiCOH、MSiOx,其中M為Hf、Zr、Ti、Nb、Ta或Ge且x大於零),含Si薄膜可用作導電薄膜,諸如金屬矽化物或金屬矽氮化物。由於電學裝置架構朝向奈米級(尤其低於28nm節點)降低尺寸所施加的嚴格要求,需要愈來愈精細調節之分子前驅物,該等分子前驅物除具有高沉積速率、所產生薄膜之保形性及堅實度以外亦滿足揮發性(對於ALD製程)、較低製程溫度、與各種氧化劑之反應性及低薄膜污染之要求。
熟知矽烷(SiH4)可用於熱CVD。然而,此分子具自燃性,由此使得此室溫氣體在安全處理方面面臨挑戰。已使用採用鹵代矽烷(諸 如二氯矽烷SiH2Cl2)之CVD方法。然而,此等方法可能需要較長吹掃時間,造成薄膜之鹵素污染及粒子形成(由氯化銨鹽形成),且甚至破壞某些基質,從而引起不希望的界面層形成。以烷基部分地替代鹵素可得到一些改良,但代價是薄膜內產生不利的碳污染。
有機胺基矽烷已用作含Si薄膜之CVD的前驅物。Dussarrat等人之US 7192626報導了使用三矽烷胺N(SiH3)3來沉積SiN薄膜。其他已報導之前驅物包括二異丙胺基矽烷[SiH3(NiPr2)]及類似SiH3(NR2)化合物(參見例如Thridandam等人之US 7875312)以及苯基甲基胺基矽烷[SiH3(NPhMe)]及相關經取代之矽烷苯胺(參見例如Xiao等人之EP 2392691)。
另一相關類別之用於含Si薄膜之CVD的Si前驅物由通式(R1R2N)xSiH4-x給出,其中x在1與4之間且R取代基獨立地為H、C1-C6直鏈、分支鏈或環狀碳鏈(參見例如Dussarrat等人之WO2006/097525)。
Hunks等人在US2010/0164057中揭示諸多含Si前驅物,包括具有式R4-xSiLx之矽化合物,其中x為具有1至3之值的整數;R可選自H、分支鏈及未分支鏈C1-C6烷基、C3-C8環烷基及C6-C13芳基;且L可選自異氰酸酯基、甲基乙基酮肟、三氟乙酸酯、三氟甲磺酸酯、醯氧基、β-二酮亞胺(β-diketiminate)、β-二-亞胺(β-di-iminate)、脒、胍、烷胺基、氫化物、烷氧化物或甲酸酯配位體。Pinnavaia等人主張了一種由乙醯基丙酮酸矽及1,3-二酮矽(silicon 1,3-diketonate)前驅物製備多孔合成半結晶有機-無機雜合氧化矽組成物的方法(US6465387)。
儘管有諸多選擇可用於含Si薄膜之沉積,但仍不斷尋找其他前驅物以為裝置工程師提供調節製造製程要求及達成具有所要電學及物理性質之薄膜的能力。
記法及命名法
貫穿以下說明書及申請專利範圍使用某些縮寫、符號及術 語,且包括:
如本文所用,不定冠詞「一(a/an)」意謂一或多個。
如本文所用,術語「獨立地」在用於描述R基團之情形中時應理解為表示所述R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,亦相對於同一R基團之任何其他種類獨立地選擇。舉例而言,在式MR1 x(NR2R3)(4-x)(其中x為2或3)中,兩個或三個R1基團可但無需彼此或與R2或R3相同。另外,應瞭解,除非另外特定陳述,否則當用於不同式子中時R基團之值彼此獨立。
如本文所用,術語「烷基」指排他性地含有碳及氫原子之飽和官能基。另外,術語「烷基」指直鏈、分支鏈或環狀烷基。直鏈烷基之實例包括但不限於甲基、乙基、丙基、丁基等。分支鏈烷基之實例包括但不限於第三丁基。環狀烷基之實例包括但不限於環丙基、環戊基、環己基等。
如本文所用,術語「芳基」指已自環中移除一個氫原子的芳環化合物。如本文所用,術語「雜環」指環狀化合物,其具有至少兩種不同元素之原子作為其環之成員。
如本文所用,縮寫「Me」指甲基;縮寫「Et」指乙基;縮寫「Pr」指任何丙基(亦即正丙基或異丙基);縮寫「iPr」指異丙基;縮寫「Bu」指任何丁基(正丁基、異丁基、第三丁基、第二丁基);縮寫「tBu」指第三丁基;縮寫「sBu」指第二丁基;縮寫「iBu」指異丁基;縮寫「Ph」指苯基;縮寫「Am」指任何戊基(異戊基、第二戊基、第三戊基);縮寫「Hex」指6員烷基,其可為直鏈、分支鏈或環狀;且縮寫「Cy」指環狀烷基(環丁基、環戊基、環己基等)。
如本文所用,首字母縮寫詞「SRO」表示氧化鍶釕薄膜;首字母縮寫詞「HCDS」表示六氯二矽烷;且首字母縮寫詞「PCDS」表示五氯二矽烷。
本文使用元素週期表之元素的標準縮寫。應瞭解,可用此等 縮寫來指代元素(例如Si指矽,N指氮,O指氧,C指碳等)。
揭示具有下式之有機矽烷分子:
其中L1及L2各為氮原子;L1及L2經由具有兩個至三個碳原子之碳橋連接在一起;L1、L2及碳橋形成鍵結於矽之單陰離子配位體。所揭示之分子可具有以下態樣中之一或多者:‧有機矽烷分子具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4及/或R4與R5連接以形成環狀鏈;‧有機矽烷分子為H3Si(-(iPr)N-C3H3-N(iPr)-);‧有機矽烷分子具有下式:
其中R1、R2、R3、R4、R5及R6可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4及/或R4與R5及/或R5與R6連接以形成環狀鏈;‧有機矽烷分子為H3Si(-(iPr)N-C3H6-N(Me)2-);‧有機矽烷分子具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4連接以形成環狀鏈;‧有機矽烷分子為H3Si(-(iPr)N-CH2CH=N(iPr)-);‧有機矽烷分子具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4及/或R4與R5連接以形成環狀鏈;且‧有機矽烷分子為H3Si((iPr)NC2H4N(Me)2
亦揭示具有下式之形成含Si薄膜之前驅物:
其中L1及L2各為氮原子;L1及L2經由具有兩個至三個碳原子之碳橋連接在一起;L1、L2及碳橋形成鍵結於矽之單陰離子配位體。所揭示之分子可具有以下態樣中之一或多者:‧形成含Si薄膜之前驅物具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳 基或雜環;‧R1與R2及/或R2與R3及/或R3與R4及/或R4與R5連接以形成環狀鏈;‧形成含Si薄膜之前驅物為H3Si(-(iPr)N-C3H3-N(iPr)-);‧形成含Si薄膜之前驅物具有下式:
其中R1、R2、R3、R4、R5及R6可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4及/或R4與R5及/或R5與R6連接以形成環狀鏈;‧形成含Si薄膜之前驅物為H3Si(-(iPr)N-C3H6-N(Me)2-);‧形成含Si薄膜之前驅物具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4連接以形成環狀鏈;‧形成含Si薄膜之前驅物為H3Si(-(iPr)N-CH2CH=N(iPr)-);‧形成含Si薄膜之前驅物具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環;‧R1與R2及/或R2與R3及/或R3與R4及/或R4與R5連接以形成環狀鏈; 且‧形成含Si薄膜之前驅物為H3Si((iPr)NC2H4N(Me)2
亦揭示在基板上沉積含Si層之方法。
以上揭示之至少一種有機矽烷前驅物引入內部安置有至少一個基板之反應器中。使用氣相沉積法將至少一部分有機矽烷前驅物沉積至至少一個基板上以形成含Si層。所揭示之方法可具有以下態樣中之一或多者:‧向反應器中引入包含至少一種第二前驅物之蒸氣;‧至少一種第二前驅物之元素選自由以下組成之群:第2族、第13族、第14族、過渡金屬、鑭系元素及其組合;‧至少一種第二前驅物之元素選自Mg、Ca、Sr、Ba、Zr、Hf、Ti、Nb、Ta、Al、Si、Ge、Y或鑭系元素;‧向反應器中引入至少一種共反應物;‧共反應物選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、羧酸、其自由基及其組合;‧共反應物為經電漿處理之氧氣;‧共反應物為臭氧;‧含Si層為氧化矽層;‧共反應物選自由以下組成之群:H2、NH3、(SiH3)3N、氫化矽烷(諸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯矽烷及氯聚矽烷(諸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基矽烷(諸如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3)、肼(諸如N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(諸如B2H6、9-硼雙環[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖(borazine))、烷基金屬(諸如三甲基鋁、三乙基鋁、二甲基鋅、二乙 基鋅)、其自由基物質及其混合物。
‧共反應物選自由以下組成之群:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氫自由基及其混合物;‧共反應物經電漿處理;‧共反應物經遠端電漿處理;‧共反應物不經電漿處理;‧共反應物為H2;‧共反應物為NH3;‧共反應物為HCDS;‧共反應物為PCDS;‧共反應物為四氯矽烷;‧共反應物為三氯矽烷;‧共反應物為六氯環六矽烷;‧氣相沉積製程為化學氣相沉積製程;‧氣相沉積製程為原子層沉積(ALD)製程;‧氣相沉積製程為空間ALD製程;‧含矽層為Si;‧含矽層為SiO2;‧含矽層為SiN;‧含矽層為SiON;‧含矽層為SiCN;且‧含矽層為SiCOH。
揭示形成含Si薄膜之前驅物、其合成方法及使用其以使用氣相沉積製程沉積含矽薄膜用於製造半導體、光伏打裝置、LCD-TFT、平板型裝置、耐火材料或航空材料之方法。
所揭示之形成含Si薄膜之前驅物具有下式:
其中L1及L2各為氮原子,L1及L2經由具有兩個或三個碳原子之碳橋連接在一起;L1、L2及碳橋形成鍵結於矽之單陰離子配位體。如該式中所說明,L1及L2氮原子鍵結於矽原子,從而產生五配位Si(IV)中心。碳橋中之碳原子可為sp2雜化,從而在單陰離子配位體上產生非定域電荷。或者,碳橋中之碳原子可為sp3雜化或sp2與sp3雜化的某種組合,從而在L1或L2中之一者上產生負電荷且在L1或L2中之另一者上產生中性電荷。氮及碳原子可各獨立地經H、C1-C6烷基、芳基或雜環基取代。
所揭示之有機矽烷前驅物由於矽原子處之超配位而可能比其他R4-xSiLx前驅物更具反應性。換言之,儘管矽原子為+IV,但三個氫鍵及單陰離子螯合配位體與矽原子形成總共5個鍵。
由於其在N-(C)n-N配位體(其中n為2或3)上之氮含量增加,此等分子可用於產生亦含有氮之含矽薄膜,諸如SiN、SiCN、SiON、MSiN或MSiON,其中M為諸如Hf、Zr、Ti、Nb、Ta或Ge之元素,或用於調節彼等薄膜中之氮的量。
當所揭示之有機矽烷前驅物的碳橋包括三(3)個碳原子(亦即-N-(C(R))3-N-)時,所得前驅物為β-二酮亞胺基矽烷(β-diketiminatosilane)化合物。例示性β-二酮亞胺基矽烷前驅物具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環,R1與R2及/或R2與R3及/或R3與R4及/或R4與R5可連接以形成環狀鏈。三個碳原子為sp2雜化。若R1與R5相同且R2與R4相同(亦即均為Me或R1及R5=Me且R2及R4=H),則由於配位體上電子之非定域作用,此等分子所產生之傅立葉變換紅外(FTIR)光譜將針對兩個N原子產生一個峰。
具有上式之例示性β-二酮亞胺基矽烷前驅物包括:
較佳地,β-二酮亞胺基矽烷前驅物為H3Si(-(iPr)N-C3H3-N(iPr)-)。
當所揭示之有機矽烷前驅物的碳橋包括三(3)個碳原子(亦即-N-(C(R))3-N-)時,所得前驅物為胺基矽烷胺化合物。例示性胺基矽烷胺有機矽烷前驅物具有下式:
其中R1、R2、R3、R4、R5及R6可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環。一般技術者將想到以上結構中碳上所隱含之H,其由於空間限制已省去。R1與R2及/或R2與R3及/或R3與R4及/或R4與R5及/或R4與R6可連接以形成環狀鏈。三個碳原子可為sp2或sp3雜化。陰離子電荷可定域在「頂部」氮原子處。「底部」氮原子可與Si原子形成配位鍵。由於配位體之不對稱性,在核磁共振(NMR)光譜中三個碳原子將產生不同的峰。
具有上式之例示性胺基矽烷胺前驅物包括:
較佳地,胺基矽烷胺前驅物為H3Si(-(iPr)N-C3H6-N(Me)2-)。
H3Si[RN(CR)3NR]或H3Si[R2N(CR)3NR]前驅物可如下合成:藉由將SiXH3(其中X為Cl、Br、I或三氟甲磺酸根(SO3CF3 -))之烴溶液與純配位體化合物(諸如Li[RN(CR)3NR]或Li[R2N(CR)3NR])或其烴溶液在氮氣氛圍下組合,混合燒瓶之出口與油起泡器連接以抑制空氣及水分回流。
獲得所揭示之H3Si[RN(CR)3NR]或H3Si[R2N(CR)3NR]前驅物之第二合成途徑為藉由在惰性氛圍下使質子化配位體RN(CR)3NHR或RHN(CR)3NR2與純二烷基胺基矽烷[SiH3(NR2)]或其烴溶液反應。
或者,所揭示之H3Si[RN(CR)3NR]或H3Si[R2N(CR)3NR]前驅物 可如下合成:藉由使SiHnCl4-n與單一當量之配位體化合物(亦即Li[RN(CR)3NR]或Li[R2N(CR)3NR])反應隨後使用所選金屬氫化物(諸如LAH(氫化鋰鋁))還原。
在所有三個合成途徑中,可在室溫下攪拌所得溶液隔夜。適合於此等合成方法之例示性烴溶液包括乙醚、戊烷、己烷或甲苯。過濾所得懸浮液且蒸餾所得溶液以移除溶劑。分別藉由蒸餾或昇華來純化所得液體或固體。除了配位體化合物Li[RN(CR)3NR]或Li[R2N(CR)3NR],所有起始材料均可在市面上購得。配位體化合物可藉由將有機金屬鹽(亦即烷基鋰)之烴溶液與適當二胺(亦即R1N=CR2-CR3-CR4-NHR5、R1N=CR2-CR3=CR4-NHR5、R1HN-CR2-CR3-CR4-NR5R6)之烴溶液組合來合成。一般技術者將認識到適當選擇配位體將產生不飽和β-二酮亞胺基矽烷前驅物或飽和胺基矽烷胺前驅物。
當所揭示之有機矽烷前驅物的碳橋包括兩(2)個碳原子(亦即-N-(C(R))2-N-)時,所得前驅物為亞胺基矽烷胺化合物。例示性亞胺基矽烷胺有機矽烷前驅物具有下式:
其中R1、R2、R3及R4可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環。一般技術者將想到以上結構中碳上所隱含之H,其由於空間限制已省去。R1與R2及/或R2與R3及/或R3與R4可連接以形成環狀鏈。兩個碳原子可為sp2或sp3雜化。上式說明定域在「頂部」氮原子處之陰離子電荷。與C(R3)具有雙鍵之「底部」氮原子與矽原子形成配位鍵。然而,一般技術者應認識到當碳原子為sp2雜化時雙鍵亦可在環上非定域。若R1與R4相同且R2與R3相同(亦即均為Me或R1及R4=Me且R2及R3=H),則由於配位體上 電子之非定域作用,此等分子所產生之傅立葉變換紅外(FTIR)光譜將針對兩個N原子產生一個峰。
具有上式之例示性亞胺基矽烷胺前驅物包括:
較佳地,亞胺基矽烷胺為H3Si(-(iPr)N-CH2CH=N(iPr)-)。
當所揭示之有機矽烷前驅物的碳橋包括兩(2)個碳原子(亦即-N-(C(R))2-N-)時,所得前驅物為胺基矽烷胺化合物。例示性胺基矽烷胺有機矽烷前驅物具有下式:
其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環。一般技術者將想到以上結構中碳上所隱含之H,其由於空間限制已省去。R1與R2及/或R2與R3及/或R3與R4及/或R4與R5可連接以形成環狀鏈。兩個碳原子可為sp2或sp3雜化。陰離子電荷可定域在氮原子處。另一氮原子可與Si原子形成配位鍵。由於配位體之不對稱性,在核磁共振(NMR)光譜中兩個碳原子將產生不同的峰。
具有上式之例示性胺基矽烷胺前驅物包括:
較佳地,胺基矽烷胺前驅物為H3Si((iPr)NC2H4N(Me)2
H3Si[RN(CR)2NR]或H3Si[R2N(CR)2NR]前驅物可如下合成:藉由將SiXH3(其中X為Cl、Br、I或三氟甲磺酸根(SO3CF3 -))之烴溶液與純配位體化合物(諸如Li[RN(CR)2NR]或Li[R2N(CR)2NR])或其烴溶液在氮氣氛圍下組合,混合燒瓶之出口與油起泡器連接以抑制空氣及水分之回流。
獲得所揭示之H3Si[RN(CR)2NR]或H3Si[R2N(CR)2NR]前驅物之第二合成途徑為藉由在惰性氛圍下使質子化配位體RN(CR)2NHR或RHN(CR)2NR2與純二烷基胺基矽烷[SiH3(NR2)]或其烴溶液反應。
或者,所揭示之H3Si[RN(CR)2NR]或H3Si[R2N(CR)2NR]前驅物可如下合成:藉由使SiHnCl4-n與單一當量之配位體化合物(亦即Li[RN(CR)2NR]或Li[R2N(CR)2NR])反應隨後使用所選金屬氫化物(諸如LAH(氫化鋰鋁))還原。
在所有三個合成途徑中,可在室溫下攪拌所得溶液隔夜。適合於此等合成方法之例示性烴溶液包括乙醚、戊烷、己烷或甲苯。過濾所得懸浮液且蒸餾所得溶液以移除溶劑。分別藉由蒸餾或昇華來純化所得液體或固體。除了配位體化合物Li[RN(CR)2NR]或Li[R2N(CR)2NR],所有起始材料均可在市面上購得。配位體化合物可藉由將有機金屬鹽(亦即烷基鋰) 之烴溶液與適當二胺(亦即R1N=CR2-CR3-NHR4、R1HN-CR2-CR3-NR4R5)之烴溶液組合來合成。一般技術者將認識到適當選擇配位體將產生飽和胺基矽烷胺基或不飽和亞胺基矽烷胺基前驅物。
亦揭示使用所揭示之有機矽烷前驅物進行氣相沉積法之方法。所揭示之方法提供有機矽烷前驅物用於沉積含矽薄膜之用途。所揭示之方法可適用於製造半導體、光伏打裝置、LCD-TFT或平板型裝置。該方法包括:提供基板;提供包括所揭示之有機矽烷前驅物中之至少一者的蒸氣;及使蒸氣與基板接觸(且典型地將蒸氣導引至基板)以在基板之至少一個表面上形成含矽層。
所揭示之方法亦可使用氣相沉積製程在基板上形成含雙金屬層且更特定言之沉積SiMOx薄膜,其中x可為0-4且M為Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、鑭系元素(諸如Er)或其組合。所揭示之方法可適用於製造半導體、光伏打裝置、LCD-TFT或平板型裝置。該方法包括:提供基板;提供包括所揭示之有機矽烷前驅物中之至少一者的蒸氣且使蒸氣與基板接觸(且典型地將蒸氣導引至基板)以在基板之至少一個表面上形成含雙金屬之層。諸如O3、O2、H2O、NO、H2O2、乙酸、福爾馬林、三聚甲醛、其氧自由基及其組合但較佳O3或經電漿處理之O2的氧源亦可與蒸氣一起提供。
所揭示之有機矽烷前驅物可用於使用熟習此項技術者已知之任何沉積方法沉積含矽薄膜。適合沉積方法之實例包括但不限於習知化學氣相沉積(CVD)、低壓化學氣相沉積(LPCVD)、原子層沉積(ALD)、脈衝式化學氣相沉積(P-CVD)、熱ALD、熱CVD、電漿增強式原子層沉積(PE-ALD)、電漿增強式化學氣相沉積(PE-CVD)、空間ALD或其組合。較佳地,沉積方法為ALD、空間ALD或PE-ALD。
將有機矽烷前驅物蒸氣引入含有至少一個基板之反應室 中。反應室內之溫度及壓力以及基板之溫度保持在適合於將至少一部分有機矽烷前驅物氣相沉積至基板上之條件下。換言之,在將汽化前驅物引入腔室中之後,腔室內之條件使得至少一部分汽化前驅物沉積至基板上以形成含矽薄膜。共反應物亦可用於幫助形成含Si層。
反應室可為裝置中進行沉積方法之任何封閉區域或腔室,諸如但不限於平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器或其他此種類型之沉積系統。所有此等例示性反應室均能夠充當ALD反應室。反應室可維持在約0.5毫托至約20托範圍內之壓力下。此外,反應室內之溫度可在約20℃至約600℃範圍內。一般技術者應認識到可僅經由實驗來優化溫度以達成所要結果。
可藉由控制基板固持器之溫度或控制反應器壁之溫度來控制反應器溫度。用於加熱基板之裝置為本領域中已知。將反應器壁加熱至足以獲得處於充足生長速率下且具有所要物理狀態及組成之所要薄膜的溫度。可將反應器壁加熱至的非限制性例示性溫度範圍包括約20℃至約600℃。當使用電漿沉積製程時,沉積溫度可在約20℃至約550℃範圍內。或者,當執行熱製程時,沉積溫度可在約300℃至約600℃範圍內。
或者,可將基板加熱至足以獲得處於充足生長速率下且具有所要物理狀態及組成之所要含矽薄膜的溫度。可將基板加熱至的非限制性例示性溫度範圍包括150℃至600℃。較佳地,基板溫度保持低於或等於500℃。
上面將沉積含矽薄膜之基板的類型將視預期的最終用途而不同。在一些具體實例中,基板可為由氫化碳(例如CHx,其中x大於零)製成之圖案化光阻薄膜。在一些具體實例中,基板可選自在MIM、DRAM或FeRam技術中用作介電材料之氧化物(例如基於ZrO2之材料、基於HfO2之材料、基於TiO2之材料、基於稀土氧化物之材料、基於三元氧化物(ternary oxide)之材料等)或選自用作銅與低k層之間的氧障壁之基於氮化物之薄膜(例如TaN)。可使用其他基板來製造半導體、光伏打裝置、LCD-TTFT或平板裝置。此類基板之實例包括但不限於固體基板,諸如含有金屬氮化物之基板(例如TaN、TiN、WN、TaCN、TiCN、TaSiN及TiSiN);絕緣體(例如SiO2、Si3N4、SiON、HfO2、Ta2O5、ZrO2、TiO2、Al2O3及鈦酸鋇鍶);或包括此等材料之多種組合的其他基板。所使用之實際基板亦可視所使用之特定前驅物具體實例而定。但在許多情況下,所使用之較佳基板將選自氫化碳、TiN、SRO、Ru及Si型基板,諸如多晶矽或結晶矽基板。
所揭示之有機矽烷前驅物可以純形式或以與適合溶劑(諸如甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷、三級胺、丙酮、四氫呋喃、乙醇、乙基甲基酮、1,4-二烷或其他溶劑)之摻合物形式供應。所揭示之前驅物可以不同濃度存在於溶劑中。舉例而言,所得濃度可在約0.05M至約2M範圍內。
純的或摻合的有機矽烷前驅物藉由習知構件(諸如管道及/或流量計)以蒸氣形式引入反應器中。可藉由經由習知汽化步驟(諸如直接汽化、蒸餾、藉由鼓泡或藉由使用昇華器(諸如Xu等人之PCT公開案WO2009/087609中所揭示之昇華器))使純的或摻合的前驅物溶液汽化來產生呈蒸氣形式之前驅物。純的或摻合的前驅物可以液態饋至汽化器中,在該汽化器中其經汽化隨後將其引入反應器中。或者,可藉由將載氣通入含有前驅物之容器中或藉由使載氣鼓泡至前驅物中來使純的或摻合的前驅物汽化。載氣可包括但不限於Ar、He或N2及其混合物。用載氣鼓泡亦可移除存在於純的或摻合的前驅物溶液中之任何溶解氧。接著,載氣及前驅物以蒸氣形式引入反應器中。
必要時,可將容器加熱至允許有機矽烷前驅物呈其液相且具有充足蒸氣壓之溫度。容器可維持在例如0-150℃範圍內之溫度下。熟習此 項技術者認識到可以已知方式調節容器之溫度以控制汽化之有機矽烷前驅物之量。
除所揭示之前驅物以外,反應氣體(亦即共反應物)亦可引入反應器中。反應氣體可為氧化劑,諸如以下中之一者:O2;O3;H2O;H2O2;含氧自由基,諸如O.或OH.;NO;NO2;羧酸,諸如甲酸、乙酸、丙酸;NO、NO2或羧酸之自由基物質;三聚甲醛;及其混合物。較佳地,氧化劑選自由以下組成之群:O2、O3、H2O、H2O2、其含氧自由基(諸如O.或OH.)及其混合物。較佳地,當執行ALD製程時,共反應物為經電漿處理之氧氣、臭氧或其組合。當使用氧化氣體時,所得含矽薄膜亦將含有氧。
或者,反應氣體可為還原劑,諸如以下中之一者:H2、NH3、(SiH3)3N、氫化矽烷(諸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯矽烷及氯聚矽烷(諸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基矽烷(諸如(CH3)2SiH2、(C2H5)2SiH2、(CH3)SiH3、(C2H5)SiH3)、肼(諸如N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(諸如B2H6、9-硼雙環[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖)、烷基金屬(諸如三甲基鋁、三乙基鋁、二甲基鋅、二乙基鋅)、其自由基物質及其混合物。較佳地,還原劑為H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氫自由基或其混合物。當使用還原劑時,所得含矽薄膜可為純Si。
反應氣體可經電漿處理,以便使反應氣體分解成其自由基形式。當經電漿處理時N2亦可用作還原劑。舉例而言,可產生功率在約50W至約500W、較佳約100W至約200W範圍內之電漿。電漿可產生或存在於反應器自身內。或者,電漿可通常處於移離反應器之位置處,例如在遠端定位之電漿系統中。熟習此項技術者將想到適合於該電漿處理之方法及 裝置。
所揭示之有機矽烷前驅物亦可與鹵代矽烷或聚鹵代矽烷(諸如六氯二矽烷、五氯二矽烷或四氯二矽烷)及一或多種共反應物氣體一起使用,以形成SiN或SiCN薄膜,如PCT公開案第WO2011/123792號中所揭示,該公開案之全部內容以其全文併入本文中。
當所要含矽薄膜亦含有另一元素(諸如但不限於Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、鑭系元素(諸如Er)或其組合)時,共反應物可包括含金屬前驅物,其選自但不限於金屬烷基,諸如Ln(RCp)3或Co(RCp)2;金屬胺,諸如Nb(Cp)(NtBu)(NMe2)3;及其任何組合。
有機矽烷前驅物及一或多種共反應物可同時(化學氣相沉積)、相繼(原子層沉積)或以其他組合形式引入反應室中。舉例而言,有機矽烷前驅物可在一次脈衝中引入且兩種其他金屬來源可在獨立脈衝中一起引入[改良的原子層沉積]。或者,反應室在引入有機矽烷前驅物之前可能已含有共反應物。可使共反應物通過定位於反應室遠端之電漿系統,且分解成自由基。或者,有機矽烷前驅物可連續引入反應室中,而其他金屬來源藉由脈衝引入(脈衝式化學氣相沉積)。在各實施例中,可在脈衝之後進行吹掃或抽空步驟以移除所引入之過量組分。在各實施例中,脈衝可持續約0.01s至約10s,或者約0.3s至約3s,或者約0.5s至約2s範圍內之時間。在另一替代方案中,有機矽烷前驅物及一或多種共反應物可同時自淋浴噴頭噴灑,在該淋浴噴頭下固持若干晶圓之基座旋轉(空間ALD)。
在一個非限制性例示性原子層沉積型製程中,有機矽烷前驅物之氣相引入反應室中,在該反應室中其與適合基板接觸。接著,可藉由吹掃及/或抽空反應室來自反應室中移除過量有機矽烷前驅物。將氧源引入反應室中,在該反應室中其與所吸收之有機矽烷前驅物以自限制方式反 應。藉由吹掃及/或抽空反應室自反應室中移除任何過量氧源。若所要薄膜為氧化矽薄膜,則此兩步製程可提供所要膜厚度或可重複直至已獲得具有必需厚度之薄膜。
或者,若所要薄膜為矽金屬氧化物薄膜(亦即SiMOx,其中及x可為0-4且M為Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、鑭系元素(諸如Er)或其組合),則可在以上兩步製程之後將含金屬前驅物之第二蒸氣引入反應室中。含金屬前驅物將基於所沉積之矽金屬氧化物薄膜之性質來選擇。在引入反應室中之後,含金屬前驅物與基板接觸。藉由吹掃及/或抽空反應室自反應室中移除任何過量含金屬前驅物。再次,可將氧源引入反應室中以與含金屬前驅物反應。藉由吹掃及/或抽空反應室自反應室中移除過量氧源。若已達成所要膜厚度,則製程可終止。然而,若需要較厚薄膜,則可重複整個四步驟製程。藉由交替提供有機矽烷前驅物、含金屬前驅物及氧源,可沉積具有所要組成及厚度之薄膜。
另外,藉由改變脈衝次數,可獲得具有所要化學計量M:Si比率之薄膜。舉例而言,藉由進行一次有機矽烷前驅物之脈衝及一次含金屬前驅物之脈衝,且在各脈衝之後進行氧源之脈衝可獲得SiMO2薄膜。然而,一般技術者應認識到為獲得所要薄膜所需之脈衝次數可能不等於所得薄膜之化學計量比率。
在另一替代方案中,可經由ALD或改良的ALD製程使用所揭示之化合物及具有式SiaH2a+2-bXb(其中X為F、Cl、Br或I;a=1至6;且b=1至(2a+2))之鹵代矽烷化合物;或具有式-SicH2c-dXd-(其中X為F、Cl、Br、或I;c=3-8;且d=1至2c)之環狀鹵代矽烷化合物沉積Si或緻密SiCN薄膜。較佳地,鹵代矽烷化合物為三氯矽烷、六氯二矽烷(HCDS)、五氯二矽烷(PCDS)、四氯二矽烷或六氯環六矽烷。一般技術者應認識到當必需為較低沉積溫度時此等化合物中之Cl可經Br或I取代,此歸因於Si-X鍵 之較低鍵能(亦即Si-Cl=456kJ/mol;Si-Br=343kJ/mol;Si-I=339kJ/mol)。必要時,沉積可進一步使用含N共反應物,諸如NH3。所揭示之前驅物及鹵代矽烷化合物之蒸氣可視所要之最終薄膜濃度而相繼或同時引入反應器。所選前驅物注入順序將基於所要目標薄膜組成來確定。可重複前驅物引入步驟直至沉積層達成適合厚度。一般技術者應認識到當使用空間ALD裝置時引導性脈衝(introductory pulse)可為同時的。如PCT公開案第WO2011/123792號中所描述,可改變前驅物引入順序且可在存在或不存在NH3共反應物下執行沉積,以便調節SiCN薄膜中碳及氮之量。
由上文所論述之製程產生之含矽薄膜可包括SiO2、SiN、SiON、SiCN、SiCOH或MSiOx,其中M為諸如Hf、Zr、Ti、Nb、Ta或Ge之元素,且x可為4,當然視M之氧化態而定。一般技術者應認識到藉由慎重選擇適當有機矽烷前驅物及共反應物,可獲得所要薄膜組成。
獲得所要膜厚度後,可對薄膜進行進一步處理,諸如熱退火、爐退火、快速熱退火、UV或電子束固化及/或電漿氣體暴露。熟習此項技術者可想到用於執行此等額外加工步驟之系統及方法。舉例而言,含矽薄膜可在惰性氛圍、含H氛圍、含N氛圍、含O氛圍或其組合下暴露於約200℃至約1000℃範圍內之溫度,持續約0.1秒至約7200秒範圍內之時間。最佳地,溫度為400℃,在含H氛圍下持續3600秒。所得薄膜可含有較少雜質,且因此可具有改良之效能特性。可在執行沉積製程之同一反應室中執行退火步驟。或者,可自反應室中移除基板,且在獨立裝置中執行退火/急驟退火製程。已發現以上後處理方法中之任一者、尤其熱退火可有效減少含矽薄膜之碳及氮污染。
應瞭解,在如所附申請專利範圍中所表述之本發明原理及範疇內,熟習此項技術者可對本文中已描述且說明以便解釋本發明之性質的細節、材料、步驟及部件配置作出許多其他改變。因此,本發明並不意欲 限於以上所提供之實施例及/或隨附圖式中的特定具體實例。

Claims (15)

  1. 一種形成含Si薄膜之前驅物,其具有下式: 其中L1及L2各為氮原子;L1及L2經由具有兩個至三個碳原子之碳橋連接在一起;L1、L2及該碳橋形成鍵結於矽之單陰離子配位體。
  2. 如申請專利範圍第1項之形成含Si薄膜之前驅物,其具有下式: 其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環。
  3. 如申請專利範圍第2項之形成含Si薄膜之前驅物,其中該形成含Si薄膜之前驅物為H3Si(-(iPr)N-C3H3-N(iPr)-)。
  4. 如申請專利範圍第1項之形成含Si薄膜之前驅物,其具有下式: 其中R1、R2、R3、R4、R5及R6可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環。
  5. 如申請專利範圍第4項之形成含Si薄膜之前驅物,其中該形成含Si薄膜之前驅物為H3Si(-(iPr)N-C3H6-N(Me)2-)。
  6. 如申請專利範圍第1項之形成含Si薄膜之前驅物,其具有下式: 其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳 基或雜環。
  7. 如申請專利範圍第6項之形成含Si薄膜之前驅物,其中該形成含Si薄膜之前驅物為H3Si(-(iPr)N-CH2CH=N(iPr)-)。
  8. 如申請專利範圍第1項之形成含Si薄膜之前驅物,其具有下式: 其中R1、R2、R3、R4及R5可各獨立地為H、C1至C6烷基或C3-C20芳基或雜環。
  9. 如申請專利範圍第8項之形成含Si薄膜之前驅物,其中該形成含Si薄膜之前驅物為H3Si((iPr)NC2H4N(Me)2
  10. 一種在基板上沉積含Si層之方法,該方法包含:將至少一種如申請專利範圍第1項至第9項中任一項之形成含Si薄膜之前驅物引入內部安置有至少一個基板之反應器中;使用氣相沉積法將至少一部分該形成含Si薄膜之前驅物沉積至該至少一個基板上以形成含Si層。
  11. 如申請專利範圍第10項之方法,其進一步包含向該反應器中引入至少一種共反應物。
  12. 如申請專利範圍第11項之方法,其中該共反應物係選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、羧酸、其自由基及其組合,較佳為經電漿處理之氧氣或臭氧。
  13. 如申請專利範圍第11項之方法,其中該共反應物係選自由以下組成之群:H2、NH3、(SiH3)3N、氫化矽烷(諸如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯矽烷及氯聚矽烷(諸如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基矽烷(諸如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3)、肼(諸如 N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、含B分子(諸如B2H6、9-硼雙環[3,3,1]壬烷、三甲基硼、三乙基硼、硼吖)、烷基金屬(諸如三甲基鋁、三乙基鋁、二甲基鋅、二乙基鋅)、其自由基物質及其混合物。
  14. 如申請專利範圍第13項之方法,其中該共反應物係選自由以下組成之群:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氫自由基及其混合物。
  15. 如申請專利範圍第13項之方法,其中該共反應物係選自由以下組成之群:SiHCl3、Si2Cl6、Si2HCl5、Si2H2Cl4及環-Si6H6Cl6
TW102126078A 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物 TWI620751B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261674103P 2012-07-20 2012-07-20
US61/674,103 2012-07-20

Publications (2)

Publication Number Publication Date
TW201412763A true TW201412763A (zh) 2014-04-01
TWI620751B TWI620751B (zh) 2018-04-11

Family

ID=49949268

Family Applications (4)

Application Number Title Priority Date Filing Date
TW102126082A TWI631129B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
TW102126078A TWI620751B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
TW102126076A TWI586678B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
TW102126083A TWI579292B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102126082A TWI631129B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW102126076A TWI586678B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
TW102126083A TWI579292B (zh) 2012-07-20 2013-07-22 用於ald/cvd含矽薄膜應用之有機矽烷前驅物

Country Status (7)

Country Link
US (3) US9371338B2 (zh)
EP (1) EP2875166B1 (zh)
JP (3) JP2015528011A (zh)
KR (3) KR20150036122A (zh)
CN (1) CN104080944B (zh)
TW (4) TWI631129B (zh)
WO (4) WO2014015241A1 (zh)

Families Citing this family (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (ko) * 2014-03-21 2015-10-02 삼성전자주식회사 기체 차단 필름, 이를 포함하는 냉장고 및 기체 차단 필름의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6494411B2 (ja) * 2014-06-24 2019-04-03 東京エレクトロン株式会社 成膜方法および成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
WO2016054566A1 (en) * 2014-10-02 2016-04-07 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organodisilane precursors for ald/cvd silicon-containing film applications
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703196WA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
CN104447838B (zh) * 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用
CN104341447B (zh) * 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20210024208A (ko) * 2015-05-22 2021-03-04 지앙수 나타 옵토-일렉트로닉 매터리얼스 컴퍼니 리미티드 펜타클로로다이실란
CN118007094A (zh) 2015-06-16 2024-05-10 弗萨姆材料美国有限责任公司 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) * 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127044A1 (en) * 2016-01-18 2017-07-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions for ald/cvd of silicon-containing films
GB201601221D0 (en) * 2016-01-22 2016-03-09 Semblant Ltd Coated electrical assembly
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6473269B2 (ja) * 2016-02-29 2019-02-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11479858B2 (en) * 2016-10-12 2022-10-25 Dow Silicones Corporation Thio(di)silanes
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102347201B1 (ko) * 2017-10-25 2022-01-04 한국화학연구원 실리콘 아미노아미드 이미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021507123A (ja) * 2017-12-20 2021-02-22 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 金属含有膜の生成方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10367415B1 (en) * 2018-08-28 2019-07-30 Ferric Inc. Processor module with integrated packaged power converter
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102018318B1 (ko) * 2018-09-11 2019-09-04 주식회사 유진테크 박막 형성 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TWI723856B (zh) * 2020-04-28 2021-04-01 逢甲大學 大氣常壓低溫電漿沉積抗刮疏水層的方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN117321504A (zh) * 2021-04-23 2023-12-29 恩特格里斯公司 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20220406595A1 (en) * 2021-06-22 2022-12-22 Applied Materials, Inc. Novel oxidants and strained-ring precursors
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2590039A (en) 1948-07-30 1952-03-18 Socony Vacuum Oil Co Inc Reaction of organosiliconhalides with organodithiols and diselenyl substituted organo compounds, and products thereof
NL126402C (zh) 1964-11-16
US4491669A (en) * 1980-11-12 1985-01-01 Petrarch Systems Inc. Mixed alkoxyaminosilanes, methods of making same and vulcanizing silicons prepared therefrom
FR2575466B1 (fr) 1984-12-27 1987-02-20 Centre Nat Rech Scient Nouveaux complexes de silicium hexacoordines, leur procede de preparation et leur application
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JP2716330B2 (ja) 1992-11-13 1998-02-18 セントラル硝子株式会社 低反射ガラスおよびその製法
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6649083B1 (en) 1999-08-12 2003-11-18 Board Of Trustees Of Michigan State University Combined porous organic and inorganic oxide materials prepared by non-ionic surfactant templating route
US6736993B1 (en) 2000-04-18 2004-05-18 Advanced Technology Materials, Inc. Silicon reagents and low temperature CVD method of forming silicon-containing gate dielectric materials using same
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030118725A1 (en) * 2001-11-02 2003-06-26 Shipley Company, L.L.C. Precursor compounds for metal oxide film deposition and methods of film deposition using the same
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
DE102006029430A1 (de) * 2006-06-27 2008-01-03 Wacker Chemie Ag Verfahren zur Herstellung von siliciumorganischen Verbindungen durch Hydrosilylierung in ionischen Flüssigkeiten
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
SG176449A1 (en) 2006-11-02 2011-12-29 Advanced Tech Materials Antimony and germanium complexes useful for cvd/ald of metal thin films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2008128141A2 (en) 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
JP2011511881A (ja) 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
KR101802124B1 (ko) 2008-06-05 2017-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
US20130022745A1 (en) 2009-08-14 2013-01-24 American Air Liquide, Inc. Silane blend for thin film vapor deposition
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8535760B2 (en) 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
SG183291A1 (en) * 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
JP5216163B2 (ja) 2010-03-29 2013-06-19 楽天株式会社 サーバ装置、情報提供方法、情報提供プログラム、情報提供プログラムが記録された記録媒体、及び情報提供システム
US20130078376A1 (en) 2010-04-01 2013-03-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US8404878B2 (en) 2010-04-07 2013-03-26 American Air Liquide, Inc. Titanium-containing precursors for vapor deposition
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US20120277457A1 (en) 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
KR20120078909A (ko) 2011-01-03 2012-07-11 닛산 가가쿠 고교 가부시키 가이샤 신규한 유기아미노 규소 화합물 및 이를 이용한 규소 함유 박막
BR112013019812B1 (pt) 2011-02-05 2021-06-15 Bridgestone Corporation Catalisadores de complexo metálico e métodos de polimerização aplicando o mesmo
WO2012176988A1 (en) 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Organometallic compound, preparing method of the same, and preparing method of thin film using the same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
DE102012002408B4 (de) 2012-02-09 2015-09-24 Fachhochschule Düsseldorf Messanordnung und Verfahren zur Erfassung der Kraftbeanspruchung bei einem biegeweichen Objekt
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN104341447B (zh) 2014-11-06 2017-06-16 江南大学 一种含n脒基硅化合物及其应用
CN104447838B (zh) 2014-11-06 2017-12-29 江南大学 一种β二亚胺基硅化合物及其应用

Also Published As

Publication number Publication date
KR20150034123A (ko) 2015-04-02
KR20150036114A (ko) 2015-04-07
EP2875166B1 (en) 2018-04-11
WO2014015232A1 (en) 2014-01-23
TWI631129B (zh) 2018-08-01
US20150004317A1 (en) 2015-01-01
TWI579292B (zh) 2017-04-21
TW201410690A (zh) 2014-03-16
JP2015525774A (ja) 2015-09-07
WO2014015248A1 (en) 2014-01-23
US9371338B2 (en) 2016-06-21
EP2875166A4 (en) 2016-06-01
JP2015525773A (ja) 2015-09-07
WO2014015241A1 (en) 2014-01-23
TW201412762A (zh) 2014-04-01
JP6242026B2 (ja) 2017-12-06
KR20150036122A (ko) 2015-04-07
US9938303B2 (en) 2018-04-10
CN104080944B (zh) 2016-08-24
US9593133B2 (en) 2017-03-14
WO2014015237A1 (en) 2014-01-23
JP2015528011A (ja) 2015-09-24
EP2875166A1 (en) 2015-05-27
TW201410689A (zh) 2014-03-16
TWI620751B (zh) 2018-04-11
TWI586678B (zh) 2017-06-11
CN104080944A (zh) 2014-10-01
US20150166577A1 (en) 2015-06-18
US20150166576A1 (en) 2015-06-18

Similar Documents

Publication Publication Date Title
TWI620751B (zh) 用於ald/cvd含矽薄膜應用之有機矽烷前驅物
US10403494B2 (en) Si-containing film forming precursors and methods of using the same
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10053775B2 (en) Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
TW201609765A (zh) 經烷胺基取代之碳矽烷前驅物
KR102461078B1 (ko) Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10570513B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications
WO2017127044A1 (en) Si-containing film forming compositions for ald/cvd of silicon-containing films