CN115233183A - 电介质上氧化物的选择性peald - Google Patents

电介质上氧化物的选择性peald Download PDF

Info

Publication number
CN115233183A
CN115233183A CN202210884734.5A CN202210884734A CN115233183A CN 115233183 A CN115233183 A CN 115233183A CN 202210884734 A CN202210884734 A CN 202210884734A CN 115233183 A CN115233183 A CN 115233183A
Authority
CN
China
Prior art keywords
plasma
metal
reactant
precursor
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210884734.5A
Other languages
English (en)
Inventor
E·托伊斯
V·J·鲍尔
S·豪卡
T·铃木
L·贾
S·J·吉姆
O·马迪亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN115233183A publication Critical patent/CN115233183A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明的名称是电介质上氧化物的选择性PEALD。本申请提供了用于相对于金属表面选择性地在衬底的介电表面上沉积氧化物薄膜的方法。所述方法可包括至少一个等离子体增强原子层沉积(PEALD)循环,该循环包括使衬底与包含氧和待包括在氧化物中的物种如金属或硅的第一前体和第二等离子体反应物交替且依次地接触。在一些实施方案中,第二等离子体反应物包含在不包含氧的反应物气体中形成的等离子体。在一些实施方案中,第二等离子体反应物包含在包含氢的气体中生成的等离子体。

Description

电介质上氧化物的选择性PEALD
本申请为分案申请,原申请的申请日是2018年5月3日、申请号是201880032200.1、发明名称为“电介质上氧化物的选择性PEALD”。
技术领域
本公开总的涉及半导体器件制造领域,更特别地,涉及氧化物膜的选择性等离子体增强原子层沉积(PEALD)。
背景技术
在半导体工业中,对选择性工艺的需求日益增加。例如,可能期望在一个表面上、而非第二个不同表面上进行膜生长。这两个不同的表面可包括不同材料,例如金属和介电质。良好的选择性工艺可减少工艺步骤数,从而节省时间和金钱。
发明内容
本申请涉及氧化物通过等离子体增强原子层沉积(PEALD)在衬底上的选择性沉积。氧化物可包含例如SiO2、SiOC、SiOCN或金属氧化物。在一些实施方案中,氧化物包含金属和硅两者。在一些实施方案中,氧化物被选择性地沉积在衬底的介电表面上。在一些实施方案中,PEALD工艺不利用氧等离子体或其他反应性氧物种。因此,在一些实施方案中,反应物之一包含来自在不包含氧的气体中生成的等离子体的反应性物种。
在一些实施方案中,用于在衬底的介电表面上选择性地沉积氧化物的PEALD工艺包括提供包含第一介电表面和第二不同表面如金属表面的衬底。进行至少一个沉积循环,所述至少一个沉积循环包括使衬底与包含氧和氧化物的组分如硅或金属的第一前体和第二反应物交替且依次地接触。在一些实施方案中,第二反应物包含来自在不包含氧的气体中生成的等离子体的反应性物种。例如,第二反应物可包含氢等离子体。氢等离子体可在包含H2的气体中生成。第二反应物与吸附在衬底表面上的第一前体反应以相对于第二金属表面选择性地在第一介电表面上形成氧化物。在一些实施方案中,第二反应物也与金属表面反应以还原金属表面上可能存在的金属氧化物。第二反应物还可去除金属表面上可能存在的OH基团。沉积循环可重复两次或更多次以在介电表面上形成所需厚度的氧化物。在一些实施方案中,首先提供第二反应物以使其与衬底的表面反应:或与介电表面反应,此时其可起到调节表面以便后续沉积的作用,或与来自先前沉积循环的被吸附第一反应物反应。
在一些实施方案中,介电表面包含SiO2。在一些实施方案中,介电表面包含低-k材料。金属表面可包含例如Co、W、TiN、Cu或Ta。
在一些实施方案中,选择性地沉积的氧化物包含SiO2、SiOC或SiOCN。在一些实施方案中,氧化物为金属氧化物,如氧化钛。在一些实施方案中,氧化物为可从含氧前体沉积的任何金属氧化物。在一些实施方案中,选择性地沉积的氧化物包含两种或更多种氧化物的混合物。在一些实施方案中,沉积的氧化物包含两种或更多种金属氧化物的混合物。在一些实施方案中,沉积的氧化物包含氧化硅和一种或多种金属氧化物的混合物。在一些实施方案中,沉积包含金属和硅的氧化物,如SiTiOx。在一些实施方案中,沉积硅酸盐。
在一些实施方案中,第一前体为硅前体,如3-甲氧基丙基三甲氧基硅烷(MPTMS)。在一些实施方案中,第一前体为MPTMS,第二反应物包含氢等离子体。在一些实施方案中,第一前体为金属前体。
在一些实施方案中,金属表面包含钝化层,如有机材料。在开始选择性氧化物沉积之前,可相对于介电表面选择性地在金属表面上沉积有机钝化层。在一些实施方案中,金属表面上的钝化层在氧化物沉积循环中被第二反应物蚀刻。
在一些实施方案中,提供了相对于金属表面选择性地在衬底的介电表面上沉积氧化硅膜的方法。所述方法可以是PEALD方法,其包括沉积循环,其中衬底与包含硅和氧的第一反应物及不包含氧物种的第二等离子体反应物交替且依次地接触。在一些实施方案中,第二等离子体反应物包含在不包含氧的气体中生成的等离子体。在一些实施方案中,第二等离子体反应物包含在包含氢而不包含氧的气体中生成的等离子体。包含硅和氧的第一反应物的物种吸附在介电表面上并与第二等离子体反应物反应形成氧化硅。在一些实施方案中,第二等离子体反应物包含氢等离子体。
附图说明
图1为一些实施方案的示意图,其中氧化物膜相对于金属表面被选择性地沉积在SiO2上。在SiOC沉积在SiO2上的过程中,金属表面可被同时还原。SiOC可通过MPTMS和氢等离子体沉积。
图2A和2B示意了在125和200℃下MPTMS工艺的W和Cu之间的选择性。Y轴为原子%。
图3示意了通过使用在67W的功率下生成的H2等离子体的PEALD工艺,SiOC相对于Cu表面在低-k表面上的选择性沉积。
图4示意了通过使用在300W的功率下生成的H2等离子体的PEALD工艺,SiOC相对于Cu表面在低-k表面上的选择性沉积。
图5A为示出使用不同的等离子体反应物用异丙醇钛(IV)沉积的TiO(CN)膜的折射率(R.I.)的图线。
图5B为示出使用不同的等离子体反应物用异丙醇钛(IV)沉积的TiO(CN)膜的每个循环的生长速率的图线。
具体实施方式
如对技术人员显而易见的,氧化物膜如碳氧化硅(SiOC)膜在例如集成电路制造中具有广泛的应用。
根据本公开的一些实施方案,提供了各种介电膜(特别是氧化物膜)、前体和用于沉积此类膜的方法。
在一些实施方案中,氧化物薄膜,例如介电膜如SiO2、SiOC或其他基于SiO的介电膜或者金属氧化物膜,通过等离子体增强原子层沉积(PEALD)工艺选择性地沉积在衬底上。在一些实施方案中,氧化物膜通过PEALD工艺相对于衬底的第二不同金属或金属性表面选择性地沉积在衬底的第一介电表面上。例如,SiO2可通过PEALD相对于金属表面选择性地沉积在SiO2表面上。图1示出了一些实施方案的示意图,其中氧化物膜相对于金属表面选择性地沉积在SiO2上。
根据一些实施方案,使用PEALD工艺选择性地沉积氧化物膜,PEALD工艺包括使衬底与第一前体和等离子体反应物接触,如在包含氢的气体中生成的等离子体。例如,PEALD工艺可包括使衬底与包含硅和氧的第一前体及包含在包含Ar和H2(本文称为Ar/H2等离子体)但不包含氧的气体中生成的等离子体的第二前体接触。第一前体可含有氧和一种或多种待包括在氧化物中的元素如金属或硅。氧化物膜在第一表面如介电表面上生长。生长较少发生或不发生在第二表面如金属或金属性表面上。PEALD工艺中的等离子体反应物可用于两种功能。第一,它可在沉积过程中充当反应物,其中其与介电表面上先前吸附的前体反应以在该表面上形成所需的氧化物。第二,等离子体作用于金属表面以减少或抑制该表面上氧化物的形成。可例如通过还原金属表面上的金属氧化物(如果有的话)和/或通过从金属表面去除氧如通过从金属表面去除OH基团和/或金属-氧桥来抑制第二金属表面上的生长。等离子体不会像从第一介电表面如氧化硅介电表面那样容易地去除氧(如OH基团和/或金属-氧桥)。第一前体因此相对于具有较少OH-基团的经还原金属表面优先地反应并化学吸附到含有较大OH-基团浓度的介电表面。结果,氧化物相对于第二金属或金属性表面选择性地沉积在第一介电表面上。
在一些实施方案中,使包含需要在其上沉积介电材料的第一表面和不需要在其上沉积的第二表面如金属表面的衬底与包含氧和一种或多种待包括在所沉积材料中的元素的前体和等离子体反应物交替且依次地接触。在一些实施方案中,等离子体反应物包含在不包含氧的气体中生成的等离子体。在一些实施方案中,等离子体反应物不包含氧物种。在一些实施方案中,除第一反应物外,不使用包含氧物种的反应物。在一些实施方案中,等离子体反应物包含在包含氢的气体中生成的等离子体。包含氧的前体和等离子体可以脉冲提供,各脉冲由吹扫分开,在吹扫中过量的反应物和反应副产物(如果有的话)从反应空间被去除。
在一些实施方案中,沉积工艺始于等离子体脉冲,并且反应序列或沉积循环可被重复所需的次数(A):
A x(RF/吹扫/前体/吹扫)
在一些实施方案中,沉积循环始于前体脉冲,随后是等离子体脉冲。
在一些实施方案中,可在开始沉积循环之前提供还原等离子体步骤。还原等离子体步骤可比沉积循环中暴露于等离子体反应物的时间更长。在一些实施方案中,还原等离子体步骤包括在沉积工艺中一个或多个时间间隔下。在一些实施方案中,还原等离子体步骤包括在两个或更多个沉积循环之前。在一些实施方案中,还原等离子体步骤包括在每个沉积循环之前。
较长还原步骤中的等离子体可与沉积循环中使用的等离子体相同,或可不同。此还原等离子体步骤可从金属表面还原基本上所有金属氧化物并确保从金属表面去除基本上所有OH基团或金属氧化物桥:
(长脉冲/高功率RF)+A x(RF/吹扫/前体/吹扫)
通过优化等离子体和其他工艺条件,可调整还原能力以使得获得所需的选择性水平。在一些实施方案中,可调整工艺条件如等离子体功率以使得生长不在金属表面上明显地进行,或甚至根本不进行。在一些实施方案中,还可使用等离子体功率来调整沉积的氧化物膜的k值和耐湿法蚀刻性。
在一些实施方案中,用于沉积循环中和/或用于还原等离子体步骤的等离子体是基于氢的。例如,等离子体可在包含氢的气体中如在H2气中、H2与惰性气体如Ar的混合物中或在包含H2的另一气体中生成。在一些实施方案中,等离子体是基于氮的,或包含氮物种。例如,等离子体可在包含氮的气体如包含N2的气体、或N2与惰性气体如Ar的混合物中生成。在一些实施方案中,等离子体不包含氧物种。在一些实施方案中,等离子体在不包含氧的气体或气体混合物中生成。然而,在一些实施方案中,等离子体可在包含氧的气体中生成,或者可另外包含激发氧物种。在一些实施方案中,等离子体不包含氮物种。在一些实施方案中,具有更多还原能力的等离子体气体是优选的。在一些实施方案中,可单使用惰性气体来生成等离子体。因此,在一些实施方案中,等离子体在惰性气体如无任何其他组分的Ar气中生成。
在一些实施方案中,等离子体,例如含氢等离子体,可通过施加约5W至约5000W、10W至约2000W、约20W至约1000W、约30W至500W或约50W至约200W的RF功率生成。在一些实施方案中,RF功率密度可为约0.02W/cm2至约2.0W/cm2,或约0.05W/cm2至约1.5W/cm2。RF功率可以施加到在等离子体接触时间期间流动的、连续流过反应室的和/或流过远程等离子体发生器的第二反应物。因此,在一些实施方案中,等离子体原位生成,而在其他实施方案中,等离子体远程生成。在一些实施例中,利用喷头反应器并在基座(衬底位于其上)和喷头板之间产生等离子体。
在一些实施方案中,沉积SiOC膜。在一些实施方案中,沉积非SiOC的氧化物。在一些实施方案中,氧化物包含亲氧元素。例如,可通过本文公开的方法沉积的氧化物包括SiOCN、SiOSC、TiO2、Al2O3、Nb2O5、Ta2O5和SiO2。同样,在一些实施方案中,采用的前体既含有氧又含有氧化物中期望的第二元素如金属或硅。
除非另外指明,否则如果表面在本文中被称为金属表面,则其可以是金属表面或金属性表面。在一些实施方案中,金属表面或含金属表面可以包含金属、金属氧化物和/或其混合物。在一些实施方案中,金属表面或含金属表面可以包含表面氧化。在一些实施方案中,金属或含金属表面的金属或含金属材料在具有或不具有表面氧化的情况下是导电的。在一些实施方案中,金属或含金属表面包含一种或多种过渡金属。在一些实施方案中,金属或含金属表面包含Al、Cu、Co、Ni、W、Nb、Fe中的一种或多种。在一些实施方案中,金属或金属性表面包含Cu。在一些实施方案中,金属或金属性表面包含一种或多种贵金属如Ru。在一些实施方案中,金属或含金属表面包含导电金属氧化物、氮化物、碳化物、硼化物或其组合。例如,金属或金属性表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx和WNCx中的一种或多种。在一些实施方案中,衬底可以包含金属氮化物,包括但不限于TiN和/或TaN。在一些实施方案中,金属表面可以包含金属碳化物,包括但不限于TiC和/或TaC。在一些实施方案中,金属表面可以包含金属硫族化物,包括但不限于MoS2、Sb2Te3和/或GeTe。在一些实施方案中,金属表面是Tin表面。在一些实施方案中,金属表面是W表面。
在一些实施方案中,金属表面可包含Zn、Fe、Mn或Mo。
在一些实施方案中,金属表面包含Co、W、TiN、Ta或Cu。
在一些实施方案中,介电表面可为例如氧化硅表面,如SiO2表面。在一些实施方案中,介电表面可为低-k表面。
在一些实施方案中,沉积之前在衬底上、如在金属表面上可能存在钝化层如有机层。在一些实施方案中,钝化层存在于金属表面上但不存在于介电表面上。
在一些实施方案中,可在向介电表面上选择性沉积氧化物之前在金属表面上沉积钝化层。例如,在一些实施方案中,可在金属层上选择性地沉积钝化层。钝化层的选择性沉积可例如如下文所述及如美国专利申请号15/170,769或美国专利申请号15/486,124中所述进行,这些专利申请中的每一者以引用方式并入本文。
在一些实施方案中,在沉积之前,钝化层可存在于金属表面和介电表面两者上。在一些实施方案中,金属表面上方的钝化层比介电表面上方的钝化层厚。
沉积工艺中或沉积工艺之前等离子体处理中使用的等离子体反应物可蚀刻钝化层,使得钝化层从其上需要沉积的介电表面完全蚀刻掉,同时一些钝化层保留在金属表面上。可因此实现或增强沉积工艺在介电表面上相对于金属表面(包含钝化层)的选择性。在一些实施方案中,在氧化物的选择性沉积过程中发生钝化层的蚀刻,如通过等离子体反应物的活性。
在一些实施方案中,可在沉积工艺过程中补充金属表面上方的钝化层以在沉积较厚的膜时实现更好的选择性。
如果不存在钝化层,或钝化层被完全去除,则等离子体可如上所述用来使金属保持金属性,并去除OH-基团和/或金属氧化物,使得氧化物相对于金属或金属性表面选择性地沉积在介电表面如SiO2或低-k表面上。
如上文所提及,在一些实施方案中,衬底可包含有机钝化层。在衬底包含有机材料的一些实施方案中,PEALD方法的反应温度可以低于约200℃。在一些这样的实施方案中,反应温度可低于约150℃、低于约100℃、低于约75℃或低于约50℃。下文描述了不存在钝化层的情况下的沉积温度。
在一些实施方案中,将其上需要沉积的衬底如半导体工件装载到反应空间或反应器中。反应器可以是聚类工具的一部分,其中进行集成电路形成过程中的各种不同方法。在一些实施例中,利用流动型反应器。在一些实施例中,利用喷头型反应器。在一些实施例中,利用空间分隔反应器。在一些实施例中,使用能够进行大批量制造的单晶片ALD反应器。在其他实施例中,使用包含多个衬底的分批反应器。对于使用间歇ALD反应器的实施方案,衬底的数量在10至200的范围内、在50至150的范围内或在100至130的范围内。
可使用的合适反应器的实例包括市售设备,如
Figure BDA0003764763730000071
反应器、
Figure BDA0003764763730000072
反应器;
Figure BDA0003764763730000073
反应器-如
Figure BDA0003764763730000074
2000和
Figure BDA0003764763730000075
3000;
Figure BDA0003764763730000076
反应器和
Figure BDA0003764763730000077
400系列反应器,它们可得自ASM America,Inc(亚利桑那州凤凰城)和ASM Europe B.V.(荷兰阿尔梅勒)。其它市售反应器包括来自ASM Japan K.K(日本东京(Tokyo,Japan)),商品名为
Figure BDA0003764763730000078
XP和XP8的反应器。
在一些实施方案中,如果需要,可对工件的暴露表面进行预处理以提供与ALD工艺的第一阶段反应的反应位点。在一些实施例中,不需要单独的预处理步骤。在一些实施例中,对衬底进行预处理以提供所需的表面终止。在一些实施例中,用等离子体预处理衬底。
选择性
选择性可以百分比给出,所述百分比如下计算:[(第一表面上的沉积)-(第二表面上的沉积)]/(第一表面上的沉积)。沉积可以利用多种方式中的任一种来测量。在一些实施方案中,沉积可以作为所沉积材料的测量厚度给出。在一些实施方案中,沉积可以作为所沉积材料的测量量给出。
在一些实施方案中,选择性大于约10%、大于约50%、大于约75%、大于约85%、大于约90%、大于约93%、大于约95%、大于约98%、大于约99%或甚至大于约99.5%。在本文所述的实施方案中,选择率能够随着沉积的持续时间或厚度而改变。
在一些实施方案中,氧化物的沉积仅发生在第一介电表面上而不发生在第二金属表面上。在一些实施方案中,衬底的第一表面上的沉积相对于衬底的第二表面具有至少约80%的选择性,这对于一些特定的应用来说可能是足够选择性的。在一些实施方案中,衬底的第一表面上的沉积相对于衬底的第二表面具有至少约50%的选择率,这对于一些特定的应用来说可能是足够选择性的。在一些实施方案中,衬底的第一表面上的沉积相对于衬底的第二表面具有至少约10%的选择率,这对于一些特定的应用来说可能是足够选择性的。
钝化层的选择性沉积
在一些实施方案中,钝化层相对于第二介电表面选择性地沉积在衬底的第一金属或金属性表面上以便促进或增强如本文所述氧化物在介电表面上相对于金属表面的后续选择性沉积。在钝化层的选择性沉积中,在一些实施方案中,第一有机反应物被汽化以形成第一反应物蒸气。被气化的反应物在标准温度和压力条件(室温和大气压)下可为液体或固体。在一些实施方案中,被气化的反应物包含有机前体,如胺,例如二胺,如1,6-二氨基己烷(DAH),或另一有机前体,如二酸酐,例如均苯四酸二酐(PMDA)。然后将衬底暴露于第一反应物蒸气并选择性地沉积有机膜。所述方法可包括额外的步骤,并可重复。例如,如下文所述,在一些实施方案中,采用两种反应物来相对于介电表面选择性地在金属表面上沉积钝化层。
在一些实施方案中,有机膜包含聚合物。在一些实施方案中,沉积的聚合物为聚酰亚胺。在一些实施方案中,沉积的聚合物为聚酰胺。沉积的聚合物的其他实例包括二聚体、三聚体、聚氨酯、聚硫脲、聚酯、聚亚胺、其他聚合物形式或上述材料的混合物。
在一些实施方案中,提供包含第一导电表面例如金属或金属性表面和第二介电表面的衬底并在沉积循环中交替且依次地暴露于第一气相反应物和第二气相反应物。
在一些实施方案中,第一前体暴露时间为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。本领域技术人员可基于具体情况容易地确定最佳暴露时间。在其中可能使用间歇式反应器的一些实施方案中,可采用大于60秒的暴露时间。
在一些实施方案中,第二前体暴露时间为约0.01秒至约60秒、约0.05秒至约30秒、约0.1秒至约10秒或约0.2秒至约5秒。本领域技术人员可基于具体情况容易地确定最佳暴露时间。在其中可能使用间歇式反应器的一些实施方案中,可采用大于60秒的暴露时间。
在一些实施方案中,可重复沉积循环直至在金属表面上选择性地沉积了所需厚度的有机膜。
上述工艺可使用各种反应物。例如,在一些实施方案中,第一前体或反应物为有机反应物如二胺,例如1,6-二氨基己烷(DAH),或具有两个反应性基团的任何其他单体。
在一些实施方案中,第二反应物或前体也为能够在沉积条件下与第一反应物的吸附物种反应的有机反应物。例如,第二反应物可为酸酐,如呋喃-2,5-二酮(马来酸酐),或更特别地二酐,例如均苯四酸二酐(PMDA),或具有两个将与第一反应物反应的反应性基团的任何其他单体。
在一些实施方案中,使衬底在与第二前体接触之前与第一前体接触。因此,在一些实施方案中,使衬底在与另一前体接触之前与胺如二胺、例如1,6-二氨基己烷(DAH)接触。然而,在一些实施方案中,可使衬底在与第一前体接触之前与第二前体接触。因此,在一些实施方案中,使衬底在与另一前体接触之前与酸酐如呋喃-2,5-二酮(马来酸酐)或更特别地二酐例如均苯四酸二酐(PMDA)接触。
尽管上述工艺始于使衬底与第一气相前体接触,但在其他实施方案中,工艺可始于使衬底与第二气相前体接触。技术人员应理解,衬底与第一前体和第二前体的接触在本文所述工艺中是可互换的。
在一些实施方案中,可使用不同的反应物来调整膜性质。例如,可使用4,4'-氧二苯胺或1,4-二氨基苯代替1,6-二氨基己烷来沉积聚酰亚胺膜以获得具有更多芳香性和提高的耐干蚀刻性的更刚性结构。
在一些实施方案中,反应物不含金属原子。在一些实施方案中,反应物不含半金属原子。在一些实施方案中,反应物之一包含金属或半金属原子。在一些实施方案中,反应物含有碳和氢及以下元素中的一种或多种:N、O、S、P或卤素如Cl或F。在一些实施方案中,第一反应物可包含例如己二酰氯(AC)。
钝化层的沉积条件可随所选反应物而异并可在选择时优化。在一些实施方案中,反应温度可选自约80℃至约250℃的范围。在例如其中选择性地沉积的有机膜包含聚酰亚胺的一些实施方案中,反应温度可选自约170℃至约210℃的范围。在例如其中选择性地沉积的有机膜包含聚酰胺的一些实施方案中,反应温度可选自约80℃至约150℃的范围。在其中选择性地沉积的有机膜包含聚酰亚胺的一些实施方案中,反应温度可高于约160℃、180℃、190℃、200℃或210℃。在其中选择性地沉积的有机膜包含聚酰胺的一些实施方案中,反应温度可高于约80℃、90℃、100℃、110℃、120℃、130℃、140℃或150℃。
在一些实施方案中,选择性地沉积或形成的有机膜不含金属原子。在一些实施方案中,选择性地沉积或形成的有机膜不含半金属原子。在一些实施方案中,选择性地沉积或形成的有机膜含有金属或半金属原子。在一些实施方案中,选择性地沉积或形成的有机膜含有碳和氢及以下元素中的一种或多种:N、O、S或P。
在一些实施方案中,用于形成有机钝化层的选择性沉积中的反应物可具有通式:
(1) R1(NH2)2
其中R1可为包含1-5个碳原子、2-5个碳原子、2-4个碳原子、5个或更少碳原子、4个或更少碳原子、3个或更少碳原子、或2个碳原子的脂族碳链。在一些实施方案中,反应物或前体中的碳原子之间的键可为单键、双键、三键或它们的一些组合。因此,在一些实施方案中,反应物可包含两个氨基基团。在一些实施方案中,反应物的氨基基团可占据脂族碳链上的一个或两个末端位置。然而,在一些实施方案中,反应物的氨基基团可不占据脂族碳链上的任一末端位置。在一些实施方案中,反应物可包含二胺。在一些实施方案中,反应物可包含选自1,2-二氨基乙烷(l)、1,3-二氨基丙烷(l)、1,4-二氨基丁烷(l)、1,5-二氨基戊烷(l)、1,2-二氨基丙烷(l)、2,3-丁二胺、2,2-二甲基-1,3-丙二胺(l)的有机前体。
在一些实施方案中,用于形成有机钝化层的选择性沉积工艺中的反应物可具有通式:
(2) R2(COCl)2
其中R2可为包含1-3个碳原子、2-3个碳原子、或者3个或更少碳原子的脂族碳链。在一些实施方案中,反应物或前体中的碳原子之间的键可为单键、双键、三键或它们的一些组合。在一些实施方案中,反应物可包含氯化物。在一些实施方案中,反应物可包含二酰氯。在一些实施方案中,反应物可包含选自草酰氯(I)、丙二酰氯和富马酰氯的有机前体。
在一些实施方案中,反应物包含选自1,4-二异氰酸根合丁烷或1,4-二异氰酸根合苯的有机前体。在一些实施方案中,反应物包含选自对苯二甲酰二氯、烷基二酰二氯如己二酰二氯、辛二酰二氯、壬二酰二氯、癸二酰二氯或对苯二甲酰二氯的有机前体。在一些实施方案中,反应物包含选自1,4-二异硫氰酸根合苯或对苯二甲醛的有机前体。在一些实施方案中,被气化的反应物也可为二胺,如1,4-二氨基苯、癸烷-1,10-二胺、4-硝基苯-1,3-二胺、4,4'-氧二苯胺或乙二胺。在一些实施方案中,反应物可为对苯二甲酸双(2-羟乙基)酯。在一些实施方案中,反应物可为羧酸,例如烷基-、烯基-、烷二烯基-二羧酸或三羧酸,如乙二酸、丙二酸、丁二酸、戊二酸或丙烷-1,2,3-三羧酸。在一些实施方案中,反应物可为芳族羧酸或二羧酸,如苯甲酸、苯-1,2-二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些实施方案中,反应物可包含一个或多个键合到烃的OH-基团。在一些实施方案中,反应物可选自二醇、三醇、氨基苯酚如4-氨基苯酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些实施方案中,反应物可为8-喹啉醇。在一些实施方案中,反应物可包含烯基氯硅烷,像烯基三氯硅烷,如7-辛烯基三氯硅烷。
在金属表面上选择性沉积有机钝化层之后,可如本文所述相对于金属表面选择性地在介电表面上沉积氧化物。
SiOC和SiOCN的沉积
如上文所提及,在一些实施方案中,相对于金属或金属性表面选择性地在介电表面上沉积SiOC。可例如如本文所述或如美国专利申请号15/588,026中所述沉积SiOC,该专利申请以引用方式并入本文。
在一些实施方案中,相对于金属或金属性表面选择性地在介电表面上沉积SiOCN。可例如如本文所述或如美国专利申请号14/939,984或15/342,943中所述沉积SiOCN,这些专利申请中的每一者以引用方式并入本文。
在一些实施方案中,相对于金属或金属性表面选择性地在介电表面上沉积SiOSC。可例如如本文所述或如美国专利申请号62/502,118中所述沉积SiOSC,该专利申请以引用方式并入本文。
为方便和简单起见,碳氧化硅膜的化学式在本文中通常以SiOC提及。如本文所用,SiOC无意限制、约束或限定键合或化学状态,例如膜中Si、O、C和/或任何其他元素中任一种的氧化态。进一步地,在一些实施方案中,SiOC薄膜可包含除Si、O和C外的一种或多种元素。例如,在一些实施方案中,按原子计(at%),可沉积包含约0%至约10%氮的SiOCN膜。在一些实施方案中,按原子计,可沉积包含约0至约20%硫的SiOSC膜。
在一些实施方案中,按原子计,SiOC可包含约0%至约30%的碳。在一些实施方案中,按原子计,SiOC膜可以包含约0%至约70%的氧。在一些实施方案中,按原子计,SiOC膜可以包含约0%至约50%的硅。
为简单起见,除非另有指明,否则本文所提供的所有原子百分比(即at%)值不包括氢,因为难以准确地以定量方式分析氢。然而,在一些实施方案中,如果有可能以合理的精确度分析氢,那么膜的氢含量小于约20at%、小于约10at%或小于约5at%。在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可以包含最高约70%的氧。在一些实施方案中,按原子计,SiOC膜可以包含约10%至约70%、约15%至约50%或约20%至约40%的氧。在一些实施方案中,按原子计,SiOC膜可以包含至少约20%、约40%或约50%的氧。
在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可含有至多约40%的碳。在一些实施方案中,按原子计,SiOC膜可以包含约0.1%至约40%、约0.5%至约40%、约1%至约30%或约5%至约20%的碳。在一些实施方案中,按原子计,SiOC膜可以包含至少约1%、约10%或约20%的碳。
在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可含有至多约50%的硅。在一些实施方案中,按原子计,SiOC膜可以包含约10%至约50%、约15%至约40%或约20%至约35%的硅。在一些实施方案中,按原子计,SiOC膜可以包含至少约15%、约20%、约25%或约30%的硅。
在一些实施方案中,按原子计(at%),沉积的SiOC薄膜可含有至多约40%的硫。在一些实施方案中,按原子计,SiOC膜可以包含约0.01%至约40%、约0.1%至约40%、约0.5%至约30%或约1%至约20%的硫。在一些实施方案中,按原子计,SiOC膜可包含至少约1%、约10%或约20%的硫。在一些实施方案中,沉积的SiOC膜不含可观量的氮。然而,在一些实施方案中,沉积包含氮的SiOC膜。在一些实施方案中,沉积的SiOC膜包含小于约30at%、小于约20at%、小于约15at%、小于约10at%、小于约5at%的氮、小于约1%的氮或小于约0.1at%的氮。在一些实施方案中,SiOC薄膜不含氮。
如上文所讨论,在一些实施方案中,SiOC膜可包含Si-C键和/或Si-O键。在一些实施方案中,SiOC膜可以另外包含Si-N键。在一些实施方案中,SiOC膜可以另外包含Si-S键。在一些实施方案中,SiOC膜可以包含Si-C键和Si-O键并且可以不含Si-N键。在一些实施方案中,SiOC膜可以包含Si-N键和Si-O键并且可以不含Si-C键。在一些实施方案中,SiOC膜可以包含Si-N键和Si-C键并且可以不含Si-O键。在一些实施方案中,SiOC膜可以包含Si-S键、Si-C键和Si-O键并且可以不含Si-N键。在一些实施方案中,SiOC膜可以包含Si-S键和Si-C键,并且可以不含Si-O键。在一些实施方案中,SiOC膜可以包含Si-S键和Si-O键并且可以不含Si-C键。在一些实施方案中,SiOC膜可以包含比Si-C键更多的Si-O键,例如Si-O键与Si-C键之比可以是约1:1至约10:1。在一些实施方案中,沉积的SiOC膜可以包含SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS和/或SiOC中的一种或多种。
在一些实施方案中,使用等离子体增强ALD(PEALD)工艺来沉积SiOC膜。如上文所提及,在一些实施方案中,PEALD工艺不包含氧等离子体或包含氧物种的等离子体。简言之,将衬底或工件置于反应室中并经受交替重复的表面反应。在一些实施方案中,通过重复自限性ALD循环来形成SiOC薄膜。在一些实施方案中,为了形成SiOC膜,每个ALD循环包括至少两个不同的阶段。反应物与衬底的接触和从衬底的去除可被视为一个阶段。
在第一阶段,包含硅的气相第一反应物接触衬底并在介电表面上形成不超过约一个单层。这种反应物在本文中也称为“硅前体”、“含硅前体”或“硅反应物”并可以是例如(3-氨基丙基)三甲氧基硅烷(APTMS)、双(三乙氧基甲硅烷基)乙烷(BTESE)或3-甲氧基丙基三甲氧基硅烷(MPTMS)。在一些实施方案中,第一反应物既包含硅又包含氧。
在第二阶段,包含反应性物种的第二反应物接触衬底并可在介电表面上将吸附的硅转化为SiOC。如上文所讨论,在一些实施方案中,第二反应物包含氢等离子体,如H2/Ar等离子体、氮等离子体和/或在惰性气体中生成的等离子体。
在一些实施方案中,第二反应物包含氢自由基、氢原子和/或氢等离子体。第二反应物可包含不为氢前体的其他物种。在一些实施方案中,第二反应物可包含一种形式或另一形式的氮等离子体、氮自由基或原子氮。在一些实施方案中,第二反应物可包含来自惰性气体如He、Ne、Ar、Kr或Xe的物种,例如呈自由基的形式、以等离子体形式或以元素形式。来自稀有气体的这些反应性物质不一定会将材料加到所沉积的膜上,但是在一些情况下可以有助于膜生长以及有助于等离子体的形成和点火。
在一些实施方案中,用来形成等离子体的气体可在整个沉积过程中不断地流动但仅间歇地活化。
在一些实施方案中,用来形成等离子体的气体不包含氧。在一些实施方案中,吸附的硅前体不与由来自氧的等离子体生成的反应性物质接触。在一些实施方案中,包含反应性物质的第二反应物在不含氧的气体中生成。例如,在一些实施方案中,第二反应物可以包括在不含氧的气体中生成的等离子体。在一些实施方案中,第二反应物可在包含不到约1原子%(at%)的氧、不到约0.1at%的氧、不到约0.01at%的氧、或不到约0.001at%的氧的气体中生成。
在一些实施方案中,用来形成等离子体的气体不包含氮。在一些实施方案中,吸附的硅前体不与由来自氮的等离子体生成的反应性物质接触。在一些实施方案中,包含反应性物质的第二反应物在不含氮的气体中生成。例如,在一些实施方案中,第二反应物可以包括在不含氮的气体中生成的等离子体。
然而,在一些实施方案中,用来形成等离子体的气体可包含氮。在一些其他实施方案中,第二反应物可以包含氮自由基、氮原子和/或氮等离子体。在一些实施方案中,第二反应物可以在包含低于约25原子%(at%)的氮、低于约20at%的氮、低于约15at%的氮、低于约10at%的氮、低于约5at%的氮、低于约1at%的氮、低于约0.1at%的氮、低于约0.01at%的氮或低于约0.001at%的氮的气体中生成。在一些实施方案中,第二反应物可以在包含氢和氮的气体中生成,例如第二反应物可以包含H2和N2。在一些实施方案中,第二反应物可以在具有小于约20%、小于约10%或小于约5%的N2与H2比(N2/H2)的气体中生成。
在一些实施方案中,用来形成等离子体的气体不包含氮或氧。在一些实施方案中,吸附的硅前体不与由来自氮或氧的等离子体生成的反应性物质接触。在一些实施方案中,包含反应性物质的第二反应物在不含氮或氧的气体中生成。例如,在一些实施方案中,第二反应物可以包括在不含氮或氧的气体中生成的等离子体。
可根据需要增加另外的阶段并可去除阶段以调节选择性地沉积在介电表面上的最终膜的组成。
在用于沉积SiOC膜的一些实施方案中,一个或多个沉积循环通过使衬底与硅前体、然后是第二等离子体反应物接触开始。在其他实施方案中,沉积可通过使衬底与第二等离子体反应物、然后是硅前体接触开始。
过量的反应物和反应副产物(如果有的话)在反应物接触阶段之间从衬底附近、特别是从衬底表面去除。在一些实施方案中,通过例如在反应物接触阶段之间吹扫反应室,例如通过用惰性气体吹扫,而从衬底表面去除过量的反应物和反应副产物(如果有的话)。每种反应物的流量和接触时间都是可调的,就像去除步骤一样,从而使得可以控制膜的质量和各种性质。
在一些实施方案中,在每个沉积循环过程中或在整个PEALD工艺过程中连续地向反应室提供气体,并通过在反应室中或反应室上游的气体中生成等离子体来提供反应性物种。在一些实施方案中,气体包含氢。在一些实施例中,所述气体包含氮气。在一些实施方案中,该气体可以包括惰性气体,例如氦或氩。流动的气体还可以用作第一反应物的吹扫气体和/或第二反应物(或反应性物质)。
重复沉积循环直至在介电表面上获得具有所需厚度的SiOC膜。在一些实施方案中,沉积参数,如前体流率、接触时间、去除时间和/或反应物本身,可在PEALD工艺过程中的一个或多个沉积循环中变化以获得具有所需特性的膜。
在一些实施方案中,使衬底的表面与反应物接触。在一些实施方案中,向含有衬底的反应空间提供反应物的脉冲。术语“脉冲”可以理解为包括将反应物供给到反应室中持续预定的时间量。术语“脉冲”不限制脉冲的长度或持续时间,并且脉冲可以是任何时间长度。在一些实施方案中,将衬底移到含有反应物的反应空间。在一些实施方案中,随后将衬底从含有第一反应物的反应空间移动到含有第二反应物的第二不同反应空间。
在一些实施方案中,首先使衬底与硅反应物接触。在初始表面终止之后,如果需要或期望的话,则使衬底与第一硅反应物接触。根据一些实施方案,第一反应物脉冲包含载气流和与介电表面反应性的挥发性硅物种,如APTMS或MPTMS。相应地,硅物种吸附到介电表面上。
在一些实施方案中,硅反应物与表面接触约0.05秒至约5.0秒、约0.1秒至约3秒或约0.2秒至约1.0秒。本领域技术人员可以基于特定情况容易地确定最佳接触时间。
在使约一分子层的含硅物种吸附在衬底表面上的足够时间后,从衬底表面去除过量的第一硅反应物和反应副产物(如果有的话)。在一些实施方案中,去除过量的反应物和反应副产物(如果有的话)可以包括吹扫反应室。在一些实施方案中,反应室可以通过以下方式吹扫:停止第一反应物的流动,同时继续使载气或吹扫气体流动足够的时间以扩散或吹扫反应空间中的过量反应物和反应物副产物(如果有的话)。在一些实施方案中,借助于惰性气体如氮气或氩气吹扫过量的第一前体。在一些实施方案中,可将衬底从包含第一反应物的反应空间移动到第二不同的反应空间。在一些实施方案中,去除第一反应物持续约0.1秒至约10秒、约0.3秒至约5秒或约0.3秒至约1秒。硅反应物的接触和去除可被认为是PEALD循环的第一阶段或硅阶段。
在第二阶段,向工件提供包含反应性物种如氢等离子体的第二反应物。等离子体可在反应室中或在远程等离子体发生器中生成并提供给反应室。例如,氢等离子体可通过在反应室中或在反应室上游在氢中生成等离子体而形成,例如通过使氢(H2)流过远程等离子体发生器。
在一些实施方案中,在流动的H2气中生成等离子体。在一些实施方案中,H2流量可为约0.1至约0.4slpm。如上文所提及,在一些实施方案中,还可引入惰性气体,如Ar。在一些实施方案中,Ar共流可例如为约2slpm。
在一些实施方案中,在等离子体被点燃或者形成氢原子或自由基之前向反应室提供H2。在一些实施方案中,将H2连续地提供给反应室,并且在需要时产生或供应含氢等离子体、原子或自由基。
在一些实施方案中,在流动的N2气中生成等离子体。在一些实施方案中,N2流量可为约0.1至约0.4slpm。如上文所提及,在一些实施方案中,还可引入惰性气体,如Ar。在一些实施方案中,Ar共流可例如为约2slpm。
在一些实施方案中,在等离子体被点燃或者形成氢原子或自由基之前向反应室提供N2。在一些实施方案中,向反应室连续地提供N2并在需要时产生或供应含氮等离子体、原子或自由基。
通常,例如包含氢等离子体的第二反应物与衬底接触约0.1秒至约10秒。在一些实施方案中,第二反应物(例如含氢等离子体)与衬底接触约0.1秒至约10秒、0.5秒至约5秒或0.5秒至约2.0秒。然而,取决于反应器类型、衬底类型及其表面积,第二反应物接触时间可以甚至长于约10秒。在一些实施方案中,接触时间可以是分钟级的。本领域技术人员可以基于特定情况容易地确定最佳接触时间。
在一些实施方案中,第二反应物以两个或更多个不同的脉冲提供,而不在任何两个或更多个脉冲之间引入另一反应物。例如,在一些实施方案中,以两个或更多个顺序脉冲提供诸如含氢等离子体的等离子体,而不在顺序脉冲之间引入Si前体。在一些实施方案中,在提供等离子体期间,通过以下方式来产生两个或更多个顺序等离子体脉冲:提供第一时间段的等离子体放电;将等离子体放电熄灭第二时间段,例如约0.1秒至约10秒、约0.5秒至约5秒或约1.0秒至约4.0秒;以及在引入另一前体或在去除步骤之前,例如在Si前体或吹扫步骤之前,再次激发等离子体放电第三时间段。另外的等离子体脉冲可以按相同的方式引入。在一些实施方案中,在每个脉冲中等离子体被点燃等同的时间段。
在一些实施方案中,等离子体例如含氢或氮的等离子体可通过在一些实施方案中施加约10W至约5000W、约10W至约2000W、约50W至约1000W、约300W至约500W、约100W至约500W、或约30W至约100W的RF功率来生成。在一些实施方案中,用于生成含氮等离子体的等离子体功率可为约10W至约5000W、约50W至约1,500W、约70W至约1200W、约80W至约1,000W、约10W至约500W、或约300W至约500W。在一些实施方案中,RF功率密度可以是约0.02W/cm2至约2.0W/cm2,或约0.05W/cm2至约1.5W/cm2。RF功率可以施加到在等离子体接触时间期间流动的、连续流过反应室的和/或流过远程等离子体发生器的第二反应物。因此,在一些实施方案中,等离子体原位生成,而在其他实施方案中,等离子体远程生成。在一些实施例中,利用喷头反应器并在基座(衬底位于其上)和喷头板之间产生等离子体。在一些实施方案中,基座和喷头板之间的距离为约0.1cm至约20cm、约0.5cm至约5cm或约0.8cm至约3.0cm。
在足以使先前吸附的分子层为等离子体脉冲完全饱和并与之反应的时间之后,从衬底表面去除任何过量的反应物和反应副产物。
在一些实施方案中,去除过量的反应物和反应副产物(如果有的话)可包括吹扫反应室。在一些实施方案中,反应室可以通过以下方式吹扫:停止第二反应物的流动,同时继续使载气或吹扫气体流动足够的时间以扩散或吹扫反应空间中的过量反应物和反应物副产物(如果有的话)。在一些实施方案中,借助于在整个PEALD循环中流动的惰性气体如氮气或氩气来吹扫过量的第二前体。在一些实施方案中,可将衬底从包含第二反应物的反应空间移动到不同的反应空间。在一些实施方案中,去除可以为约0.1秒至约10秒、约0.1秒至约4秒或约0.1秒至约0.5秒。反应性物种接触和去除一起为SiOCN原子层沉积循环中的第二反应性物种阶段。
这两个阶段一起为一个ALD循环,重复该循环以形成具有所需厚度的SiOC薄膜。
根据本公开的一些实施方案,PEALD反应可在约25℃至约700℃、约50℃至约600℃、约20℃至约200℃、约75℃至约450℃、或约90℃至约300℃的范围内的温度下进行。在一些实施方案中,沉积温度为约100℃至约200℃。在一些实施例中,最佳反应器温度可能受最大允许热预算限制。因此,在一些实施方案中,反应温度为约300℃至约400℃。在一些应用中,最高温度在约400℃左右,并因此PEALD工艺在该反应温度下运行。
根据本公开的一些实施方案,加工过程中反应室的压力保持在约0.01托至约50托或约0.1托至约10托下。在一些实施方案中,反应室的压力大于约6托或约20托。在一些实施方案中,SiOCN沉积工艺可在约1托至约500托、约1托至约20托、约2托至约10托、约20托至约50托、或约20托至约30托的压力下进行。
在一些实施方案中,在约0.1托或更大、或1托或更大的压力下进行氧化物沉积。在一些实施方案中,压力可至高约760托、至高约500托或至高约50托。
根据一些实施方案,通过包括至少一个循环的PEALD沉积工艺在反应空间中选择性地在衬底上的介电表面上沉积SiOC或SiOCN薄膜,其中所述循环包括:
使衬底与硅反应物接触使得硅物种吸附到衬底的表面上;
从衬底表面去除过量的硅反应物和反应副产物(如果有的话);
使衬底与包含由等离子体生成的反应性物种如包含氢或氮的反应性物种的第二反应物接触;
从衬底表面去除过量的第二反应物和反应副产物(如果有的话);和
任选地重复所述接触和去除步骤以形成所需厚度和组成的SiOC或SiOCN薄膜。
在当前公开的用于形成SiOC和SiOCN的PEALD工艺中可使用多种不同的合适的Si前体。
在一些实施方案中,适于通过PEALD工艺沉积SiOCN的至少一些Si前体具有以下通式:
(1) Si(ORI)4-x(RIINRIIIRIV)x
其中,x=1-4,RI可以是独立地选择的烷基基团,RII可以是独立地选择的烃基团,RIII和RIV可以是独立地选择的烷基基团和/或氢。在一些实施方案中,RI和RII为C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。在一些实施方案中,RI可以是C1-C4烷基配体,例如甲基、乙基、正丙基、异丙基或叔丁基。在一些实施方案中,RII不是C3烃。在一些实施方案中,RII是C1-C2烃或C4-C6烃。在一些实施方案中,RII可以是不饱和烃,如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。在一些实施方案中,RIII和RIV是氢。在一些实施方案中,RI是甲基,RII是正丙基,RIII是氢,RIV是氢,并且x=1。
例如,Si前体可具有下式(以更详细的方式书写以显示键合):(RI-O-)4-xSi(-RII-NRIIIRIV)x,其中x=1-4,RI可以是独立选择的烷基,RII可以是独立选择的烃,并且RIII和RIV可以是独立选择的烷基和/或氢。
根据一些实施方案,一些Si前体可具有以下通式:
(2) Si(ORI)4-x-y-z(RIINRIII RIV)xHy(OH)z
其中,x=1-4,y=0-3,并且z=0-3,RI和RII可以是独立地选择的烷基基团,RII可以是独立地选择的烃基团,RIII和RIV可以是独立地选择的烷基基团和/或氢。在一些实施方案中,RII可以是不饱和烃,如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(3) LnSi(ORI)4-x-n(RIINRIII RIV)x
其中,n=1-3,x=0-3,RI可以是独立地选择的烷基基团,RII可以是独立地选择的烃,RIII和RIV可以是独立地选择的烷基基团和/或氢,L是独立地选择的烷基基团或卤素。在一些实施方案中,RII可以是不饱和烃,如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
(4) LnSi(ORI)4-x-y-z-n(RIINRIII RIV)xHy(OH)z
其中,n=0-3,x=1-4,y=0-3,z=0-3,RI可以是独立地选择的烷基基团,RII可以是独立地选择的烃,RIII和RIV可以是独立地选择的烷基基团和/或氢,L是独立地选择的烷基基团或卤素。在一些实施方案中,RII可以是不饱和烃,如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
5) (RIO)4-xSi(RII-NH2)x
其中,x=1-4,RI可以是独立地选择的烷基基团,RII可以是独立地选择的烃。在一些实施方案中,RI和RII为C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。在一些实施方案中,RI是甲基,RII是正丙基并且x=1。
在一些实施方案中,RII可以是不饱和烃,如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
6) (RIO)3Si-RII-NH2
其中,RI可以是独立地选择的烷基基团,RII可以是独立地选择的烃。
在一些实施方案中,RI和RII为C1-C3烷基配体,如甲基、乙基、正丙基或异丙基。在一些实施方案中,RII可以是不饱和烃,如含有一个或多个双键的烃。在一些实施方案中,RII可以是其中一个氢被去除的烷基。
根据一些实施方案,一些Si前体可具有以下通式:
7) (RIO)4-xSi(-[CH2]n-NH2)x
其中,x=1-4,n=1-5,RI可以是独立地选择的烷基基团。在一些实施方案中,RI是C1-C4烷基配体,例如甲基、乙基、正丙基或异丙基。在一些实施方案中,RI是甲基,并且x=1。
在一些实施方案中,硅前体不包含卤素。在一些实施方案中,硅前体可以包含至少一个氨基烷基配体。
根据一些实施方案,合适的硅前体可包含至少一个通过碳与硅键合并含有至少一个附连至碳链的NH2-基团的配体,例如氨基烷基配体。根据一些实施方案,合适的硅前体可以包含至少一个通过碳与硅键合并且含有附连至碳链的NH2基团的配体,例如氨基烷基配体,并且还可以包含至少一个通过氧原子键合到硅并且其中烷基键合到氧的配体,例如醇盐配体。根据一些实施方案,合适的硅前体可包含至少一个通过碳与硅键合并含有至少一个NRIIIRIV-基团的配体,其中RIII和RIV可以是独立地选择的附连至碳链的烷基基团和/或氢,例如氨基烷基配体。根据一些实施方案,合适的硅前体可以包含至少一个通过碳与硅键合的配体,并且在该配体中,至少一个氮与碳键合。此外,通过碳与硅键合并且其中至少一个氮与碳键合的一个配体可以包含与氮键合的氢。根据一些实施方案,除了通过碳与硅键合的配体之外,合适的硅前体还可以包含烷氧基配体,例如甲氧基、乙氧基、正丙氧基、异丙氧基或叔丁氧基配体。根据包括上述一些式的一些实施方案,合适的硅前体包含通过碳与硅键合的碳链,并且其中存在附连至碳链的氨基例如烷基氨基或–NH2基团,并且碳链是直链、支链或环状的仅含碳和氢的C1-C6烃、C2-C6烃或C2-C4烃。在一些实施方案中,碳链可以是不饱和的并且包含双碳-碳键。在一些其他实施方案中,碳链可以包含除碳和氢之外的其他原子。
在当前公开的用于形成SiOC的PEALD工艺中可使用多种不同的合适的Si前体。在一些实施方案中,合适的Si前体可不包含氮。在一些实施方案中,合适的Si前体可以包含硅烷。
在一些实施方案中,用于形成SiOC的合适的Si前体可包含通过至少一个烃基团连接或键合到至少一个烃基团的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个烷基连接或键合到至少一个烷基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个烷氧基连接或键合到至少一个烷氧基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个甲硅烷基连接或键合到至少一个甲硅烷基的两个Si原子。在一些实施方案中,合适的Si前体可以包含通过至少一个甲硅烷基醚基团连接或键合到至少一个甲硅烷基醚基团的两个Si原子。在一些实施方案中,合适的Si前体可以包含至少一个-SH基团,其中-SH可以键合到烷基链或硅原子。在一些实施方案中,合适的Si前体可以包含至少一个巯基。在一些实施方案中,合适的Si前体可以包含至少一个-R-SH结构,其中R可以是C1-C5烷基。在一些实施方案中,合适的Si前体可以包含烷基链上的至少一个-SH基团和键合到硅原子的一个或多个烷氧基。
在一些实施方案中,合适的Si前体可包含至少一个附连或键合到一个或多个烷氧基基团的Si原子。在一些实施方案中,合适的Si前体可以包含至少一个附连或键合到一个或多个烷基的Si原子。在一些实施方案中,合适的Si前体可以包含至少一个附连或键合到至少烷基和烷氧基的Si原子。
在一些实施方案中,适于通过PEALD工艺沉积SiOC的至少一些Si前体可包含具有以下通式的桥连烷氧基硅烷:
(1)(RIIO)3Si-RI-Si(ORII)3
其中,RI和RII中的每一个可以是独立地选择的烷基基团。在一些实施方案中,RI和RII中的每一个是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基。
根据一些实施方案,一些Si前体可包含具有以下通式的桥连烷氧基烷基硅烷:
(2)RIII y(ORII)xSi-RI-Si(ORII)xRIII y
其中,RI、RII和RIII中的每一个可以是独立地选择的烷基基团,并且x+y=3。在一些实施方案中,RI和RII中的每一个是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RIII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可包含具有以下通式的环状烷氧基硅烷:
(3)(RIIO)2Si–RI 2–Si(ORII)2
式(3)可另选地由以下结构式表示:
Figure BDA0003764763730000211
其中,RI和RII中的每一个可以是独立地选择的烷基基团。在一些实施方案中,RI和RII中的每一个是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基。
根据一些实施方案,一些Si前体可包含具有以下通式的环状烷氧基烷基硅烷:
(4)RIII y(ORII)xSi–RI 2-Si(ORII)xRIII y
式(4)可另选地由以下结构式表示:
Figure BDA0003764763730000221
其中,RI、RII和RIII中的每一个可以是独立地选择的烷基基团,并且x+y=2。在一些实施方案中,RI和RII中的每一个是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RIII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可包含具有以下通式的线性烷氧基硅烷:
(5)(RIIO)3Si–(O-Si-RI 2)n–O-Si(ORII)3
其中,RI可以是独立地选择的烷基基团或氢,RII可以是独立地选择的烷基基团,并且n=1-4。在一些实施方案中,RI和RII中的每一个是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RI可以是氢并且RII可以是独立选择的C1-C5烷基配体。
根据一些实施方案,一些Si前体可包含具有以下通式的线性烷氧基硅烷:
(6)RIII y(ORII)xSi–(-RI-Si)n-Si(ORII)xRIII y
其中,RI、RII和RIII中的每一个可以是独立地选择的烷基基团,x+y=2,并且n可大于或等于1。在一些实施方案中,RI和RII独立地选自C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RIII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可包含具有以下通式的烷氧基硅烷:
(7)Si(ORI)4
其中,RI可以是独立地选择的烷基基团。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。
根据一些实施方案,一些Si前体可包含具有以下通式的烷氧基烷基硅烷:
(8)Si(ORI)4-xRII x
其中,RI和RII中的每一个可以是独立地选择的烷基基团,并且x=1-3。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RII可以是独立选择的C1-C8烷基配体。
根据一些实施方案,一些Si前体可包含不包含氮且具有以下通式的烷氧基硅烷:
(9)Si(ORI)4-xRII x
其中,RI可以是独立地选择的烷基基团,RII可以是包含碳、氢和/或氧但不包含氮的任何配体,并且x=1-3。在一些实施方案中,RI可以是独立选择的C1-C5烷基配体,例如甲基、乙基、正丙基、异丙基、叔丁基或戊基。在一些实施方案中,RII可以包含例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、过氧基、氢过氧基、硫醇、丙烯酸根或甲基丙烯酸根配体。
根据一些实施方案,一些Si前体可具有以下通式:
(10)Si(ORI)4-xRII x
其中,x=0-3,RI可以是独立地选择的C1-C7或C1-C5烷基配体,RII可以是独立地选择的由碳和/或氢和/或氧组成的配体。例如,在一些实施方案中,RII可以是烷氧基烷基。在一些实施方案中,RII可以是例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、过氧基或氢过氧基。在一些实施方案中,例如,RI是甲基,RII是3-甲氧基丙基配体,并且x是1。
根据一些实施方案,一些Si前体可具有以下通式:
(11)(RIO)4-xSi-(RII-O-RIII)x
其中,x=0-3,RI和RII中的每一个可以是独立地选择的C1-C7或C1-C5烷基配体,RIII可以是独立地选择的由碳和/或氢和/或氧组成的配体。例如,在一些实施方案中,RIII可以是例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、过氧基或氢过氧基。在一些实施方案中,例如,RI、RII和RIII可各自为独立地选自甲基、乙基、异丙基、正丙基、正丁基、异丁基和叔丁基的基团。
根据一些实施方案,一些Si前体可具有以下通式:
(12)Si(RI)4-x-yRII xRIII y
其中,x+y=0-4,RI为具有1至5个碳原子的醇盐配体、或卤化物,RII为包含硫的任何配体,RIII由巯基、硫化物、二硫化物、亚磺酰基、磺酰基、亚磺基、磺基、硫氰酸根、异硫氰酸根或硫代羰基官能团中的一个组成。在一些实施方案中,RI、RII和RIII可以各自独立地选择。在一些实施方案中,RI可包含甲氧基配体,RII可包含3-巯基丙基,x=1且y=0。也就是说,在一些实施方案中,一些Si前体可以包含Si(OCH3)3C3H6SH。在一些实施方案中,Si前体可包含巯基甲基甲基二乙氧基硅烷、3-巯基丙基甲基二甲氧基硅烷和/或3-巯基丙基三乙氧基硅烷
在一些实施方案中,硅前体不包含卤素。在一些实施方案中,硅前体不含氮。在一些实施方案中,碳链可以是不饱和的并且包含双碳-碳键。在一些其他实施方案中,碳链可以包含除碳和氢之外的其他原子。
在一些实施方案中,硅前体可包含双(三乙氧基甲硅烷基)乙烷(BTESE)。在一些实施方案中,硅前体可以包含3-甲氧基丙基三甲氧基硅烷(MPTMS或Si(OCH3)3C3H6OCH3)。在一些实施方案中,硅前体可包含(3-巯基丙基)三甲氧基硅烷。
在一些实施方案中,在ALD阶段期间,多于一种硅前体可同时接触衬底表面。在一些实施方案中,硅前体可包含多于一种的本文所述的硅前体。在一些实施方案中,在第一ALD循环中使用第一硅前体,并且在稍后的ALD循环中使用第二不同的ALD前体。在一些实施方案中,可在单个ALD阶段期间使用多种硅前体,例如以优化所沉积的膜的某些性质。在一些实施方案中,在沉积期间仅有一种硅前体可以接触衬底。在一些实施方案中,在沉积过程中可能只有一种硅前体和一种第二反应物或第二反应物的组合物。在一些实施方案中,在沉积过程中不存在金属前体。在一些实施方案中,硅前体不用作甲硅烷基化剂。在一些实施方案中,选择硅前体接触步骤的沉积温度和/或持续时间,使得硅前体不分解。在一些实施方案中,硅前体可能在硅前体接触步骤期间分解。在一些实施方案中,硅前体不含卤素,例如氯或氟。
在一些实施方案中,第二反应物可包含由既具有N又具有H的化合物如NH3和N2H4、N2/H2的混合物或具有N-H键的其他前体形成的反应性物种。在一些实施方案中,第二反应物可至少部分地由N2形成。在一些实施方案中,第二反应物可以至少部分地由H2和N2形成,其中H2和N2以约100:1至约1:100、约20:1至约1:20、约10:1至约1:10、约5:1至约1:5和/或约2:1至约4:1并且在一些情况下1:1的流量比(H2/N2)提供。例如,用来沉积SiOCN的含氢等离子体可使用本文所述的一种或多种比率的N2和H2来生成。
在一些实施方案中,第二反应物可由含有不到约1原子%(at%)的氮、不到约0.1at%的氮、不到约0.01at%的氮、或不到约0.001at%的氮的气体生成。在一些实施方案中,第二反应物不含N2、NH3或N2H4
金属氧化物的沉积
如上文所提及,在一些实施方案中,金属氧化物相对于金属或金属性表面选择性地沉积在介电表面上。金属氧化物可例如如本文所述或如美国专利申请号62/502,118中所述沉积,该专利申请以引用方式并入本文。
在一些实施方案中,包含非SiOC的材料的薄膜可通过如本文所述的工艺选择性地沉积。例如,在一些实施方案中,金属氧化物膜可通过不包括氧等离子体或激发氧物种的PEALD工艺来选择性地沉积,基本上如上文针对SiOC和SiOCN所述但使用不同的第一前体。在这些实施方案中,使用不同的金属前体代替如本文所述的方法中的硅前体。在一些实施方案中,氧化钛、氧化铌、氧化钽、氧化钨、氧化铝或其他金属氧化物薄膜可通过如本文所述的PEALD工艺选择性地沉积。
在一些实施方案中,金属氧化物膜可包含多于一种金属氧化物。不同的金属可以由相同的前体或者通过在一个或多个沉积循环中提供的两种或更多种不同的金属前体来提供。
在一些实施方案中,既包含硅又包含金属的氧化物膜可如本文所述通过不包括氧等离子体或激发氧物种的PEALD工艺来选择性地沉积。在一些实施方案中,选择性地沉积既包含金属又包含硅的氧化物。在一些实施方案中,氧化物膜可以包含金属氧化物和氧化硅的混合物。在一些实施方案中,氧化物膜可以包含金属硅酸盐。例如,膜可包含氧化硅和一种或多种过渡金属氧化物,如ZrO2、HfO2或TiO2、Al2O3、镧系元素(+Sc+Y)氧化物、Ta2O5或Nb2O5
在一些实施方案中,在如本文所述的工艺中使用金属前体连同硅前体。在一些实施方案中,用于沉积金属氧化物的沉积循环和用于沉积氧化硅的沉积循环可在沉积工艺中以所选的比率提供以选择性地沉积具有所需组成的膜。
在一些实施方案中,选择性沉积工艺可包括单个沉积循环,该单个沉积循环包括使衬底与第一金属前体、第二硅前体和第三等离子体反应物交替且依次地接触。金属和硅前体以及第三反应物可以如本文所述用于金属氧化物和硅氧化物的沉积。沉积循环可以从提供金属反应物、提供硅反应物或提供第三反应物开始。如本文所述,每种反应物的提供可由吹扫步骤分开,在吹扫步骤中从反应空间去除过量的反应物和反应副产物。在一些实施方案中,选择和/或调节金属前体和硅前体的比率以提供具有所需组成的混合金属氧化物膜。
在一些实施方案中,用来通过本文所述的工艺沉积包含金属的氧化物膜的金属前体可包含挥发性化合物,所述挥发性化合物包含所需的金属和氧。表1中提供了通过如本文所述的不包括氧等离子体或激发氧物种的PEALD工艺来沉积金属氧化物膜所使用的示例性金属前体的列表。
前体化合物 膜材料
乙醇铝(III) Al<sub>2</sub>O<sub>3</sub>
乙醇铌(V) Nb<sub>2</sub>O<sub>5</sub>
乙醇钽(V) Ta<sub>2</sub>O<sub>5</sub>
乙醇钛(IV) TiO<sub>2</sub>
甲醇钛(IV) TiO<sub>2</sub>
异丙醇钛(IV)(TTIP) TiO(CN)
三甲氧基五甲基环戊二烯基钛 TiO<sub>2</sub>
W(thd)4 WO<sub>x</sub>
表1:用于沉积金属氧化物薄膜的前体
在一些实施方案中,用来通过本文所述的工艺沉积金属氧化物膜的金属前体可包含下式的挥发性化合物:
M(ORI)x-yRII y
其中,RI可以是独立地选择的烃基团,并且其中M为金属或Ge,例如过渡金属或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,其中x+y等于氧化态或金属原子的键数,例如3、4、5或6。在其中存在金属原子的双键或三键的一些实施方案中,当确定x+y的值时,每个双键或三键可以被计算两次或三次。
在一些实施方案中,RII可以是包含碳、氢、氮、卤素和/或氧的任何配体。在一些实施方案中,M是选自以下各项的过渡金属:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir和Pt。
在一些实施方案中,M为选自以下的过渡金属:Cu、Fe、Co、Ni。在一些实施方案中,M是选自以下各项的过渡金属:Au、Pt、Ir、Pd、Os、Ag、Re、Rh和Ru。
在一些实施方案中,RI可以是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基配体。在一些实施方案中,RI可以包含氧或氮或另一种杂原子。
在一些实施方案中,RII可包含例如烯基、炔基、环烃、胺、烷基胺、苯基、羰基、醛、酯、醚、羧基、过氧基、氢过氧基、硫醇、丙烯酸根或甲基丙烯酸根配体。
在一些实施方案中,上式的至少一种配体包含氧。在一些实施方案中,M也可以是1或2族金属元素。
在一些实施方案中,用来通过本文所述的工艺沉积金属氧化物膜的金属前体可包含下式的挥发性化合物:
M(ORI)x
其中,RI可以是独立地选择的烷基基团,并且其中M为金属或Ge,例如过渡金属或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,并且其中x等于氧化态或金属原子的键数,例如3、4、5或6。
在其中存在金属原子的双键或三键的一些实施方案中,当确定x的值时,每个双键或三键可被计算两次或三次。
在一些实施方案中,RI可以是独立地选择的C1-C5烷基配体,如甲基、乙基、正丙基、异丙基、叔丁基或戊基配体。
在一些实施方案中,M为选自以下的过渡金属:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir和Pt。在一些实施方案中,M是选自以下各项的过渡金属:Cu、Fe、Co、Ni。在一些实施方案中,M是选自以下各项的过渡金属:Au、Pt、Ir、Pd、Os、Ag、Re、Rh和Ru。在一些实施方案中,M可以是稀土元素,例如Sc、Y、La、Ce或Nd。
在一些实施方案中,用来通过本文所述的工艺沉积金属氧化物膜的金属前体可包含至少一种多齿配体,如二齿配体,例如β二酮配体(acac、thd)或通过至少一个氧与金属原子键合的另一种多齿/二齿配体。在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体可以包含环状配体,例如环戊二烯基配体。
在一些实施方案中,用来通过本文所述的工艺沉积金属氧化物膜的金属前体可包含醇盐前体或醇盐配体。在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体包含至少一个金属-氧键。在一些实施方案中,用于通过本文所述的方法沉积金属氧化物膜的金属前体不含金属-氧键,但在配体中包含氧。在一些实施方案中,金属前体包含金属或Ge,例如过渡金属或Ge、Al、Ga、In、Sn、Pb、Bi、Sb。在一些实施方案中,金属前体包含第1族或第2族金属元素。在一些实施方案中,M可以是稀土元素,例如Sc、Y、La、Ce或Nd。
在一些实施方案中,根据本文所述的工艺,金属氧化物膜可被沉积在包含光刻胶或其他有机材料的衬底上。在一些实施方案中,可以将金属氧化物膜沉积在衬底上,否则该衬底可能会被包括氧等离子体的PEALD方法破坏。
在一些实施方案中,金属氧化物膜相对于包含钝化层如有机钝化层的第二表面选择性地沉积在第一表面上。在一些实施方案中,金属氧化物沉积还可用来去除一些或全部钝化层。例如,金属氧化物膜可相对于金属或金属性表面选择性地沉积在第一介电表面上,其中所述金属或金属性表面可包含钝化层,如有机钝化层。
在一些实施方案中,根据如本文所述的PEALD工艺沉积的金属氧化物膜可具有比通过包括氧等离子体或激发氧物种的PEALD工艺沉积的类似金属氧化物膜的耐湿法蚀刻性高的耐湿法蚀刻性。如本文所述,在一些实施方案中,可以通过在PEALD方法中从一定范围(例如,如本文关于SiOC的沉积所描述的范围)内选择等离子体功率来控制金属氧化物膜的形成,以便实现期望的阶梯覆盖率和/或WERR。也就是说,在一些实施方案中,用于控制如本文所述的SiOC膜形成的方法条件可用于控制金属氧化物膜的形成。
在一些实施方案中,用于沉积金属氧化物薄膜的PEALD工艺中使用的第二反应物与本文关于SiOC的沉积所述的第二反应物相同。在一些实施方案中,第二反应物包括在包含惰性气体如Ar的气体中生成的等离子体。如上所述,在一些实施方案中,第二反应物是在不含氧的气体中生成的等离子体。在一些实施方案中,第二反应物包括在Ar中生成的等离子体、在Ar和N2中生成的等离子体或者在Ar和H2中生成的等离子体。在一些实施方案中,可以选择第二反应物来控制金属氧化物膜的特定组分的量,例如碳和/或氮的量。另外,可以控制等离子体功率以调节膜的组成。
在一些实施方案中,包含钛的金属氧化物通过不使用氧等离子体或其他氧反应物的PEALD工艺沉积。例如,可以使用异丙醇钛(IV)(TTIP)与非氧等离子体的组合通过PEALD方法来沉积氧化钛膜。在一些实施方案中,TTIP与在Ar中生成的等离子体、在包含Ar和氮的气体中生成的等离子体或者在包含Ar和氢的气体中生成的等离子体相结合地用于PEALD方法。在一些实施方案中,包含碳的氧化钛膜通过PEALD方法沉积,其中诸如TTIP的钛反应物与在惰性气体中(例如在纯Ar气体中)生成的等离子体组合使用。碳的量可以通过改变等离子体功率来调整。在一些实施方案中,包含碳和氮的氧化钛膜(TiO(CN))使用钛反应物例如TTIP和在包含氮的气体中(例如在包含Ar和N2的气体中)生成的等离子体的组合通过PEALD方法沉积。
实施例
使用3-甲氧基丙基三甲氧基硅烷(MPTMS)和H2/Ar等离子体在SiOC PEALD工艺中于200和125℃下进行实验。使用Natox、W和Cu作为表面来研究选择性。施加25、50和200个循环,其后记录XPS谱图。图2表明,在两个温度下,W上的Si均比Cu上的Si多。在200℃下100个循环后,在Cu上未检测到Si。这种选择性也可在natox和Cu之间实现。
也在包含Cu和低k表面的衬底上包含聚酰亚胺钝化层的衬底上沉积SiOC。SiOC自MPTMS和H2等离子体沉积并形成碳浓度小于10at%的SiOC膜。在67或300瓦的功率下提供0.5秒等离子体。采用4.7托的压力。如图3和4中所示,在两种功率设置下都在低k表面上但未在Cu表面上观察到SiOC生长。
在其他实验中,在200℃至300℃的沉积温度下通过PEALD自MPTMS和H2/Ar等离子体沉积SiOC,H2流量为0.1至0.4slpm(在2slpm Ar共流上方),等离子体功率为30至100W。将SiOC膜沉积在包含化学氧化物以及TiN和W表面的硅衬底上。在氧化硅上,可调整工艺条件以产生具有非常低的k值(<3.5)和非常低的湿法蚀刻速率(在dHF 0.7%中,<1nm/min)的SiOC膜。在约300℃下使用0.2slpm H2流量和70W功率观察到最小k值和湿法蚀刻速率。在这样的条件下,发现沉积的SiOC层具有约4的k值和1nm/min的湿法蚀刻速率。还观察到SiOC的沉积对多种材料是选择性的,包括W和TiN。在500个循环之后,该工艺在W上产生小于1nm但在SiO2上约10.5nm的膜。
还观察到,较低的温度增强TiN上SiOC的生长,如下表1中可见。在200℃下500个循环的情况下在TiN上沉积了约6.3nm的SiOC,而在300℃下500个循环的情况下在TiN上几乎未见生长。相比之下,在200℃下500个循环的情况下在SiO2上沉积了约10nm的SiOC并在300℃下约5.5nm。
沉积温度 TiN 天然氧化物
300℃ ~1nm/500个循环 ~5.5nm/500个循环
200℃ ~6.5nm/500个循环 ~10nm/500个循环
表2
使用异丙醇钛(IV)(TTIP)作为钛前体,通过无氧PEALD工艺在直接等离子体PEALD反应器中沉积氧化钛薄膜。TTIP由在70℃下加热的源瓶提供。TTIP反应物与三种不同的等离子体反应物交替且依次地提供。在Ar、Ar和N2以及Ar和H2中生成等离子体。实验在200℃的沉积温度下进行。图5A示出了所得膜的折射率。就含H2的等离子体而言,折射率非常接近于TiO2的折射率。然而,含N2等离子体和纯Ar等离子体显示出不同的折射率,表明不同的膜组成。图5B示出了使用三种不同的等离子体反应物的氧化钛膜的生长速率。
下表3示出了源自RBS和XPS的组成数据。XPS和RBS都表明由含H2等离子体沉积了TiO2膜。通过XRD测量未见晶体结构。表明沉积了无定形TiO2。在0.5%dHF溶液中相对于热氧化硅(TOX)的湿法蚀刻速率比(WERR)约为0.5。该低WERR使得膜可用于某些图案化应用。四点探针电阻率测量表明沉积的TiO2膜具有极高的电阻率。
当使用纯Ar等离子体作为等离子体反应物时,所得膜为富含碳的TiOC膜。碳含量可以通过调整等离子体功率来修改。另外,含N2的等离子体将氮引入膜中,从而产生TiOCN膜。
TTIP+AR等离子体
Ti(%) O(%) C(%) N(%)
RBS 5.6 12.5 81.9 -
XPS 4.3 14.0 81.7 -
TTIP+AR&H等离子体
Ti(%) O(%) C(%) N(%)
RBS 35 65 - -
XPS 33.9 66.1
TTIP+AR&N等离子体
Ti(%) O(%) C(%) N(%)
RBS 9.6 21.5 34.2 34.7
XPS 9.2 20.8 28.9 36.1
表3
本领域技术人员应理解,可作许多和各种修改而不偏离本发明的精神。所述特点、结构、特征和前体可以按照任何合适的方式组合。因此,应清楚地理解,本发明的形式仅为说明性的,并且不打算限制本发明的范围。希望所有修改和变化属于本发明的范围内,如所附权利要求书所限定。

Claims (10)

1.一种用于选择性地在衬底的介电表面上沉积氧化物的等离子体增强原子层沉积(PEALD)工艺,所述工艺包括:
提供包含第一介电表面和第二金属表面的衬底;
进行至少一个沉积循环,所述至少一个沉积循环包括使所述衬底与包含氧的第一硅前体和包含来自在包含氢而不包含氧的气体中生成的等离子体的反应性物种的第二反应物交替且依次地接触;
其中所述第一前体吸附在所述衬底表面上并且所述第二反应物与所述吸附的第一前体反应以相对于所述第二金属表面选择性地在所述第一介电表面上形成氧化物。
2.根据权利要求1所述的方法,其中所述第二反应物也与所述金属表面反应以还原所述金属表面上的任何金属氧化物。
3.根据权利要求1所述的方法,其中所述第二反应物也与所述金属表面反应以从所述金属表面去除氧。
4.根据权利要求3所述的方法,其中从所述金属表面去除氧包括从所述金属表面去除OH-基团或氧桥。
5.根据权利要求1所述的方法,其中所述介电表面包含SiO2
6.根据权利要求1所述的方法,其中所述介电表面包含低-k材料。
7.根据权利要求1所述的方法,其中所述金属表面包含Ru、Co、Cu或W。
8.根据权利要求1所述的方法,其中所述金属表面包含TiN。
9.根据权利要求1所述的方法,其中所述氧化物为SiO2、SiOC或SiOCN。
10.根据权利要求1所述的方法,其中所述氧化物为金属氧化物。
CN202210884734.5A 2017-05-16 2018-05-03 电介质上氧化物的选择性peald Pending CN115233183A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762507078P 2017-05-16 2017-05-16
US62/507,078 2017-05-16
PCT/US2018/030979 WO2018213018A1 (en) 2017-05-16 2018-05-03 Selective peald of oxide on dielectric
CN201880032200.1A CN110651064B (zh) 2017-05-16 2018-05-03 电介质上氧化物的选择性peald

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880032200.1A Division CN110651064B (zh) 2017-05-16 2018-05-03 电介质上氧化物的选择性peald

Publications (1)

Publication Number Publication Date
CN115233183A true CN115233183A (zh) 2022-10-25

Family

ID=64274551

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210884734.5A Pending CN115233183A (zh) 2017-05-16 2018-05-03 电介质上氧化物的选择性peald
CN201880032200.1A Active CN110651064B (zh) 2017-05-16 2018-05-03 电介质上氧化物的选择性peald

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880032200.1A Active CN110651064B (zh) 2017-05-16 2018-05-03 电介质上氧化物的选择性peald

Country Status (6)

Country Link
US (2) US11170993B2 (zh)
JP (2) JP7183187B2 (zh)
KR (1) KR20200007823A (zh)
CN (2) CN115233183A (zh)
TW (3) TWI803270B (zh)
WO (1) WO2018213018A1 (zh)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20210157916A (ko) * 2019-05-20 2021-12-29 램 리써치 코포레이션 SiCxOy를 위한 핵생성 층으로서 SixNy
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110718647A (zh) * 2019-09-25 2020-01-21 武汉华星光电半导体显示技术有限公司 薄膜的制备方法及显示装置的制备方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112951985A (zh) * 2019-12-11 2021-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20240047196A1 (en) * 2020-12-01 2024-02-08 Versum Materials Us, Llc Selective thermal atomic layer deposition
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240120195A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Dielectric on dielectric selective deposition using aniline passivation

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003276111A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層フィルム
US20150162214A1 (en) * 2013-12-09 2015-06-11 Applied Materials, Inc. Methods Of Selective Layer Deposition
US20150217330A1 (en) * 2014-02-04 2015-08-06 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US20160322214A1 (en) * 2015-05-02 2016-11-03 Applied Materials, Inc. Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US20170040164A1 (en) * 2015-08-05 2017-02-09 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
CN110651064B (zh) * 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald

Family Cites Families (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61198732A (ja) * 1985-02-28 1986-09-03 Fujitsu Ltd 酸化膜の選択成長方法
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
TW465048B (en) * 1999-03-26 2001-11-21 Taiwan Semiconductor Mfg Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
DE60137791D1 (de) 2000-11-30 2009-04-09 Asm Int Dünnfilme für magnetische vorrichtungen
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
JP2003332426A (ja) 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
EP1799883A2 (en) 2004-08-18 2007-06-27 Dow Corning Corporation Coated substrates and methods for their preparation
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
CN101310370A (zh) 2006-01-13 2008-11-19 东京毅力科创株式会社 多孔质膜的成膜方法和计算机可读的记录介质
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2009036046A1 (en) 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl trialkoxy hafnium and zirconium precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP2010041038A (ja) 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
CA2767472A1 (en) 2009-07-31 2011-02-03 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI541377B (zh) * 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) * 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
JP6022274B2 (ja) 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022276B2 (ja) 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP6277963B2 (ja) 2013-01-31 2018-02-14 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9018054B2 (en) * 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA3009048A1 (en) 2013-09-20 2015-03-26 Baker Hughes, A Ge Company, Llc Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102287787B1 (ko) 2014-03-27 2021-08-10 인텔 코포레이션 광 지원형 금속 원자층 퇴적(ald) 및 화학 기상 퇴적(cvd)을 위한 전구체 및 프로세스 설계
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
CN107406977A (zh) 2015-02-26 2017-11-28 应用材料公司 使用自组装单层的选择性电介质沉积的方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9343297B1 (en) * 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN107533951B (zh) * 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
JP5957128B2 (ja) 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9793139B2 (en) * 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) * 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) * 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10332747B1 (en) * 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003276111A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層フィルム
US20150162214A1 (en) * 2013-12-09 2015-06-11 Applied Materials, Inc. Methods Of Selective Layer Deposition
US20150217330A1 (en) * 2014-02-04 2015-08-06 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US20160322214A1 (en) * 2015-05-02 2016-11-03 Applied Materials, Inc. Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films
US20170040164A1 (en) * 2015-08-05 2017-02-09 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
CN110651064B (zh) * 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald

Also Published As

Publication number Publication date
JP7470173B2 (ja) 2024-04-17
JP7183187B2 (ja) 2022-12-05
US11170993B2 (en) 2021-11-09
JP2020520126A (ja) 2020-07-02
KR20200007823A (ko) 2020-01-22
US20220076949A1 (en) 2022-03-10
TW201900918A (zh) 2019-01-01
CN110651064B (zh) 2022-08-16
TW202229635A (zh) 2022-08-01
TWI829584B (zh) 2024-01-11
WO2018213018A1 (en) 2018-11-22
TWI763839B (zh) 2022-05-11
JP2023018059A (ja) 2023-02-07
US11728164B2 (en) 2023-08-15
US20200066512A1 (en) 2020-02-27
CN110651064A (zh) 2020-01-03
TWI803270B (zh) 2023-05-21
TW202330993A (zh) 2023-08-01

Similar Documents

Publication Publication Date Title
CN110651064B (zh) 电介质上氧化物的选择性peald
CN110546302B (zh) 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7135187B2 (ja) SiOCN薄膜の形成
KR102515145B1 (ko) SiOC 박막의 형성
US20220076946A1 (en) FORMATION OF SiOCN THIN FILMS
CN112951729A (zh) 用于在衬底上选择性地形成目标膜的方法
CN115896734A (zh) 有机材料的选择性沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination