TWI763839B - 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法 - Google Patents

電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法

Info

Publication number
TWI763839B
TWI763839B TW107115198A TW107115198A TWI763839B TW I763839 B TWI763839 B TW I763839B TW 107115198 A TW107115198 A TW 107115198A TW 107115198 A TW107115198 A TW 107115198A TW I763839 B TWI763839 B TW I763839B
Authority
TW
Taiwan
Prior art keywords
plasma
reactant
substrate
deposition
metal
Prior art date
Application number
TW107115198A
Other languages
English (en)
Other versions
TW201900918A (zh
Inventor
艾娃 多益斯
維爾傑米 J. 波爾
蘇維 賀加
鈴木俊哉
凌云 賈
仙子 金
歐利斯特 馬迪亞
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201900918A publication Critical patent/TW201900918A/zh
Application granted granted Critical
Publication of TWI763839B publication Critical patent/TWI763839B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本發明提供用於將氧化物選擇性地沉積於基板的介電表面上的電 漿增強型原子層沉積製程和通過電漿增強型原子層沉積製程來選擇性地於基板的介電表面上沉積氧化物的方法。所述電漿增強型原子層沉積製程包括以下步驟。提供包括第一介電表面及第二金屬表面的基板。進行至少一次沉積循環,其包括使基板交替及依序地與第一矽前驅物和第二反應物接觸,其中第一矽前驅物包括氧,且第二反應物包括來自於包含氫且不包含氧的氣體中產生電漿的反應性物種。第一前驅物吸附於基板表面上,且第二反應物與經吸附的第一前驅物反應,進而將氧化物選擇性地形成於與第二金屬表面相對的第一介電表面上。

Description

電漿增強型原子層沉積製程和通過其來選擇性地於基板 的介電表面上沉積氧化物的方法
本揭示內容大致是有關於製造半導體裝置的領域,且更特定而言是有關於氧化物膜之選擇性電漿增強型原子層沉積(PEALD)。
在半導體工業中,對於選擇性製程存在漸增的需求。舉例來說,可能希望在一表面上,但不在第二、不同表面上的膜生長。此兩不同表面可包含不同材料,例如金屬及介電質。良好的選擇性製程可減少製程步驟之數目,因此節省時間及金錢。
本申請案是關於藉由電漿增強型原子層沉積(plasma enhanced atomic layer deposition,PEALD)於基板上選擇性沉積氧化物。氧化物可包含,例如,SiO2、SiOC、SiOCN或金屬氧化物。在一些具體例中,氧化物同時包含金屬及矽。在一些具體例中,氧化物是選擇性地沉積於基板之介電表面上。在一些具體例中,PEALD製程不利用氧電漿或其他反應性氧物種。因此,在一些具體例中,其中一種反應物包含來自於不包含氧之 氣體中產生之電漿的反應性物種(reactive species)。
在一些具體例中,用於將氧化物選擇性地沉積於基板之介電表面上的PEALD製程包括提供基板,該基板包括第一介電表面及不同的第二表面,諸如金屬表面。進行至少一個沉積循環,其包括使基板交替及依序地與包含氧及氧化物之組分(諸如矽或金屬)的第一前驅物及第二反應物接觸。在一些具體例中,第二反應物包含來自在不包含氧之氣體中產生之電漿的反應性物種。舉例來說,第二反應物可包含氫電漿。氫電漿可於包含H2之氣體中產生。第二反應物與吸附於基板表面上之第一前驅物反應,進而將氧化物選擇性地形成於與第二金屬表面相對的第一介電表面上。在一些具體例中,第二反應物亦與金屬表面反應,從而將可能存在於金屬表面上之金屬氧化物還原。第二反應物亦可移除可能存在於金屬表面上之OH基。可將沉積循環重複二或更多次,以於介電表面上形成期望厚度之氧化物。在一些具體例中,首先提供第二反應物,使得第二反應物與基板表面反應,其與介電表面反應可用來調理表面以供後續沉積用;或者與來自先前沉積循環之經吸附第一反應物反應。
在一些具體例中,介電表面包括SiO2。在一些具體例中,介電表面包含低介電常數(low-k)材料。金屬表面可包含,例如,Co、W、TiN、Cu或Ta。
在一些具體例中,經選擇性沉積之氧化物包含SiO2、SiOC或SiOCN。在一些具體例中,氧化物為金屬氧化物,諸如氧化鈦。在一些具體例中,氧化物為可自含氧前驅物沉積之任何金屬氧化物。在一些具體例中,經選擇性沉積之氧化物包含兩種或更多種氧化物之混合物。在一些具體例中,經沉積之氧化物包含兩種或更多種金屬氧化物之混合物。在一些具體例中,經沉積之氧化物包含氧化矽及一或多種金屬氧化物之混合物。
在一些具體例中,沉積包含金屬及矽之氧化物,諸如SiTiOx。在一些具體例中,沉積矽酸鹽。
在一些具體例中,第一前驅物是矽前驅物,諸如3-甲氧丙基三甲氧矽烷(3-methoxypropyltrimethoxysilane,MPTMS)。在一些具體例中,第一前驅物是MPTMS且第二反應物包含氫電漿。在一些具體例中,第一前驅物是金屬前驅物。
在一些具體例中,金屬表面包含鈍化層,諸如有機材料。有機鈍化層可在開始選擇性氧化物沉積之前相對於介電表面選擇性地沉積於金屬表面上。在一些具體例中,金屬表面上之鈍化層藉由氧化物沉積循環中之第二反應物來蝕刻。
在一些具體例中,提供將氧化矽膜相對於金屬表面選擇性地沉積於基板之介電表面上之方法。該等方法可為PEALD方法,其包括其中使基板交替及依序地與包含矽及氧之第一反應物及不包含氧物種之第二電漿反應物接觸的沉積循環。在一些具體例中,第二電漿反應物包含在不含氧之氣體中產生之電漿。在一些具體例中,第二電漿反應物包含在含氫且不含氧之氣體中產生之電漿。包含矽及氧之第一反應物之物種吸附於介電表面上並與第二電漿反應物反應形成氧化矽。在一些具體例中,第二電漿反應物包含氫電漿。
圖1是一些具體例之示意圖,其中氧化物膜選擇性地沉積於SiO2(相對於金屬表面)上。金屬表面可於SiOC沉積於SiO2上期間同時經還原。SiOC可藉由MPTMS及氫電漿來沉積。
圖2A及圖2B說明在125℃及200℃下之MPTMS製程之W及Cu間的選擇性。Y軸是以原子%為單位。
圖3說明藉由PEALD製程使用在67W功率下產生之H2電漿,在相對於Cu表面而於低介電常數表面上選擇性沉積SiOC。
圖4說明藉由PEALD製程使用在300W功率下產生之H2電漿在相對於Cu表面而於低介電常數表面上選擇性沉積SiOC。
圖5A是顯示使用異丙醇鈦(IV)使用不同電漿反應物沉積之TiO(CN)膜之折射率(R.I.)的圖。
圖5B是顯示使用異丙醇鈦(IV)使用不同電漿反應物沉積之TiO(CN)膜之每循環之生長速率的圖。
如熟悉技藝人士所將明瞭,氧化物膜,諸如氧碳化矽(SiOC)膜,具有相當多樣的應用,例如於積體電路製造中。
根據本揭示內容之一些具體例,提供各種介電膜(特定言之氧化物膜)、前驅物及沉積該等膜之方法。
在一些具體例中,藉由電漿增強型原子層沉積(PEALD)製程將氧化物薄膜或金屬氧化物膜選擇性地沉積於基板上,上述的氧化物薄膜例如是介電膜,諸如SiO2、SiOC或其他SiO類介電膜。在一些具體例中,藉由PEALD製程將氧化物膜選擇性地沉積於與基板的第二表面不同金屬或金屬性之基板的第一介電表面上。舉例來說,SiO2可藉由PEALD在相對於金屬表面而選擇性地沉積於SiO2表面上。圖1顯示一些具體例之示意圖,其中氧化物膜在相對於金屬表面而選擇性地沉積於SiO2上。
根據一些具體例,氧化物膜是使用PEALD製程選擇性地沉積, 其包括使基板與第一前驅物及電漿反應物(諸如於含氫氣體中產生之電漿)接觸。舉例來說,PEALD製程可包括使基板與包含矽及氧之第一前驅物及包括包含Ar及H2但不含氧之氣體中產生之電漿(文中稱為Ar/H2電漿)的第二前驅物接觸。第一前驅物可同時包含氧及一或多種待包含於氧化物中之元素,諸如金屬或矽。氧化物膜於第一表面(諸如介電表面)上生長。在第二表面(諸如金屬或金屬性表面)上之生長較少或未發生。PEALD製程中之電漿反應物可提供兩種功能。首先,電漿反應物可當作沉積製程中的反應物而與先前吸附於介電表面上的前驅物反應,從而於該表面上形成期望的氧化物。其次,電漿作用於金屬表面上,從而減少或抑制氧化物於該表面上的形成。於第二金屬表面上之生長可例如藉由還原金屬氧化物(若金屬表面上有金屬氧化物)及/或藉由自金屬表面移除氧,諸如藉由自金屬表面移除OH基及/或金屬-氧橋(metal-oxygen bridge)來抑制。電漿不容易從第一介電表面(諸如氧化矽介電表面)移除氧(諸如OH基及/或金屬-氧橋)。相對於具有較少OH基之經還原的金屬表面,第一前驅物因此優先與含有較大OH基濃度的介電表面反應並化學吸附至其上。如此一來,相對於第二金屬或金屬性表面,氧化物選擇性地沉積於第一介電表面上。
在一些具體例中,使包含希望於其上沉積介電材料之第一表面(諸如介電表面)及不希望於其上沉積之第二表面(諸如金屬表面)的基板,交替及依序地與包含氧及一或多種待包含於沉積材料中之元素的前驅物及電漿反應物接觸。在一些具體例中,電漿反應物包括於不包含氧之氣體中產生之電漿。在一些具體例中,電漿反應物不包含氧物種。在一些具體例中,除第一反應物外,未使用包含氧物種之反應物。在一些具體例中,電漿反應物包括於包含氫的氣體中產生之電漿。包含氧之前驅物及電漿可藉由吹掃(purge)分開的脈衝提供,且將過量反應物及反應副產物(如果有的話) 自反應空間中移除。
在一些具體例中,沉積製程始於電漿脈衝,且反應順序或沉積循環可經重複期望次數(A):A x(RF/吹掃/前驅物/吹掃)
在一些具體例中,沉積循環始於前驅物脈衝,接著為電漿脈衝。
在一些具體例中,可於開始沉積循環之前提供還原電漿步驟。還原電漿步驟可較長於沉積循環中暴露至電漿反應物。在一些具體例中,還原電漿步驟是以一或多個間隔包括於沉積製程中。在一些具體例中,還原電漿步驟是包括於兩個或更多個沉積循環之前。在一些具體例中,還原電漿步驟是包括於每個沉積循環之前。
於較長還原步驟中之電漿可與沉積循環中所使用的電漿相同,或可不同。此還原電漿步驟可自金屬表面實質上還原所有金屬氧化物及確保自金屬表面移除實質上所有OH基或金屬-氧橋:(長脈衝/高功率RF)+A x(RF/吹掃/前驅物/吹掃)
藉由最佳化電漿及其他製程條件,可調整還原功率,以致獲得期望程度的選擇性。在一些具體例中,可調整製程條件(諸如電漿功率),以致不會在金屬表面上明顯地進行生長,或甚至完全不會生長。在一些具體例中,亦可使用電漿功率來調整所沉積之氧化物膜的介電常數值(k值)及耐濕式蝕刻性。
在一些具體例中,使用於沉積循環及/或用於還原電漿步驟的電漿是以氫為主。舉例來說,電漿可在包含氫(諸如於H2氣體、H2與惰性氣體(諸如Ar)之混合物中)的氣體或者於另一包含H2之氣體中產生。在一些具體例中,電漿是以氮為主,或包含氮物種。舉例來說,電漿可於包含氮的氣體(諸如含N2之氣體或N2與惰性氣體(諸如Ar)之混合物)中產生。 在一些具體例中,電漿不包含氧物種。在一些具體例中,電漿是於不含氧的氣體或氣體混合物中產生。然而,在一些具體例中,電漿可於包含氧的氣體或可另外包含經激發的氧物種中產生,。在一些具體例中,電漿不包含氮物種。在一些具體例中,具有更高還原力的電漿氣體為較佳。在一些具體例中,可單獨使用惰性氣體(noble gas)來產生電漿。因此,在一些具體例中,電漿是於不具有任何其他組分的惰性氣體(諸如Ar氣體)中產生。
在一些具體例中,可藉由施加約5W至約5000W、約10W至約2000W、約20W至約1000W、約30W至500W或約50W至約200W之RF功率(power)來產生電漿,例如含氫電漿。在一些具體例中,RF功率密度可為約0.02W/cm2至約2.0W/cm2或約0.05W/cm2至約1.5W/cm2。可將RF功率施加至在電漿接觸時間的期間流動、連續流動通過反應室及/或流動通過遠端電漿產生器的第二反應物。因此,在一些具體例中,電漿是於原位(in-situ)產生,而在其他具體例中,電漿是於遠端產生。在一些具體例中,利用噴淋頭(showerhead)反應器,且在基座(基板安置於其頂部)與噴淋頭板之間產生電漿。
在一些具體例中,沉積SiOC膜。在一些具體例中,沉積除SiOC外之氧化物。在一些具體例中,氧化物包括親氧性元素。舉例來說,可藉由文中揭示方法沉積的氧化物包括SiOCN、SiOSC、TiO2、Al2O3、Nb2O5、Ta2O5及SiO2。同樣地,在一些具體例中,所利用之前驅物同時包含氧及氧化物中所期望的第二元素,諸如金屬或矽。
除非另外指示,否則若於文中將表面稱為金屬表面,則其可為金屬表面或金屬性表面。在一些具體例中,金屬或金屬性表面可包含金屬、金屬氧化物及/或其混合物。在一些具體例中,金屬或金屬性表面可包含表面氧化。在一些具體例中,金屬或金屬性表面的金屬或金屬性材料是具有 或不具有表面氧化的導電性。在一些具體例中,金屬或金屬性表面包含一或多種過渡金屬。在一些具體例中,金屬或金屬性表面包含Al、Cu、Co、Ni、W、Nb、Fe中之一或多者。在一些具體例中,金屬或金屬性表面包含Cu。在一些具體例中,金屬或金屬性表面包含一或多種貴金屬,諸如Ru。在一些具體例中,金屬或金屬性表面包含傳導性金屬氧化物、氮化物、碳化物、硼化物或其組合。例如,金屬或金屬性表面可包含RuOx、NbCx、NbBx、NiOx、CoOx、NbOx、WNCx中之一或多者。在一些具體例中,基板可包含金屬氮化物,包括,但不限於,TiN及/或TaN。在一些具體例中,基板表面可包含金屬碳化物,包括,但不限於,TiC及/或TaC。在一些具體例中,金屬表面可包含金屬硫屬化物,包括,但不限於,MoS2、Sb2Te3及/或GeTe。在一些具體例中,金屬表面是TiN表面。在一些具體例中,金屬表面是W表面。
在一些具體例中,金屬表面可包含Zn、Fe、Mn或Mo。
在一些具體例中,金屬表面包括Co、W、TiN、Ta或Cu。
在一些具體例中,介電表面可為,例如,氧化矽表面,諸如SiO2表面。在一些具體例中,介電表面可為低介電常數表面。
在一些具體例中,鈍化層,諸如有機層,可在沉積前存在於基板上,諸如於金屬表面上。在一些具體例中,鈍化層存在於金屬表面上但不存在於介電表面上。
在一些具體例中,可在選擇性沉積氧化物於介電表面上之前,將鈍化層沉積於金屬表面上。舉例來說,在一些具體例中,可將鈍化層選擇性地沉積於金屬層上。鈍化層之選擇性沉積可例如下文所述,亦即如美國專利申請案第15/170,769號或美國專利申請案第15/486,124號(其各以引用的方式併入本文)中所述來進行。
在一些具體例中,鈍化層可在沉積之前存在於金屬表面及介電表面兩者上。在一些具體例中,鈍化層在金屬表面上方較在介電表面上方厚。
用於沉積製程中的電漿反應物或於沉積製程前之電漿處理中的電漿反應物會蝕刻鈍化層,使得鈍化層從期望沉積之介電表面上完全蝕刻掉,同時一些鈍化層殘留於金屬表面上。如此可達成或增進相對於金屬表面(包含鈍化層)於介電表面上之沉積製程的選擇性。在一些具體例中,鈍化層之蝕刻是於氧化物的選擇性沉積期間,諸如通過電漿反應物之活性來發生。
在一些具體例中,當沉積較厚的膜時,可於沉積製程期間補充金屬表面上方之鈍化層,以達成較佳的選擇性。
若不存在鈍化層或鈍化層完全被移除,則電漿可如上文所述用來使金屬保持金屬性,並移除OH基及/或金屬氧化物,使得氧化物相對於金屬或金屬性表面選擇性地沉積於介電表面(諸如SiO2或低介電常數表面)上。
如前所述,在一些具體例中,基板可包含有機鈍化層。在一些具體例中,當基板包含有機材料時,PEALD製程之反應溫度可低於約200℃。在一些該等具體例中,反應溫度可為低於約150℃、低於約100℃,低於約75℃或低於約50℃。在不存在鈍化層時的沉積溫度說明於下。
在一些具體例中,將期望於其上沉積之基板(諸如半導體工件)載入至反應空間或反應器中。反應器可為於其中進行積體電路形成中之各種不同製程之群集工具的一部分。在一些具體例中,利用流動型反應器。在一些具體例中,利用噴淋頭型反應器。在一些具體例中,利用空間分割反應器(space divided reactor)。在一些具體例中,使用能夠進行大量製 造的單晶圓ALD反應器。在其他具體例中,使用包括多個基板的批式反應器。就其中使用批式ALD反應器的具體例而言,基板數目是在10至200之範圍內、在50至150之範圍內或在100至130之範圍內。
可使用的合適反應器之實例包括市售設備,諸如可購自ASM America,Inc.(亞利桑那州鳳凰城(Phoenix,Arizona))及ASM Europe B.V.(荷蘭阿爾梅勒(Almere,Netherlands))之F-120®反應器、F-450®反應器、Pulsar®反應器-諸如Pulsar®2000及Pulsar® 3000-EmerALD®反應器及Advance® 400系列反應器。其他市售反應器包括來自ASM Japan K.K(日本東京)商品名為Eagle® XP及XP8之彼等反應器。
在一些具體例中,若需要,可預處理工件之經暴露表面以提供與ALE製程之第一階段反應的反應性部位。在一些具體例中,不需要個別的預處理步驟。在一些具體例中,預處理基板以提供期望的表面終端。在一些具體例中,利用電漿預處理基板。
選擇性
選擇性可被給定為藉由[(於第一表面上之沉積)-(於第二表面上之沉積)]/(於第一表面上之沉積)所計算之百分比。沉積可以各種方式中的任何方式測量。在一些具體例中,可將沉積給定為沉積材料之測量厚度。在一些具體例中,可將沉積給定為所沉積材料之測量量。
在一些具體例中,選擇性是大於約10%、大於約50%、大於約75%、大於約85%、大於約90%、大於約93%、大於約95%、大於約98%、大於約99%或甚至大於約99.5%。在文中所述之具體例中,選擇性可隨沉積之持續時間或厚度改變。
在一些具體例中,氧化物之沉積僅發生在第一介電表面上而不發生在第二金屬表面上。在一些具體例中,在基板之第一表面上相對於基 板之第二表面的沉積至少約為80%選擇性,其對於一些特定應用可能是足夠的選擇性。在一些具體例中,在基板之第一表面上相對於基板之第二表面的沉積至少約為50%選擇性,其對於一些特定應用可能是足夠的選擇性。在一些具體例中,在基板之第一表面上相對於基板之第二表面的沉積至少約為10%選擇性,其對於一些特定應用可能是足夠的選擇性。
鈍化層之選擇性沉積
在一些具體例中,鈍化層是相對於第二介電表面選擇性地沉積於基板之第一金屬或金屬性表面上,以促進或增進隨後氧化物在相對於金屬表面而於介電表面上的選擇性沉積,如文中所述。在鈍化層的選擇性沉積中,在一些具體例中,使第一有機反應物汽化以形成第一反應物蒸氣。經汽化的反應物在標準溫度及壓力條件(室溫及大氣壓力)下可是液體或固體。在一些具體例中,經汽化的反應物包含有機前驅物,諸如胺(例如二胺,諸如1,6-二胺基己烷(DAH)),或者經汽化的反應物包含另一有機前驅物,諸如二酐(例如焦蜜石酸二酐(PMDA))。然後使基板暴露至第一反應物蒸氣及選擇性地沉積有機膜。該方法可包括額外的步驟,且可經重複。舉例來說,如下文於一些具體例中所述,利用兩種反應物來於相對於介電表面的金屬表面上選擇性地沉積鈍化層。
在一些具體例中,有機膜包含聚合物。在一些具體例中,經沉積的聚合物是聚醯亞胺。在一些具體例中,經沉積的聚合物是聚醯胺。經沉積聚合物的其他實例包括二聚物、三聚物、聚胺基甲酸酯、聚硫脲、聚酯、聚亞胺、上述材料的其他聚合形式或混合物。
在一些具體例中,提供包含第一傳導性表面(例如金屬或金屬性表面)及第二介電表面的基板,並於沉積循環中交替及依序地暴露至第一氣相反應物及第二氣相反應物。
在一些具體例中,第一前驅物暴露期間是約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳暴露期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之暴露期間。
在一些具體例中,第二前驅物暴露期間是約0.01秒至約60秒、約0.05秒至約30秒、約0.1秒至約10秒或約0.2秒至約5秒。熟習此項技術者可基於特定情形輕易地決定最佳暴露期間。在一些具體例中,當可使用批式反應器時,可使用大於60秒之暴露期間。
在一些具體例中,可重複沉積循環,直至將期望厚度的有機膜選擇性地沉積於金屬表面上為止。
可將各種反應物用於上述製程。例如,在一些具體例中,第一前驅物或反應物是有機反應物諸如二胺,例如,1,6-二胺基己烷(DAH)或任何其他具有兩個反應性基團之單體。
在一些具體例中,第二反應物或第二前驅物亦為可在沉積條件下與第一反應物之經吸附物種反應的有機反應物。舉例來說,第二反應物可為酐,諸如呋喃-2,5-二酮(順丁烯二酸酐),或更特定言之二酐,例如,焦蜜石酸二酐(PMDA),或任何其他具有兩個將與第一反應物反應之反應性基團的單體。
在一些具體例中,基板在與第二前驅物接觸之前先與第一前驅物接觸。因此,在一些具體例中,基板在與另一前驅物接觸之前先與胺(諸如二胺,例如1,6-二胺基己烷(DAH))接觸。然而,在一些具體例中,基板可在與第一前驅物接觸之前先與第二前驅物接觸。因此,在一些具體例中,基板在與另一前驅物接觸之前先與酐(諸如呋喃-2,5-二酮(順丁烯二酸酐))或更特定言之二酐(例如,焦蜜石酸二酐(PMDA))接觸。
雖然上述製程始於使基板與第一氣相前驅物接觸,但在其他具體例中,製程可始於使基板與第二氣相前驅物接觸。熟悉技藝人士當明瞭使基板與第一前驅物及第二前驅物接觸在文中描述的製程中是可互換。
在一些具體例中,可使用不同反應物來調整膜性質。例如,可使用4,4'-氧二苯胺或1,4-二胺基苯替代1,6-二胺基己烷來沉積聚醯亞胺膜,以得到具更高芳香性(aromaticity)及經增加之抗乾蝕刻性的更為剛性之結構。
在一些具體例中,反應物不含金屬原子。在一些具體例中,反應物不含半金屬原子(semimetal atom)。在一些具體例中,其中一種反應物含金屬或半金屬原子。在一些具體例中,反應物含碳及氫及以下元素中之一或多者:N、O、S、P或鹵化物(諸如Cl或F)。在一些具體例中,第一反應物可例如包含己二醯氯(adipoyl chloride,AC)。
鈍化層之沉積條件可視所選反應物而異且可根據選擇來最佳化。在一些具體例中,反應溫度可選自約80℃至約250℃之範圍。在一些具體例中,例如,當經選擇性沉積之有機膜包含聚醯亞胺時,反應溫度可選自約170℃至約210℃之範圍。在一些具體例中,例如,當經選擇性沉積之有機膜包含聚醯胺時,反應溫度可選自約80℃至約150℃之範圍。在一些具體例中,當經選擇性沉積之有機膜包含聚醯亞胺時,反應溫度可大於約160℃、180℃、190℃、200℃或210℃。在一些具體例中,當經選擇性沉積之有機膜包含聚醯胺時,反應溫度可大於約80℃、90℃、100℃、110℃、120℃、130℃、140℃或150℃。
在一些具體例中,經選擇性沉積或形成之有機膜不含金屬原子。在一些具體例中,經選擇性沉積或形成之有機膜不含半金屬原子。在一些具體例中,經選擇性沉積或形成之有機膜含金屬或半金屬原子。在一 些具體例中,經選擇性沉積或形成之有機膜含碳及氫及以下元素中之一或多者:N、O、S或P。
在一些具體例中,用於用來形成有機鈍化層之選擇性沉積中的反應物可具有以下通式:(1)R1(NH2)2
其中R1可為包含1-5個碳原子、2-5個碳原子、2-4個碳原子、5個或更少個碳原子、4個或更少個碳原子、3個或更少個碳原子或2個碳原子的脂族碳鏈。在一些具體例中,反應物或前驅物中之碳原子間的鍵可為單鍵、雙鍵、三鍵或其一些組合。因此,在一些具體例中,反應物可包含兩個胺基。在一些具體例中,反應物之胺基可佔據脂族碳鏈上的一或兩個末端位置。然而,在一些具體例中,反應物之胺基可不佔據脂族碳鏈上的任一末端位置。在一些具體例中,反應物可包含二胺。在一些具體例中,反應物可包含選自以下之群的有機前驅物:1,2-二胺基乙烷(l)、1,3-二胺基丙烷(l)、1,4-二胺基丁烷(l)、1,5-二胺基戊烷(l)、1,2-二胺基丙烷(l)、2,3-丁二胺、2,2-二甲基-1,3-丙二胺(l)。
在一些具體例中,用於用來形成有機鈍化層之選擇性沉積製程的反應物可具有以下通式:(2)R2(COCl)2
其中R2可為包含1-3個碳原子、2-3個碳原子或3個或更少個碳原子的脂族碳鏈。在一些具體例中,反應物或前驅物中之碳原子間的鍵可為單鍵、雙鍵、參鍵或其一些組合。在一些具體例中,反應物可包含氯化物。在一些具體例中,反應物可包含二醯基氯。在一些具體例中,反應物可包含選自以下之群的有機前驅物:草醯氯(I)、丙二醯氯及反丁烯二醯氯。
在一些具體例中,反應物包含選自以下之群的有機前驅物:1,4-二異氰酸丁烷或1,4-二異氰酸苯。在一些具體例中,反應物包含選自以下之群的有機前驅物:對苯二甲醯二氯、烷二醯二氯,諸如己二醯二氯、辛二醯二氯、壬二醯二氯、癸二醯二氯或對苯二甲醯二氯。在一些具體例中,反應物包含選自以下之群的有機前驅物:1,4-二異硫氰酸苯或對苯二甲酸酐。在一些具體例中,經汽化的反應物亦可為二胺,諸如1,4-二胺基苯、癸烷-1,10-二胺、4-硝基苯-1,3-二胺、4,4'-氧基二苯胺或乙二胺。在一些具體例中,反應物可為對苯二甲酸雙(2-羥乙基)酯。在一些具體例中,反應物可為羧酸,例如,烷基-、烯基-、烷二烯基-二羧酸或三羧酸,諸如乙二酸、丙二酸、丁二酸、戊二酸或丙烷-1,2,3-三羧酸。在一些具體例中,反應物可為芳族羧酸或二羧酸,諸如苯甲酸、苯-1,2-二羧酸、苯-1,4-二羧酸或苯-1,3-二羧酸。在一些具體例中,反應物可包括一或多個鍵結至烴的OH基。在一些具體例中,反應物可選自以下之群:二醇、三醇、胺基酚諸如4-胺基酚、苯-1,4-二醇或苯-1,3,5-三醇。在一些具體例中,反應物可為8-喹啉酚。在一些具體例中,反應物可包括烯基氯矽烷,如烯基三氯矽烷,諸如7-辛烯基三氯矽烷。
於將有機鈍化層選擇性沉積於金屬表面上後,如文中所述,可將氧化物相對於金屬表面選擇性地沉積於介電表面上。
SiOC及SiOCN之沉積
如前文所述,在一些具體例中,將SiOC在相對於金屬或金屬性表面而選擇性地沉積於介電表面上。SiOC可例如文中所述或如美國專利申請案第15/588,026號(其以引用的方式併入本文)中所述來沉積。
在一些具體例中,將SiOCN在相對於金屬或金屬性表面而選擇性地沉積於介電表面上。SiOCN可例如文中所述或如美國專利申請案第 14/939,984或15/342,943號(其各以引用的方式併入本文)中所述來沉積。
在一些具體例中,將SiOSC在相對於金屬或金屬性表面而選擇性地沉積於介電表面上。SiOSC可例如文中所述或如美國專利申請案第62/502,118號(其以引用的方式併入本文)中所述來沉積。
為方便及簡單起見,在文中一般將氧碳化矽膜之式稱為SiOC。如文中所使用,SiOC並不意欲限制、侷限或界定膜中Si、O、C及/或任何其他元素中任一者的鍵結或化學狀態,例如氧化態。此外,在一些具體例中,SiOC薄膜可包含除Si、O及C外之一或多種元素。舉例來說,在一些具體例中,可沉積包含以原子計約0%至約10%的氮(原子%)的SiOCN膜。在一些具體例中,可沉積包含以原子計約0至約20%的硫的SiOSC膜。
在一些具體例中,SiOC可包含以原子計約0%至約30%的碳。在一些具體例中,SiOC膜可包含以原子計約0%至約70%的氧。在一些具體例中,SiOC膜可包含以原子計約0%至約50%的矽。
由於氫難以準確地定量分析,因此為簡單起見,除非另外指示,否則文中提供的所有原子百分比(即原子%)值不包括氫。然而,在一些具體例中,若有可能以合理的準確度分析氫,則膜之氫含量是小於約20原子%、小於約10原子%或小於約5原子%。在一些具體例中,經沉積的SiOC薄膜可包含以原子計(原子%)至多約70%氧。在一些具體例中,SiOC膜可包含以原子計約10%至約70%、約15%至約50%或約20%至約40%的氧。在一些具體例中,SiOC膜可包含以原子計至少約20%、約40%或約50%的氧。
在一些具體例中,經沉積的SiOC薄膜可包含以原子計(原子%)至多約40%碳。在一些具體例中,SiOC膜可包含以原子計約0.1%至約40%、約0.5%至約40%、約1%至約30%或約5%至約20%的碳。在一些 具體例中,SiOC薄膜可包含以原子計至少約1%、約10%或約20%的碳。
在一些具體例中,經沉積的SiOC薄膜可包含以原子計(原子%)至多約50%矽。在一些具體例中,SiOC膜可包含以原子計約10%至約50%、約15%至約40%或約20%至約35%矽。在一些具體例中,SiOC膜可包含以原子計至少約15%、約20%、約25%或約30%的矽。
在一些具體例中,經沉積的SiOC薄膜可包含以原子計(原子%)至多約40%硫。在一些具體例中,SiOC膜可包含以原子計約0.01%至約40%、約0.1%至約40%、約0.5%至約30%或約1%至約20%的硫。在一些具體例中,SiOC膜可包含以原子計至少約1%、約10%或約20%的硫。在一些具體例中,經沉積的SiOC膜不包含明顯量的氮。然而,在一些具體例中,沉積含氮的SiOC膜。在一些具體例中,經沉積的SiOC膜包含低於約30原子%、低於約20原子%、低於約15原子%、低於約10原子%、低於約5原子%之氮、低於約1原子%氮或低於約0.1原子%的氮。在一些具體例中,SiOC薄膜不包含氮。
如前文所論述,在一些具體例中,SiOC膜可包含Si-C鍵及/或Si-O鍵。在一些具體例中,SiOC膜可另外包含Si-N鍵。在一些具體例中,SiOC膜可另外包含Si-S鍵。在一些具體例中,SiOC膜可包含Si-C鍵及Si-O鍵且可不包含Si-N鍵。在一些具體例中,SiOC膜可包含Si-N鍵及Si-O鍵且可不包含Si-C鍵。在一些具體例中,SiOC膜可包含Si-N鍵及Si-C鍵且可不包含Si-O鍵。在一些具體例中,SiOC膜可包含Si-S鍵、Si-C鍵及Si-O鍵且可不包含Si-N鍵。在一些具體例中,SiOC膜可包含Si-S鍵及Si-C鍵,且可不包含Si-O鍵。在一些具體例中,SiOC膜可包含Si-S鍵及Si-O鍵且可不包含Si-C鍵。在一些具體例中,SiOC膜可包含多於Si-C鍵的Si-O鍵,例如Si-O鍵對Si-C鍵的比可為約1:1至約10:1。在一 些具體例中,經沉積的SiOC膜可包含SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS及/或SiOC中之一或多者。
在一些具體例中,使用電漿增強型ALD(PEALD)製程來沉積SiOC膜。如前文所述,在一些具體例中,PEALD製程不包括氧電漿或包含氧物種的電漿。簡言之,將基板或工件置於反應室中並經受交替重複的表面反應。在一些具體例中,藉由重複自限制ALD循環來形成SiOC薄膜。在一些具體例中,為形成SiOC膜,各ALD循環包括至少兩個不同階段。可將反應物與基板之接觸及自基板移除反應物視為一階段。
在第一階段中,包含矽的氣相第一反應物接觸基板並於介電表面上形成不超過約一個單層。此反應物在文中亦稱為「矽前驅物」、「含矽前驅物」或「矽反應物」,並且可例如是(3-胺丙基)三甲氧矽烷(APTMS)、雙(三乙氧矽烷基)乙烷(BTESE)或3-甲氧丙基三甲氧矽烷(MPTMS)。在一些具體例中,第一反應物同時包含矽及氧。
在第二階段中,包含反應性物種的第二反應物接觸基板且可於介電表面上將經吸附的矽轉變為SiOC。如前文所論述,在一些具體例中,第二反應物包含氫電漿(諸如H2/Ar電漿)、氮電漿及/或於惰性氣體中產生之電漿。
在一些具體例中,第二反應物包含氫自由基、氫原子及/或氫電漿。第二反應物可包含不為氫前驅物的其他物種。在一些具體例中,第二反應物可包含氮之電漿、氮之自由基或呈一種形式或另一形式的原子氮。在一些具體例中,第二反應物可包含來自惰性氣體(諸如He、Ne、Ar、Kr或Xe)的物種,例如作為自由基、呈電漿形式或呈元素形式。來自惰性氣體之此等反應性物種不一定會向沉積膜貢獻材料,但在一些情況中可促進膜生長以及幫助形成及點燃電漿。
在一些具體例中,用來形成電漿之氣體可在整個沉積製程中恆定地流動,但僅間歇地經啟動。
在一些具體例中,用來形成電漿之氣體不包含氧。在一些具體例中,經吸附的矽前驅物未與通過來自氧之電漿所產生的反應性物種接觸。在一些具體例中,於不包含氧的氣體中產生包含反應性物種的第二反應物。舉例來說,在一些具體例中,第二反應物可包括在不包含氧之氣體中產生的電漿。在一些具體例中,可於包含少於約1原子%(at%)的氧、少於約0.1原子%的氧、少於約0.01原子%的氧或少於約0.001原子%的氧的氣體中產生第二反應物。
在一些具體例中,用來形成電漿之氣體不包含氮。在一些具體例中,經吸附的矽前驅物未與藉由來自氮之電漿所產生的反應性物種接觸。在一些具體例中,於不包含氮的氣體中產生包含反應性物種的第二反應物。舉例來說,在一些具體例中,第二反應物可包括於不包含氮之氣體中產生的電漿。
然而,在一些具體例中,用來形成電漿之氣體可包含氮。在一些其他具體例中,第二反應物可包含氮自由基、氮原子及/或氮電漿。在一些具體例中,可於包含少於約25原子%(at%)的氮、少於約20原子%的氮、少於約15原子%的氮、少於約10原子%的氮、少於約5原子%的氮、少於約1原子%的氮、少於約0.1原子%的氮、少於約0.01原子%的氮或少於約0.001原子%的氮的氣體中產生第二反應物。在一些具體例中,可於包含氫及氮的氣體中產生第二反應物,例如第二反應物可包含H2及N2。在一些具體例中,可於具有低於約20%、低於約10%或低於約5%之N2對H2之比(N2/H2)的氣體中產生第二反應物。
在一些具體例中,用來形成電漿之氣體不包含氮或氧。在一些 具體例中,經吸附的矽前驅物未與藉由來自氮或氧之電漿所產生的反應性物種接觸。在一些具體例中,於不包含氮或氧的氣體中產生包含反應性物種的第二反應物。舉例來說,在一些具體例中,第二反應物可包括在不包含氮或氧之氣體中產生的電漿。
可視需要添加額外階段且可移除階段來調整選擇性地沉積於介電表面上之最終膜之組成。
在一些具體例中,為沉積SiOC膜,一或多個沉積循環始於使基板與矽前驅物接觸,隨後再與第二電漿反應物接觸。在其他具體例中,沉積可始於使基板與第二電漿反應物接觸,隨後再與矽前驅物接觸。
在反應物接觸階段之間,將過量反應物及反應副產物(如果有的話)自基板附近移除,且特定而言自基板表面移除。在一些具體例中,過量的反應物及反應副產物(如果有的話)例如是藉由在反應物接觸階段之間吹掃反應室,諸如藉由利用惰性氣體來吹掃以自基板表面移除。各反應物之流動速率及接觸時間如同移除步驟是可調整,從而容許控制膜的品質及各種性質。
在一些具體例中,氣體是在各沉積循環期間或在整個PEALD製程期間連續地提供至反應室,且反應性物種是藉由於反應室中或於反應室之上游,於氣體中產生電漿來提供。在一些具體例中,氣體包含氫。在一些具體例中,氣體包含氮。在一些具體例中,氣體可包含惰性氣體,諸如氦或氬。流動氣體亦可充作用於第一及/或第二反應物(或反應性物種)之吹掃氣體。
沉積循環是經重複直至於介電表面上獲得期望厚度的SiOC膜為止。在一些具體例中,可在PEALD製程期間於一或多個沉積循環中改變沉積參數,諸如前驅物流動速率、接觸時間、移除時間及/或反應物本身, 以獲得具有期望特性的膜。
在一些具體例中,使基板之表面與反應物接觸。在一些具體例中,將反應物之脈衝提供至含有基板之反應空間。可理解術語「脈衝(pulse)」包括將反應物饋送至反應室中持續預定量的時間。術語「脈衝」不限制脈衝的長度或持續時間,且脈衝可為任何時間長度。在一些具體例中,將基板移動至容納反應物之反應空間。在一些具體例中,隨後將基板自含有第一反應物之反應空間移動至含有第二反應物之第二個不同的反應空間。
在一些具體例中,首先,使基板與矽反應物接觸。於初始的表面終止後,若需要或期望,使基板與第一矽反應物接觸。根據一些具體例,第一反應物脈衝包含載氣流動及與介電表面具反應性的揮發性矽物種,諸如APTMS或MPTMS。因此,矽物種吸附於介電表面上。
在一些具體例中,矽反應物與表面接觸約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。最佳接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。
經足夠時間而使約一分子層的含矽物種吸附於基板表面上之後,將過量的第一矽反應物及反應副產物(如果有的話)自基板表面移除。在一些具體例中,移除過量反應物及反應副產物(如果有的話)可包括吹掃反應室。在一些具體例中,可藉由停止第一反應物之流動且同時繼續使載氣或吹掃氣體流動足夠的時間來吹掃反應室,以使過量反應物及反應副產物(如果有的話)自反應空間擴散或排空。在一些具體例中,藉助惰性氣體(諸如氮或氬)來吹掃過量的第一前驅物。在一些具體例中,可將基板自含有第一反應物之反應空間移動至第二個不同的反應空間。在一些具體例中,將第一反應物移除約0.1秒至約10秒、約0.3秒至約5秒或約0.3 秒至約1秒。矽反應物之接觸及移除可被視為PEALD循環之第一階段或矽階段。
在第二階段中,將包括反應性物種(諸如氫電漿)之第二反應物提供至工件。電漿可於反應室或於遠端電漿產生器中產生並提供至反應室。舉例來說,氫電漿可藉由於反應室中或於反應室之上游於氫中產生電漿,例如,藉由使氫(H2)流動通過遠端電漿產生器來形成。
在一些具體例中,電漿是於流動中之H2氣體中產生。在一些具體例中,H2流量可為約0.1至約0.4slpm。如前文所述,在一些具體例中,亦可包括惰性氣體,諸如Ar。在一些具體例中,Ar共流量可例如是約2slpm。
在一些具體例中,在點燃電漿或形成氫原子或自由基之前,將H2提供至反應室。在一些具體例中,H2是連續地提供至反應室,且在需要時產生或供應含氫的電漿、原子或自由基。
在一些具體例中,電漿是於流動中的N2氣體中產生。在一些具體例中,N2流量可為約0.1至約0.4slpm。如前文所述,在一些具體例中,亦可包括惰性氣體,諸如Ar。在一些具體例中,Ar共流量可例如是約2slpm。
在一些具體例中,在點燃電漿或形成氫原子或自由基之前,將N2提供至反應室。在一些具體例中,N2是連續地提供至反應室,且在需要時產生或供應含氮的電漿、原子或自由基。
通常,第二反應物(例如包含氫電漿)與基板接觸約0.1秒至約10秒。在一些具體例中,第二反應物(諸如含氫電漿)與基板接觸約0.1秒至約10秒、0.5秒至約5秒或0.5秒至約2.0秒。然而,視反應器類型、基板類型及其表面積而定,第二反應物接觸時間可甚至高於約10秒。在一 些具體例中,接觸時間可在若干分鐘左右。最佳接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。
在一些具體例中,第二反應物是於兩個或更多個不同脈衝中提供,於兩個或更多個脈衝中的任一者之間未引入另一反應物。舉例來說,在一些具體例中,於兩個或更多個連續脈衝中提供電漿(諸如含氫電漿),於連續脈衝之間未引入Si-前驅物。在一些具體例中,在引入另一前驅物或移除步驟之前(諸如在Si-前驅物或吹掃步驟之前),藉由提供電漿放電持續第一時段,熄滅電漿放電持續第二時段(例如約0.1秒至約10秒、約0.5秒至約5秒或約1.0秒至約4.0秒),並再次激發電漿持續第三時段,如此在提供電漿期間產生兩個或更多個連續電漿脈衝。可以相同方式引入額外的電漿脈衝。在一些具體例中,於各脈衝中點燃電漿持續相同的時段。
在一些具體例中,可藉由施加約10W至約5000W、約10W至約2000W、約50W至約1000W、約300W至約500W、約100W至約500W或在一些具體例中約30W至約100W的RF功率來產生電漿,例如含氫或含氮電漿。在一些具體例中,用來產生含氮電漿之電漿功率可是約10W至約5000W、約50W至約1,500W、約70W至約1200W、約80W至約1,000W、約10W至約500W或約300W至約500W。在一些具體例中,RF功率密度可是約0.02W/cm2至約2.0W/cm2或約0.05W/cm2至約1.5W/cm2。可將RF功率施加至在電漿接觸時間期間流動、連續流動通過反應室及/或流動通過遠端電漿產生器的第二反應物。因此,在一些具體例中,電漿是於原位產生,而在其他具體例中,電漿是於遠端產生。在一些具體例中,利用噴淋頭反應器,且在基座(基板安置於其頂部)與噴淋頭板之間產生電漿。在一些具體例中,基座與噴淋頭板之間的間隙是約0.1cm至約20cm、約0.5cm至約5cm或約0.8cm至約3.0cm。
於足以使先前吸附之分子層完全飽和並與電漿脈衝反應的時段後,將任何過量的反應物及反應副產物自基板表面移除。
在一些具體例中,移除過量反應物及反應副產物(如果有的話)可包含吹掃反應室。在一些具體例中,可藉由停止第二反應物之流動且同時繼續使載氣或吹掃氣體流動足夠的時間來吹掃反應室,以使過量反應物及反應副產物(如果有的話)自反應空間擴散或排空。在一些具體例中,過量的第二前驅物是藉助整個PEALD循環中流動之惰性氣體(諸如氮或氬)來吹掃。在一些具體例中,可將基板自含有第二反應物之反應空間移動至不同的反應空間。在一些具體例中,移除可為約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。反應性物種接觸及移除一起呈現為SiOCN原子層沉積循環中的第二反應性物種階段。
兩個階段一起呈現為一個ALD循環,其經重複以形成期望厚度的SiOC薄膜。
根據一些具體例,PEALD反應可在約25℃至約700℃、約50℃至約600℃、約20℃至約200℃、約75℃至約450℃或約90℃至約300℃範圍內之溫度下進行。在一些具體例中,沉積溫度為約100℃至約200℃。在一些具體例中,最佳反應器溫度可受限於最大容許熱預算。因此,在一些具體例中,反應溫度為約300℃至約400℃。在一些應用中,最大溫度為約400℃左右,且因此PEALD製程是在該反應溫度下進行。
根據本揭示內容之一些具體例,於加工期間反應室之壓力是維持在約0.01托(Torr)至約50托或約0.1托至約10托。在一些具體例中,反應室之壓力為大於約6托或約20托。在一些具體例中,SiOCN沉積製程可在約1托至約500托、約1托至約20托、約2托至約10托、約20托至約50托或約20托至約30托之壓力下進行。
在一些具體例中,氧化物沉積是在約0.1托或更大或1托或更大之壓力下進行。在一些具體例中,壓力可為至高約760托、至高約500托或至高約50托。
根據一些具體例,SiOC或SiOCN薄膜是在反應空間中藉由包括至少一個循環的PEALD沉積製程選擇性地沉積於基板上之介電表面上,該循環包括:使基板與矽反應物接觸,使得矽物種吸附於基板表面上;自基板表面移除過量的矽反應物及反應副產物(如果有的話);使基板與包含由電漿所產生之反應性物種(諸如包含氫或氮之反應性物種)的第二反應物接觸;自基板表面移除過量的第二反應物及反應副產物(如果有的話);以及視情況重複接觸及移除步驟以形成期望厚度及組成的SiOC或SiOCN薄膜。
在本發明揭示之用於形成SiOC及SiOCN之PEALD製程中,可使用許多不同的適宜Si前驅物。
在一些具體例中,至少一些適用於藉由PEALD製程沉積SiOCN之Si前驅物包含氮且具有以下通式:(1)Si(ORI)4-x(RIINRIIIRIV)x
其中x=1~4,RI可為獨立選擇之烷基,RII可為獨立選擇之烴基,及RIII及RIV可為獨立選擇之烷基及/或氫。在一些具體例中,RI及RII為C1-C3烷基配體(ligand),諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RI可為C1-C4烷基配體,諸如甲基、乙基、正丙基、異丙基或第三丁基。在一些具體例中,RII不為C3烴(hydrocarbon)。在一些具體例 中,RII為C1-C2烴或C4-C6烴。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為其中一個氫經移除的烷基。在一些具體例中,RIII及RIV為氫。在一些具體例中,RI為甲基,RII為正丙基,RIII為氫,RIV為氫,及x=1。
舉例來說,Si前驅物可具有式(以更詳細的方式書寫來顯示鍵結):(RI-O-)4-xSi(-RII-NRIIIRIV)x,其中x=1~4,RI可為獨立選擇之烷基,RII可為獨立選擇之烴,及RIII及RIV可為獨立選擇之烷基及/或氫。
根據一些具體例,一些Si前驅物可具有以下通式:(2)Si(ORI)4-x-y-z(RIINRIIIRIV)xHy(OH)z
其中x=1~4,y=0~3,及z=0~3,RI及RII可為獨立選擇之烷基,RII可為獨立選擇之烴,及RIII及RIV可為獨立選擇之烷基及/或氫。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為其中一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(3)LnSi(ORI)4-x-n(RIINRIIIRIV)x
其中n=1~3,x=0~3,RI可為獨立選擇之烷基,RII可為獨立選擇之烴,及RIII及RIV可為獨立選擇之烷基及/或氫,及L是獨立選擇之烷基或鹵素。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為其中一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(4)LnSi(ORI)4-x-y-z-n(RIINRIIIRIV)xHy(OH)z
其中n=0~3,x=1~4,y=0~3,z=0~3,RI可為獨立選擇之烷基,RII可為獨立選擇之烴,及RIII及RIV可為獨立選擇之烷基及/或氫,及L為獨立選擇之烷基或鹵素。在一些具體例中,RII可為不飽和烴,諸如含有一 或多個雙鍵之烴。在一些具體例中,RII可為其中一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(5)(RIO)4-xSi(RII-NH2)x
其中x=1~4,RI可為獨立選擇之烷基,及RII可為獨立選擇之烴。在一些具體例中,RI及RII為C1-C3烷基配體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RI為甲基,RII為正丙基及x=1。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為其中一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(6)(RIO)3Si-RII-NH2
其中,RI可為獨立選擇之烷基,及RII可為獨立選擇之烴。在一些具體例中,RI及RII為C1-C3烷基配體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為其中一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(7)(RIO)4-xSi(-[CH2]n-NH2)x
其中x=1~4,n=1~5,及RI可為獨立選擇之烷基。在一些具體例中,RI為C1-C4烷基配體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RI為甲基,及x=1。
在一些具體例中,矽前驅物不包含鹵素。在一些具體例中,矽前驅物可包含至少一個胺烷基配體。
根據一些具體例,適宜的矽前驅物可包含至少一個通過碳鍵結至矽之配體且包含至少一個連接至碳鏈之NH2基,例如胺烷基配體。根據一些具體例,適宜的矽前驅物可包含至少一個通過碳鍵結至矽之配體且包 含連接至碳鏈之NH2基,例如胺烷基配體,且亦可包含至少一個通過氧原子鍵結至矽且其中一烷基鍵結至氧的配體,例如烷氧化物配體。根據一些具體例,適宜的矽前驅物可包含至少一個通過碳鍵結至矽之配體且包含至少一個NRIIIRIV基,其中RIII及RIV可為連接至碳鏈之獨立選擇之烷基及/或氫,例如胺烷基配體。根據一些具體例,適宜的矽前驅物可包含至少一個通過碳鍵結至矽之配體且在該配體中至少一個氮鍵結至碳。此外,該一個通過碳鍵結至矽且在該配體中至少一個氮鍵結至碳之配體可包含鍵結至氮之氫。根據一些具體例,除了通過碳鍵結至矽之配體外,適宜的矽前驅物亦可包含烷氧基配體,諸如甲氧基、乙氧基、正丙氧基、異丙氧基或第三丁氧基。根據包括一些上述式之一些具體例,適宜的矽前驅物包含通過碳鍵結至矽之碳鏈,且其中存在連接至碳鏈之胺基,諸如烷基胺基或-NH2基,且該碳鏈為僅含有碳及氫之直鏈、分支鏈或環狀C1-C6烴、C2-C6烴或C2-C4烴。在一些具體例中,碳鏈可為不飽和的且包含碳-碳雙鍵。在一些其他具體例中,碳鏈可包含除碳及氫外之原子。
在本發明揭示之用來形成SiOC之PEALD製程中可使用許多不同適宜的Si前驅物。在一些具體例中,適宜的Si前驅物可不包含氮。在一些具體例中,適宜的Si前驅物可包含矽烷。
在一些具體例中,用來形成SiOC之適宜的Si前驅物可包含兩個藉由至少一個烴基連接或鍵結至至少一個烴基的Si原子。在一些具體例中,適宜的Si前驅物可包含兩個藉由至少一個烷基連接或鍵結至至少一個烷基的Si原子。在一些具體例中,適宜的Si前驅物可包含兩個藉由至少一個烷氧基連接或鍵結至至少一個烷氧基的Si原子。在一些具體例中,適宜的Si前驅物可包含兩個藉由至少一個矽烷基連接或鍵結至至少一個矽烷基的Si原子。在一些具體例中,適宜的Si前驅物可包含兩個藉由至少 一個矽烷醚基連接或鍵結至至少一個矽烷醚基的Si原子。在一些具體例中,適宜的Si前驅物可包含至少一個-SH基,其中該-SH可鍵結至烷基鏈或矽原子。在一些具體例中,適宜的Si前驅物可包含至少一個巰基。在一些具體例中,適宜的Si前驅物可包含至少一個-R-SH結構,其中R可為C1-C5烷基。在一些具體例中,適宜的Si前驅物可包含至少一個在烷基鏈上之-SH基及一或多個鍵結至矽原子的烷氧基。
在一些具體例中,適宜的Si前驅物可包含至少一個連接或鍵結至一或多個烷氧基的Si原子。在一些具體例中,適宜的Si前驅物可包含至少一個連接或鍵結至一或多個烷基的Si原子。在一些具體例中,適宜的Si前驅物可包含至少一個連接或鍵結至至少一烷基及一烷氧基的Si原子。
在一些具體例中,至少一些適用於藉由PEALD製程沉積SiOC之Si前驅物可包含具有以下通式的橋連烷氧矽烷(bridged alkoxysilane):(1)(RIIO)3 Si-RI-Si(ORII)3
其中各RI及RII可為獨立選擇之烷基。在一些具體例中,各RI及RII為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據一些具體例,一些Si前驅物可包含具有以下通式之橋連烷氧烷基矽烷:(2)RIII y(ORII)x Si-RI-Si(ORII)x RIII y
其中各RI、RII及RIII可為獨立選擇之烷基,且x+y=3。在一些具體例中,各RI及RII為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RIII可為獨立選擇之C1-C8烷基配體。
根據一些具體例,一些Si前驅物可包含具有以下通式之環狀烷 氧矽烷:(3)(RIIO)2 Si-RI 2-Si(ORII)2
式(3)可替代地藉由以下結構式表示:
Figure 107115198-A0305-02-0032-1
其中各RI及RII可為獨立選擇之烷基。在一些具體例中,各RI及RII為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據一些具體例,一些Si前驅物可包含具有以下通式之環狀烷氧烷基矽烷:(4)RIII y(ORII)x Si-RI 2-Si(ORII)x RIII y
式(4)可替代地藉由以下結構式表示:
Figure 107115198-A0305-02-0032-2
其中各RI、RII及RIII可為獨立選擇之烷基,且x+y=2。在一些具體例中,各RI及RII為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RIII可為獨立選擇之C1-C8烷基配體。
根據一些具體例,一些Si前驅物可包含具有以下通式之直鏈烷氧矽烷:(5)(RIIO)3 Si-(O-Si-RI 2)n-O-Si(ORII)3
其中RI可為獨立選擇之烷基或氫,RII可為獨立選擇之烷基,及n=1~4。在一些具體例中,各RI及RII為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RI可為氫及RII可為獨立選擇之C1-C5烷基配體。
根據一些具體例,一些Si前驅物可包含具有以下通式之直鏈烷氧矽烷:(6)RIII y(ORII)x Si-(-RI-Si)n-Si(ORII)x RIII y
其中各RI、RII及RIII可為獨立選擇之烷基,x+y=2,及n可大於或等於1。在一些具體例中,RI及RII為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RIII可為獨立選擇之C1-C8烷基配體。
根據一些具體例,一些Si前驅物可包含具有以下通式之烷氧矽烷:(7)Si(ORI)4
其中RI可為獨立選擇之烷基。在一些具體例中,RI可為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據一些具體例,一些Si前驅物可包含具有以下通式之烷氧烷基矽烷:(8)Si(ORI)4-xRII x
其中各RI及RII可為獨立選擇之烷基,及x=1-3。在一些具體例中,RI可為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RII可為獨立選擇之C1-C8烷基配體。
根據一些具體例,一些Si前驅物可包含不含氮且具有以下通式之烷氧矽烷:(9)Si(ORI)4-xRII x
其中RI可為獨立選擇之烷基,RII可為任何不含氮之包含碳、氫及/或氧的配體,及x=1~3。在一些具體例中,RI可為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RII可包含,例如,烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基、氫過氧基、硫醇、丙烯酸酯或甲基丙烯酸酯配體。
根據一些具體例,一些Si前驅物可具有以下通式:(10)Si(ORI)4-xRII x
其中x=0~3,RI可為獨立選擇之C1-C7或C1-C5烷基配體,及RII可為由碳及/或氫及/或氧組成之獨立選擇之配體。舉例來說,在一些具體例中,RII可為烷氧烷基。在一些具體例中,RII可為,例如,烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基、氫過氧基。在一些具體例中,例如,RI為甲基,RII為3-甲氧丙基配體,且x為1。
根據一些具體例,一些Si前驅物可具有以下通式:(11)(RIO)4-xSi-(RII-O-RIII)x
其中x=0~3,各RI及RII可為獨立選擇之C1-C7或C1-C5烷基配體,且RIII可為由碳及/或氫及/或氧組成之獨立選擇之配體。舉例來說,在一些具體例中,RIII可為,例如,烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基。在一些具體例中,例如,RI、RII及RIII可各自為獨立選自甲基、乙基、異丙基、正丙基、正丁基、異丁基及第三丁基之基團。
根據一些具體例,一些Si前驅物可具有以下通式: (12)Si(RI)4-x-yRII xRIII y
其中x+y=0~4,RI為具有1至5個碳原子之烷氧化物配體或鹵化物,RII為任何含硫之配體,且RIII是由巰基、硫化物、二硫化物、亞磺醯基、磺醯基、亞磺酸基、磺酸基、硫氰酸酯、異硫氰酸酯或硫代甲醯基官能性中之一者組成。在一些具體例中,RI、RII及RIII可各自獨立地經選擇。在一些具體例中,RI可包含甲氧基配體,RII可包含3-巰丙基,x=1且y=0。換言之,在一些具體例中,一些Si前驅物可包含Si(OCH3)3C3H6SH。在一些具體例中,Si前驅物可包含巰甲基甲基二乙氧矽烷、3-巰丙基甲基二甲氧矽烷及/或3-巰丙基三乙氧矽烷。
在一些具體例中,矽前驅物不包含鹵素。在一些具體例中,矽前驅物不包含氮。在一些具體例中,碳鏈可為不飽和的且包含碳-碳雙鍵。在一些其他具體例中,碳鏈可包含除碳及氫外之原子。
在一些具體例中,矽前驅物可包含雙(三乙氧矽烷基)乙烷(BTESE)。在一些具體例中,矽前驅物可包含3-甲氧丙基三甲氧矽烷(MPTMS或Si(OCH3)3C3H6OCH3)。在一些具體例中,矽前驅物可包含(3-巰丙基)三甲氧矽烷。
在一些具體例中,在ALD階段期間的同時可有多於一種矽前驅物接觸基板表面。在一些具體例中,矽前驅物可包含多於一種文中所述的矽前驅物。在一些具體例中,在第一ALD循環中使用第一矽前驅物及在稍後的ALD循環中使用第二種不同的ALD前驅物。在一些具體例中,可於單一ALD階段期間使用多種矽前驅物,例如為了最佳化沉積膜的某些性質。在一些具體例中,在沉積期間僅一種矽前驅物可接觸基板。在一些具體例中,在沉積製程中可僅存在一種矽前驅物及一種第二反應物或第二反應物之組成物。在一些具體例中,在沉積製程中不存在金屬前驅物。在一 些具體例中,不使用矽前驅物作為矽烷化劑。在一些具體例中,選擇矽前驅物接觸步驟之沉積溫度及/或持續時間,以使得矽前驅物不分解。在一些具體例中,矽前驅物可於矽前驅物接觸步驟期間分解。在一些具體例中,矽前驅物不包含鹵素,諸如氯或氟。
在一些具體例中,第二反應物可包含由同時具有N及H之化合物(諸如NH3及N2H4)、N2/H2之混合物或其他具有N-H鍵之前驅物形成的反應性物種。在一些具體例中,第二反應物可至少部分由N2形成。在一些具體例中,第二反應物可至少部分由H2及N2形成,其中H2及N2是以約100:1至約1:100、約20:1至約1:20、約10:1至約1:10、約5:1至約1:5及/或約2:1至約4:1及在一些情況中1:1之流量比(H2/N2)提供。舉例來說,可以文中描述之一或多個比使用N2及H2兩者來產生用於沉積SiOCN之含氫電漿。
在一些具體例中,第二反應物可自包含少於約1原子%(at%)氮、少於約0.1原子%氮、少於約0.01原子%氮或少於約0.001原子%氮的氣體產生。在一些具體例中,第二反應物不包含N2、NH3或N2H4
金屬氧化物之沉積
如前文所述,在一些具體例中,將金屬氧化物相對於金屬或金屬性表面選擇性地沉積於介電表面上。金屬氧化物可例如文中所述或如美國專利申請案第62/502,118號(其以引用的方式併入本文)中所述來沉積。
在一些具體例中,可藉由如文中所述之製程選擇性地沉積包含除SiOC外之材料的薄膜。舉例來說,在一些具體例中,可藉由不包括氧電漿或經激發氧物種之PEALD製程,基本上如針對前文SiOC及SiOCN所述,但使用不同的第一前驅物,來選擇性地沉積金屬氧化物膜。在此等具體例中,使用不同的金屬前驅物來替代在如文中所述之製程中的矽前驅 物。在一些具體例中,可藉由如文中所述之PEALD製程選擇性地沉積氧化鈦、氧化鈮、氧化鉭、氧化鎢、氧化鋁或其他金屬氧化物薄膜。
在一些具體例中,金屬氧化物膜可包含多於一種金屬氧化物。可藉由相同前驅物或藉由在一或多個沉積循環中提供之兩種或更多種不同金屬前驅物來提供不同的金屬。
在一些具體例中,可如文中所述藉由不包括氧電漿或經激發氧物種之PEALD製程選擇性地沉積包含矽及金屬兩者的氧化物膜。在一些具體例中,選擇性地沉積包含金屬及矽兩者的氧化物。在一些具體例中,氧化物膜可包含金屬氧化物及氧化矽之混合物。在一些具體例中,氧化物膜可包含金屬矽酸鹽。舉例來說,膜可包含氧化矽及一或多種過渡金屬氧化物,諸如ZrO2、HfO2或TiO2、Al2O3、鑭系元素(+Sc+Y)氧化物、Ta2O5或Nb2O5
在一些具體例中,在如文中所述之製程中,連同矽前驅物使用金屬前驅物。在一些具體例中,可在沉積製程中以選定比率提供用來沉積金屬氧化物之沉積循環及用來沉積氧化矽之沉積循環,以選擇性地沉積具有期望組成之膜。
在一些具體例中,選擇性沉積製程可包括單一沉積循環,其包括使基板交替及依序地與第一金屬前驅物、第二矽前驅物及第三電漿反應物接觸。金屬及矽前驅物及第三反應物可是如文中所述用來沉積金屬氧化物及氧化矽。沉積循環可始於提供金屬反應物、提供矽反應物或提供第三反應物。如文中所述,提供各反應物可以吹掃步驟分隔開,在吹掃步驟中將過量的反應物及反應副產物自反應空間移除。在一些具體例中,選擇及/或調整金屬前驅物及矽前驅物之比,以提供具有期望組成的混合金屬氧化物膜。
在一些具體例中,用來藉由文中描述之製程沉積包含金屬之氧化物膜的金屬前驅物可包括揮發性化合物,其包含期望之金屬及氧。表1中提供可用來藉由如文中所述不包括氧電漿或經激發的氧物種之PEALD製程沉積金屬氧化物膜之例示性金屬前驅物的列表。表1用來沉積金屬氧化物薄膜的前驅物。
Figure 107115198-A0305-02-0038-3
在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物可包含下式之揮發性化合物:M(ORI)x-yRII y
其中RI可為獨立選擇之烴基,且其中M為金屬或Ge,例如過渡金屬或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,其中x+y等於金屬原子的氧化態或鍵數,例如3、4、5或6。在一些具體例中,當存在金屬原子的雙鍵結或三鍵結時,當確定x+y之值時,各雙鍵或三鍵可計算二或三次。
在一些具體例中,RII可為包含碳、氫、氮、鹵素及/或氧之任何配體。在一些具體例中,M為選自以下之群的過渡金屬:Ti、V、Cr、Mn、 Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir及Pt。
在一些具體例中,M為選自以下之群的過渡金屬:Cu、Fe、Co、Ni。在一些具體例中,M為選自以下之群的過渡金屬:Au、Pt、Ir、Pd、Os、Ag、Re、Rh及Ru。
在一些具體例中,RI可為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基配體。在一些具體例中,RI可包含氧或氮或另一雜原子。
在一些具體例中,RII可包含,例如,烯基、炔基、環烴、胺、烷基胺、苯基、羰基、醛、酯、醚、羧基、過氧基、氫過氧基、硫醇、丙烯酸酯或甲基丙烯酸酯配體。
在一些具體例中,以上式之配體中之至少一者包含氧。在一些具體例中,M亦可為第1或2族金屬元素。
在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物可包含下式之揮發性化合物:M(ORI)x,
其中RI可為獨立選擇之烷基且其中M為金屬或Ge,例如過渡金屬或Ge、Al、Ga、In、Sn、Pb、Bi、Sb且其中x等於金屬原子的氧化態或鍵數,例如3、4、5或6。
在一些具體例中,當存在金屬原子的雙鍵結或三鍵結時,當確定x之值時,各雙鍵或三鍵可經計算二或三次。
在一些具體例中,RI可為獨立選擇之C1-C5烷基配體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基配體。
在一些具體例中,M為選自以下之群的過渡金屬:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir 及Pt。在一些具體例中,M為選自以下之群的過渡金屬:Cu、Fe、Co、Ni。在一些具體例中,M為選自以下之群的過渡金屬:Au、Pt、Ir、Pd、Os、Ag、Re、Rh及Ru。在一些具體例中,M可為稀土元素,例如Sc、Y、La、Ce或Nd。
在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物可包含至少一個多齒配體(multidentate ligand),諸如雙齒配體(bidentate ligand),例如β-二酮根配體(acac、thd)或另一通過至少一個氧鍵結至金屬原子之多齒/雙齒配體。在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物可包含環狀配體,諸如環戊二烯基配體。
在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物可包含烷氧化物前驅物或烷氧化物配體。在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物包含至少一個金屬-氧鍵。在一些具體例中,用來藉由文中描述之製程沉積金屬氧化物膜的金屬前驅物不包含金屬-氧鍵,但於配體中包含氧。在一些具體例中,金屬前驅物包含金屬或Ge,例如過渡金屬或Ge、Al、Ga、In、Sn、Pb、Bi、Sb。在一些具體例中,金屬前驅物包含第1或2族金屬元素。在一些具體例中,M可為稀土元素,例如Sc、Y、La、Ce或Nd。
在一些具體例中,金屬氧化物膜可根據文中描述之製程沉積於包含光阻或其他有機材料的基板上。在一些具體例中,金屬氧化物膜可沉積於原本會被包括氧電漿之PEALD製程破壞的基板上。
在一些具體例中,將金屬氧化物膜相對於包含鈍化層(諸如有機鈍化層)之第二表面選擇性地沉積於第一表面上。在一些具體例中,金屬氧化物沉積亦可用來移除一些或全部的鈍化層。舉例來說,可將金屬氧化物 膜相對於金屬或金屬性表面選擇性地沉積於第一介電表面上,其中該金屬或金屬性表面可包含鈍化層,諸如有機鈍化層。
在一些具體例中,根據如文中所述之PEALD製程沉積的金屬氧化物膜的抗濕蝕刻性可高於藉由包括氧電漿或經激發氧物種之PEALD製程沉積之類似金屬氧化物膜的抗濕蝕刻性。如文中所述,在一些具體例中,金屬氧化物膜之形成可藉由在PEALD製程中自一範圍(例如如文中針對SiOC之沉積所述之一範圍)選擇電漿功率來控制,以達成期望的階梯覆蓋率及/或WERR。換言之,在一些具體例中,可使用如文中所述用來控制SiOC膜之形成的製程條件來控制金屬氧化物膜之形成。
在一些具體例中,在用來沉積金屬氧化物薄膜之PEALD製程中所使用的第二反應物是與文中針對SiOC之沉積所述的第二反應物相同。在一些具體例中,第二反應物包含於含惰性氣體(諸如Ar)之氣體中產生的電漿。如前文所述,在一些具體例中,第二反應物是於不包含氧之氣體中產生的電漿。在一些具體例中,第二反應物包含於Ar中產生之電漿、於Ar及N2中產生之電漿或於Ar及H2中產生之電漿。在一些具體例中,可選擇第二反應物以控制金屬氧化物膜之特定組分(諸如碳及/或氮)的量。此外,可控制電漿功率以調整膜的組成。
在一些具體例中,藉由不使用氧電漿或其他氧反應物之PEALD製程來沉積含鈦之金屬氧化物。舉例來說,可藉由使用異丙醇鈦(IV)(titanium isopropoxide(IV),TTIP)組合非氧電漿之PEALD製程來沉積氧化鈦膜。在一些具體例中,在PEALD製程中利用TTIP與於Ar中產生之電漿、於包含Ar及氮之氣體中產生之電漿或於包含Ar及氫之氣體中產生之電漿組合。在一些具體例中,藉由PEALD製程來沉積含碳之氧化鈦膜,其中利用諸如TTIP之鈦反應物與於惰性氣體中(諸如於純Ar氣體 中)產生之電漿的組合。可藉由改變電漿功率來調整碳之量。在一些具體例中,藉由PEALD製程使用諸如TTIP之鈦反應物與於包含氮之氣體中(諸如於包含Ar及N2之氣體中)產生之電漿的組合來沉積包含碳及氮之氧化鈦膜(TiO(CN))。
實施例
於SiOC PEALD製程中在200及125℃下使用3-甲氧丙基三甲氧矽烷(MPTMS)及H2/Ar電漿進行實驗。使用Natox、W及Cu作為表面來研究選擇性。施行25、50及200個循環,之後記錄XPS光譜。圖2A和圖2B顯示在兩種溫度下,於W上較於Cu上有更多Si。在200℃下,於100個循環後,於Cu上未偵測到Si。亦可於natox與Cu之間實現此選擇性。
亦在包含Cu及低介電常數表面之基板上將SiOC沉積於包含聚醯亞胺鈍化層之基板上。SiOC是自MPTMS及H2電漿沉積且形成具有低於10原子%之碳濃度的SiOC膜。在67或300瓦之功率下提供電漿持續0.5秒。利用4.7托之壓力。如圖3及4所示,在兩種功率設定下,於低介電常數表面上觀察到SiOC成長,但於Cu表面上則未觀察到。
在其他實驗中,藉由PEALD自MPTMS及H2/Ar電漿在200℃至300℃之沉積溫度下,利用0.1至0.4slpm之H2流量(超過2slpm之Ar共流量)及30至100W之電漿功率來沉積SiOC。將SiOC膜沉積於包含化學氧化物以及TiN及W表面的矽基板上。於氧化矽上,可調整製程條件以產生具有極低k值(<3.5)及極低濕蝕刻速率(於0.7%的dHF中的蝕刻速率小於1nm/min)的SiOC膜。利用0.2slpm的H2流量及70W之功率於約300℃下觀察到最小的k值及濕蝕刻速率。在該等條件下,測得沉積的SiOC層具有約4之k值及1nm/min之濕蝕刻速率。亦觀察到SiOC之沉 積對包括W及TiN在內之許多材料具有選擇性。該製程於500個循環後在W上產生小於1nm之膜,但於SiO2上產生約10.5nm之膜。
如可於下表2中所見,亦觀察到較低溫度增進了SiOC於TiN上之生長。在200℃下利用500個循環於TiN上沉積約6.3nm之SiOC,而在300℃下利用500個循環於TiN上幾乎未看到生長。相對地,在200℃下利用500個循環於SiO2上沉積約10nm之SiOC,而在300℃下則沉積約5.5nm之SiOC。
Figure 107115198-A0305-02-0043-4
在直接電漿PEALD反應器中藉由無氧PEALD製程使用異丙醇鈦(IV)(TTIP)作為鈦前驅物來沉積氧化鈦薄膜。TTIP是提供自於70℃下加熱之來源瓶。TTIP反應物是與三種不同的電漿反應物交替及依序地提供。電漿是於Ar、Ar及N2及Ar及H2中產生。實驗是在200℃之沉積溫度下進行。圖5A顯示所得膜的折射率。在含H2電漿之情況下,折射率相當接近於TiO2之折射率。然而,含N2電漿及純Ar電漿顯現不同的折射率,指示可變的膜組成。圖5B顯示使用三種不同電漿反應物之氧化鈦膜的生長速率。
下表3顯示得自RBS及XPS的組成數據。XPS及RBS皆指示TiO2膜是藉由含H2電漿沉積。未由XRD測量看到結晶結構。指示沉積非晶形TiO2。於0.5% dHF溶液中相對於熱氧化矽(TOX)的濕蝕刻速率比(WERR)是約0.5。此低的WERR使得膜可用於一些圖案化應用。四點探針電阻率測量指示經沉積TiO2膜的極高電阻率。
當使用純Ar電漿作為電漿反應物時,所得膜為富碳TiOC膜。碳含量可藉由調整電漿功率來修改。另外,含N2電漿將氮引入至膜中,從而產生TiOCN膜。
Figure 107115198-A0305-02-0045-5
熟悉技藝人士當明瞭可進行許多及各種修改而不脫離本發明之精神。所描述的特徵、結構、特性及前驅物可以任何適當方式組合。因此,應清楚明瞭本發明之形式僅為說明性而非意欲限制本發明之範疇。所有修改及變化意欲歸屬於如由隨附申請專利範圍所界定之發明範疇內。

Claims (23)

  1. 一種電漿增強型原子層沉積的製程,用於將氧化物選擇性地沉積於基板的介電表面上,所述製程包括:提供基板,所述基板包括第一介電表面及第二金屬表面;以及進行至少一次沉積循環,所述至少一次沉積循環包括使所述基板交替及依序地與第一矽前驅物和第二反應物接觸,所述第一矽前驅物包括氧,所述第二反應物包括來自於包含氫且不包含氧的氣體中產生電漿的反應性物種;其中所述第一矽前驅物吸附於所述基板表面上,且所述第二反應物與經吸附的所述第一矽前驅物反應,進而將氧化物選擇性地形成於與所述第二金屬表面相對的所述第一介電表面上。
  2. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第二反應物亦與所述第二金屬表面反應,以還原所述第二金屬表面上的任何金屬氧化物。
  3. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第二反應物亦與所述第二金屬表面反應,以自所述第二金屬表面移除氧。
  4. 如申請專利範圍第3項所述的電漿增強型原子層沉積的製程,其中自所述第二金屬表面移除氧包括自所述第二金屬表面移除OH基或氧橋。
  5. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第一介電表面包括SiO2
  6. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第一介電表面包括低介電常數(low-k)材料。
  7. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其 中所述第二金屬表面包括Ru、Co、Cu或W。
  8. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第二金屬表面包括TiN。
  9. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述氧化物為SiO2、SiOC或SiOCN。
  10. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述氧化物包括金屬及矽。
  11. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第一矽前驅物包括3-甲氧丙基三甲氧矽烷(MPTMS)。
  12. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述至少一次沉積循環始於使所述基板與所述第二反應物接觸。
  13. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,更包括:在開始所述至少一次沉積循環之前,使所述基板與第三電漿反應物接觸。
  14. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中重複所述至少一次沉積循環二或更多次,以於所述第一介電表面上形成期望厚度的氧化物膜。
  15. 如申請專利範圍第1項所述的電漿增強型原子層沉積的製程,其中所述第二金屬表面包括鈍化層。
  16. 如申請專利範圍第15項所述的電漿增強型原子層沉積的製程,其中所述鈍化層是有機鈍化層。
  17. 如申請專利範圍第16項所述的電漿增強型原子層沉積的製程,其中在第一次所述至少一次沉積循環開始之前,所述有機鈍化層選擇 性地沉積於與所述第一介電表面相對的所述第二金屬表面上。
  18. 如申請專利範圍第15項所述的電漿增強型原子層沉積的製程,其中所述鈍化層是在各沉積循環期間藉由所述第二反應物來蝕刻。
  19. 一種藉由電漿增強型原子層沉積製程將SiOC薄膜選擇性地沉積於基板的介電表面上的方法,所述基板的所述介電表面相對於所述基板的金屬表面,所述方法包括使所述基板交替及依序地與第一反應物和第二反應物接觸,所述第一反應物包括矽及氧,所述第二反應物包括在包含氫且不包含氧之氣體中產生電漿。
  20. 如申請專利範圍第19項所述的方法,其中所述第二反應物是藉由於包含H2及Ar之氣體中產生電漿來形成。
  21. 如申請專利範圍第20項所述的方法,其中所述電漿是使用約30至約200W之功率來產生。
  22. 如申請專利範圍第19項所述的方法,其中所述電漿增強型原子層沉積製程是在約50至約300℃之沉積溫度下進行。
  23. 如申請專利範圍第19項所述的方法,其中所述金屬表面包括Co、Ru、Ni、W、TiN、Cu或Ta。
TW107115198A 2017-05-16 2018-05-04 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法 TWI763839B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762507078P 2017-05-16 2017-05-16
US62/507,078 2017-05-16

Publications (2)

Publication Number Publication Date
TW201900918A TW201900918A (zh) 2019-01-01
TWI763839B true TWI763839B (zh) 2022-05-11

Family

ID=64274551

Family Applications (3)

Application Number Title Priority Date Filing Date
TW112115120A TWI829584B (zh) 2017-05-16 2018-05-04 電漿增強型原子層沉積製程
TW107115198A TWI763839B (zh) 2017-05-16 2018-05-04 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法
TW111112710A TWI803270B (zh) 2017-05-16 2018-05-04 Peald製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112115120A TWI829584B (zh) 2017-05-16 2018-05-04 電漿增強型原子層沉積製程

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111112710A TWI803270B (zh) 2017-05-16 2018-05-04 Peald製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法

Country Status (6)

Country Link
US (2) US11170993B2 (zh)
JP (2) JP7183187B2 (zh)
KR (1) KR20200007823A (zh)
CN (2) CN115233183A (zh)
TW (3) TWI829584B (zh)
WO (1) WO2018213018A1 (zh)

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP2022533699A (ja) * 2019-05-20 2022-07-25 ラム リサーチ コーポレーション SiCxOyのための核形成層としてのSixNy
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110718647A (zh) * 2019-09-25 2020-01-21 武汉华星光电半导体显示技术有限公司 薄膜的制备方法及显示装置的制备方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112951985A (zh) * 2019-12-11 2021-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
EP4241299A1 (en) * 2020-12-01 2023-09-13 Versum Materials US, LLC Selective thermal atomic layer deposition
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240120195A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Dielectric on dielectric selective deposition using aniline passivation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103451619A (zh) * 2012-06-01 2013-12-18 气体产品与化学公司 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
US20150162214A1 (en) * 2013-12-09 2015-06-11 Applied Materials, Inc. Methods Of Selective Layer Deposition
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas

Family Cites Families (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61198732A (ja) * 1985-02-28 1986-09-03 Fujitsu Ltd 酸化膜の選択成長方法
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
CA2082771C (en) * 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
TW465048B (en) * 1999-03-26 2001-11-21 Taiwan Semiconductor Mfg Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
JP4108999B2 (ja) 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
JP2003332426A (ja) 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR101530502B1 (ko) 2002-11-15 2015-06-19 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
KR101210859B1 (ko) 2004-08-18 2012-12-11 다우 코닝 코포레이션 피복 기판 및 이의 제조방법
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
JPWO2007080944A1 (ja) 2006-01-13 2009-06-11 東京エレクトロン株式会社 多孔質膜の成膜方法およびコンピュータ可読記録媒体
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US20100297474A1 (en) 2007-11-06 2010-11-25 Hcf Partners, Lp. Atomic Layer Deposition Process
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP2010041038A (ja) 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
WO2010065505A2 (en) 2008-12-01 2010-06-10 E. I. Du Pont De Nemours And Company Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (ko) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI541377B (zh) * 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) * 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6022274B2 (ja) 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022276B2 (ja) 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9018054B2 (en) * 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
WO2014209390A1 (en) 2013-06-28 2014-12-31 Intel Corporation Selective epitaxially grown iii-v materials based devices
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2922688C (en) 2013-09-20 2018-10-30 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
MY182653A (en) 2013-12-19 2021-01-27 Intel Corp Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN106164332B (zh) 2014-03-27 2019-03-19 英特尔公司 用于光辅助金属原子层沉积(ald)和化学气相沉积(cvd)的前体和工艺设计
KR20160137977A (ko) 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
JP2018512504A (ja) 2015-02-26 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子膜を用いた選択的誘電体堆積のための方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9343297B1 (en) * 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN113936994A (zh) * 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
JP6968701B2 (ja) 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
EP3310788A4 (en) 2015-06-18 2019-02-20 INTEL Corporation INHERENT SELECTIVE PRELIMINARY TO SEPARATE TRANSITION METAL THIN FILMS OF THE SECOND OR THIRD SERIES
JP5957128B2 (ja) 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9793139B2 (en) * 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
CN109844930B (zh) * 2016-10-02 2024-03-08 应用材料公司 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖
US10358719B2 (en) * 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10332747B1 (en) * 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103451619A (zh) * 2012-06-01 2013-12-18 气体产品与化学公司 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
US20150162214A1 (en) * 2013-12-09 2015-06-11 Applied Materials, Inc. Methods Of Selective Layer Deposition
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas

Also Published As

Publication number Publication date
CN115233183A (zh) 2022-10-25
TW201900918A (zh) 2019-01-01
JP2020520126A (ja) 2020-07-02
CN110651064B (zh) 2022-08-16
TW202229635A (zh) 2022-08-01
US20200066512A1 (en) 2020-02-27
TWI829584B (zh) 2024-01-11
WO2018213018A1 (en) 2018-11-22
TWI803270B (zh) 2023-05-21
US11170993B2 (en) 2021-11-09
KR20200007823A (ko) 2020-01-22
JP7183187B2 (ja) 2022-12-05
JP7470173B2 (ja) 2024-04-17
JP2023018059A (ja) 2023-02-07
TW202330993A (zh) 2023-08-01
US20220076949A1 (en) 2022-03-10
CN110651064A (zh) 2020-01-03
US11728164B2 (en) 2023-08-15

Similar Documents

Publication Publication Date Title
TWI763839B (zh) 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法
TWI769248B (zh) 用於控制含氧薄膜的形成的電漿增強型沉積製程
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films
TWI810617B (zh) 形成及沈積碳氧化矽薄膜的方法
JP7135187B2 (ja) SiOCN薄膜の形成
TWI835151B (zh) 在基板上形成碳氧化矽的方法
TW202403076A (zh) 有機材料之選擇性沉積