TWI769248B - 用於控制含氧薄膜的形成的電漿增強型沉積製程 - Google Patents

用於控制含氧薄膜的形成的電漿增強型沉積製程 Download PDF

Info

Publication number
TWI769248B
TWI769248B TW107115247A TW107115247A TWI769248B TW I769248 B TWI769248 B TW I769248B TW 107115247 A TW107115247 A TW 107115247A TW 107115247 A TW107115247 A TW 107115247A TW I769248 B TWI769248 B TW I769248B
Authority
TW
Taiwan
Prior art keywords
sioc
plasma
deposited
substrate
film
Prior art date
Application number
TW107115247A
Other languages
English (en)
Other versions
TW201843734A (zh
Inventor
凌云 賈
維爾傑米 J. 波爾
馬可 圖敏南
仙子 金
歐利斯特 馬迪亞
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201843734A publication Critical patent/TW201843734A/zh
Application granted granted Critical
Publication of TWI769248B publication Critical patent/TWI769248B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明提供用於控制在反應空間中含氧薄膜諸如碳氧化矽(SiOC) 及碳氮氧化矽(SiOCN)薄膜於基板上之形成的方法。所述方法可包括至少一個電漿增強型原子層沉積(PEALD)循環,至少一個PEALD循環包括使基板交替且依序地與包含氧之矽前驅物以及第二反應物接觸,第二反應物包含來自在不包含氧氣之氣體中產生之電漿的反應性物種。在一些具體例中,電漿功率可選自一範圍以達成沉積於三維特徵上之膜的所要階梯覆蓋率或濕式蝕刻速率比率(WERR)。

Description

用於控制含氧薄膜的形成的電漿增強型沉積製程
本發明大體上是有關於半導體裝置製造領域,且更特定而言是有關於用於具有合乎需要之性質之薄膜的受控形成的製程。
對具有相對較低介電常數(K)值及相對較低的基於酸之濕式蝕刻速率之介電材料的需求日益增加。碳氧化矽或碳氮氧化矽(SiOCN)可滿足此等要求中之某些要求。通常,用於SiOC或SiOCN之沉積製程需要氧電漿。另外,相對於另一不同表面諸如包含不同材料或不同定向之表面,在基板諸如半導體工件之一個表面上沉積或形成膜的能力是合乎需要的。舉例而言,選擇性沉積可減少半導體裝置製造中所涉及之步驟之數目。
本申請案是關於藉由電漿增強型原子層沉積(PEALD)在基板上沉積氧化物。在一些具體例中,PEALD製程並不利用氧電漿或其他反應性氧物種。
在一個態樣中,提供用於控制碳氧化矽(SiOC)薄膜在基板之三維特徵上之階梯覆蓋率的方法。SiOC膜可藉由PEALD製程沉積,所述製程包含一或多個沉積循環,在一或多個沉積循環中,基板與包含氧之氣相矽前驅物接觸且隨後與第二電漿反應物接觸。在一些具體例中,第二電漿反應物包含無氧電漿。第二電漿反應物可在650W或小於650W之電漿功率 下產生於反應物氣體中。
在一些具體例中,SiOC薄膜在三維特徵上具有20%或大於20%之階梯覆蓋率。在一些具體例中,階梯覆蓋率是約20%至約1000%。在一些具體例中,三維特徵具有約1至約3之縱橫比(aspect ratio)。
在一些具體例中,矽前驅物包含氧且沉積循環中之其他反應物不包含氧。在一些具體例中,矽前驅物包含至少一個烷氧基。舉例而言,該矽前驅物可包含3-甲氧基丙基三甲氧基矽烷(MPTMS)。
在一些具體例中,該第二反應物包含氫電漿、氫原子、氫自由基或氫離子。
在一些具體例中,形成於三維特徵之垂直表面上的SiOC膜之濕式蝕刻速率對形成於該三維特徵之水平表面上的SiOC膜之濕式蝕刻速率的比率是約0.2至約15。
在一些具體例中,所述方法進一步包含隨後使所沉積SiOC膜曝露於藉由形成於包含H2、N2或O2之氣體中之電漿產生的至少一種反應性物種。此曝露可減小三維結構之垂直表面上的SiOC之濕式蝕刻速率,或減小水平表面上之SiOC膜的厚度同時增加垂直表面上之SiOC膜的厚度。
在一些具體例中,所述方法進一步包含蝕刻所沉積之所述SiOC膜。蝕刻可包含自三維特徵之垂直表面但不自水平表面移除實質上所有的SiOC。
在一些具體例中,提供用於相對於基板上之三維結構之第二垂直表面在該結構之第一水平表面上選擇性地沉積SiOC的方法。所述製程可包含利用包含氧之矽反應物及作為反應物之無氧電漿的PEALD製程。沉積於水平表面上之SiOC的蝕刻速率可小於沉積於垂直表面上之SiOC的蝕刻速率,使得所沉積之所述SiOC的蝕刻自垂直表面移除比自水平表面 更大量的SiOC。在一些具體例中,所有SiOC自垂直表面經移除,但一些SiOC保留在水平表面上。蝕刻可包含使所沉積之所述SiOC曝露於0.5%稀HF中。在其他具體例中,蝕刻可包含使所沉積之所述SiOC曝露於電漿反應物。
在一些具體例中,PEALD製程包含使基板交替且依序地與氣相矽醇鹽前驅物及藉由形成於包含氫氣之氣體中之電漿產生的至少一種反應性物種接觸。
100、101、200、300:製程
120、121、130、131、140、141、150、151、160、161、170、171、220、230、240、250、260、270、280、320、330、340:步驟
圖1A是用於藉由根據一些具體例之電漿增強型原子層沉積(PEALD)製程受控地形成碳氧化矽(SiOC)薄膜的製程流程圖。
圖1B是用於藉由根據一些具體例之PEALD製程受控地形成氧化物薄膜的製程流程圖。
圖2是用於藉由根據一些具體例之PEALD製程受控地形成SiOC薄膜的製程流程圖。
圖3是用於藉由PEALD製程相對於三維特徵之垂直表面在相同三維特徵之水平表面上選擇性地形成SiOC薄膜的製程流程圖。
圖4A、圖4B和圖4C是展示在自200W改變至650W之電漿功率下藉由如本文中所描述且根據一些具體例之PEALD製程沉積的實施例SiOC膜之一系列掃描電子顯微照片。
圖5是展示如本文中所描述且根據一些具體例的在200W及500W之電漿功率下藉由PEALD製程沉積之實施例SiOC膜在具有變化縱橫比之三維結構上的步驟覆蓋率的條形圖。
圖6A是如本文中所描述且根據一些具體例的在200W之電漿功率下藉由PEALD製程沉積於具有約3之縱橫比之三維特徵上的實施例SiOC膜的穿隧電子顯微照片。
圖6B是如本文中所描述且根據一些具體例的在經受濕式蝕刻之後的圖6A之實施例SiOC膜的穿隧電子顯微照片。
圖6C是展示如本文中所描述且根據一些具體例的在200W之電漿功率下藉由PEALD製程沉積於具有約3之縱橫比之三維特徵上的實施例SiOC膜之不同區域的每循環生長率(GPC)及濕式蝕刻速率比率(WERR)的條形圖。
圖7A是如本文中所描述且根據一些具體例的在200W之電漿功率下藉由PEALD製程沉積於具有約1.4之縱橫比之三維特徵上的實施例SiOC膜的穿隧電子顯微照片。
圖7B是如本文中所描述且根據一些具體例的在經受濕式蝕刻之後的圖7A之實施例SiOC膜的穿隧電子顯微照片。
圖8A是如本文中所描述且根據一些具體例的在650W之電漿功率下藉由PEALD製程沉積於具有約1之縱橫比之三維特徵上的實施例SiOC膜的穿隧電子顯微照片。
圖8B是如本文中所描述且根據一些具體例的在經受濕式蝕刻之後的圖8A之實施例SiOC膜的穿隧電子顯微照片。
圖8C是展示如本文中所描述且根據一些具體例的在650W之電漿功率下藉由PEALD製程沉積於具有約1之縱橫比之三維特徵上的實施例SiOC膜之不同區域的每循環生長率(GPC)及濕式蝕刻速率比率(WERR)的條形圖。
圖9A是如本文中所描述且根據一些具體例的在650W之電漿功率下 藉由PEALD製程沉積於具有約3之縱橫比之三維特徵上的實施例SiOC膜的穿隧電子顯微照片。
圖9B是如本文中所描述且根據一些具體例的在經受濕式蝕刻之後的圖9A之實施例SiOC膜的穿隧電子顯微照片。
圖9C是展示如本文中所描述且根據一些具體例的在650W之電漿功率下藉由PEALD製程沉積於具有約3之縱橫比之三維特徵上的實施例SiOC膜之不同區域的每循環生長率(GPC)及濕式蝕刻速率比率(WERR)的條形圖。
圖10A是如本文中所描述且根據一些具體例的藉由PEALD製程沉積於三維特徵上的實施例SiOC膜的掃描電子顯微照片。
圖10B是如本文中所描述且根據一些具體例的在經受濕式蝕刻之後的圖10A之實施例SiOC膜的掃描電子顯微照片。
圖11是如本文中所描述且根據一些具體例的在650W之電漿功率下藉由PEALD製程沉積的實施例SiOC膜的掃描電子顯微照片。
圖12A是展示在使用不同電漿反應物之情況下使用異丙醇鈦(IV)沉積的TiO(CN)膜之折射率(R.I.)的圖表。
圖12B是展示在使用不同電漿反應物之情況下使用異丙醇鈦(IV)沉積的TiO(CN)膜之每循環生長速率的圖表。
在一些具體例中,包含氧之膜,諸如SiOC、SiOCN、TiO2或Al2O3膜的形成可藉由電漿增強型原子層沉積(PEALD)製程來控制,該製程使用包含氧之第一反應物及包含無氧電漿之第二反應物。在一些具體例中,第二反應物包含來自產生於不包含氧氣之氣體中之電漿的物種。
如熟習技藝人士將顯而易見的,碳氧化矽(SiOC)膜例如在積體電路製造中具有廣泛多種應用。更具體而言,展現低蝕刻速率之SiOC膜在半導體工業中與在半導體工業外具有廣泛多種應用。SiOC膜可用作例如蝕刻終止層、犧牲層、低k間隔物、防反射層(ARL)及鈍化層。
根據本發明之一些具體例,提供各種SiOC膜、前驅物及用於沉積該等膜之方法。在一些具體例中,SiOC膜具有相對較低之濕式蝕刻速率,例如在諸如dHF之酸基蝕刻溶液中。
在一些具體例中,SiOC薄膜藉由電漿增強型原子層沉積(PEALD)製程沉積於基板上,該等製程包括矽前驅物及無氧電漿,該矽前驅物包含至少一個烷氧基。在一些具體例中,SiOC薄膜不藉由液相方法沉積。在一些具體例中,SiOC薄膜沉積於三維結構上方,該三維結構諸如在finFET裝置之形成中的鰭片。
在一些具體例中,SiOC薄膜沉積於包含三維結構或特徵之基板上,且該膜之性質中的一或多者,諸如沉積於三維結構之垂直表面上的膜之厚度、沉積於三維結構之水平表面上的膜之厚度、沉積於三維結構之垂直表面上的膜之濕式蝕刻速率(WER)及/或沉積於三維結構之水平表面上的膜之WER,可藉由在如本文中所描述之電漿增強型ALD(PEALD)製程期間選擇適當電漿功率來控制。在一些具體例中,電漿功率經控制以達成諸如三維結構之垂直及水平表面的不同表面上之不同效應。在一些具體例中,SiOC膜沉積於上方之三維特徵的縱橫比可影響電漿功率,該電漿功率可經選擇以達成所要結果,諸如所要厚度、所沉積SiOC膜之一部分的濕式蝕刻速率及/或沉積於不同表面上之膜的部分之厚度及/或蝕刻速率之比率。
在一些具體例中,沉積於三維特徵上之SiOC膜的階梯覆蓋率可 藉由在PEALD製程期間針對具有約1至約10之縱橫比之三維特徵選擇電漿功率來控制。在一些具體例中,電漿功率可經選擇以達成約25%至約1000%或大於1000%之所要階梯覆蓋率。
在一些具體例中,沉積於垂直表面(諸如三維特徵之側壁)上的SiOC膜之蝕刻速率(諸如,WER)對沉積於水平表面諸如三維特徵之頂表面上的SiOC膜之蝕刻速率的比率可藉由選擇適當電漿功率來控制,如本文中所描述。在一些具體例中,蝕刻速率是藉由沉積在三維特徵之不同表面上具有不同蝕刻速率的膜來控制,例如藉由沉積在三維特徵之垂直及水平表面上具有不同蝕刻速率的膜。在一些具體例中,電漿功率可經選擇以達成約0.2至約15之給定所要WER比率(WERR)。
在一些具體例中,所沉積SiOC膜,例如經沉積以達成三維結構上之所要階梯覆蓋率或所要WERR的SiOC膜,可經受諸如電漿處理及/或蝕刻之沉積後處理。
在一些具體例中,沉積後處理包含電漿處理,在該電漿處理中,SiOC膜與電漿反應物接觸持續所要時間段。在一些具體例中,SiOC膜曝露於氫電漿、氧電漿或氮電漿中之一或多者。
在一些具體例中,沉積後電漿處理可能不同地改變第一表面及不同的第二表面上之諸如SiOC膜之氧化物膜的厚度。舉例而言,沉積後電漿處理可減少基板之垂直表面及基板之水平表面上的SiOC膜之厚度,使得沉積於水平表面上之SiOC膜的厚度比沉積於第一表面上之SiOC膜的厚度實質上減少更多。
在一些具體例中,沉積後電漿處理可減小一個表面上之厚度,同時增加不同表面上之膜的厚度。舉例而言,沉積於溝槽上之氧化物膜的沉積後電漿處理可減小溝槽之頂部上的氧化物膜之厚度,同時增加溝槽之側 壁上及/或底部處的膜之厚度。
在一些具體例中,沉積後電漿處理可改良三維結構上之氧化物膜的保形性。在一些具體例中,沉積後電漿處理可增加諸如溝槽之三維結構上的氧化物膜之階梯覆蓋率。在一些具體例中,階梯覆蓋率可自小於1增加至大於1且甚至多達2。舉例而言,諸如溝槽上之SiOC膜的氧化物膜之階梯覆蓋率可藉由曝露於電漿反應物來增加。
在一些具體例中,沉積後處理包含電漿處理,其減小基板上之特徵之第一表面(諸如,溝槽之垂直或側壁表面)上的氧化物膜之WER。在一些具體例中,諸如溝槽之側壁上之SiOC的氧化物之WER相對於在不存在沉積後電漿處理之情況下側壁之WER可減小30%、40%或甚至50%。如此項技術中所已知的,濕式蝕刻速率可在dHF酸中被量測。
在一些具體例中,當第一表面及第二表面在沉積後電漿處理中與電漿反應物接觸時,沉積於第一表面上之氧化物膜的WER比第二表面之WER減小更多。舉例而言,在一些具體例中,三維特徵之第一垂直表面及第二水平表面兩者上的SiOC膜之WER藉由沉積後電漿處理減小,但第一表面上之SiOC膜的WER比第二表面上之SiOC膜的WER實質上減小更多。
在一些具體例中,沉積後電漿處理可包含使諸如三維結構上之SiOC膜的所沉積氧化物膜曝露於在包含H2、O2或N2之氣體中產生的電漿。舉例而言,所沉積氧化物膜可曝露於在O2與Ar之組合或N2與Ar之組合中產生的電漿。在一些具體例中,電漿可使用約10W至約5000W、約100W至約1000W、約300W至800W或約300W至約500W之電漿功率而產生。在一些具體例中,電漿功率是約300W。
在一些具體例中,沉積於三維結構上之氧化物膜曝露於氫電漿, 諸如在Ar與H2氣體之混合物中產生的電漿。在一些具體例中,電漿是在約10W至約5000W、約100W至約1000W、約300至900W、約300W至約500W或約330至850W之電漿功率下產生。
在一些具體例中,可在沉積後電漿處理製程期間循環地提供電漿,其中在電漿脈衝之間沖洗反應室。在一些具體例中,對所沉積SiOC膜進行電漿沉積後處理之1個、2個、5個、10個、20個、30個、40個、50個或大於50個循環。
在沉積後處理(post deposition treatment)包含諸如濕式蝕刻之蝕刻的一些具體例中,沉積後處理可自諸如基板之垂直表面的第一表面移除實質上所有的所沉積SiOC,且可能不自諸如基板之水平表面的第二表面移除實質上所有的所沉積SiOC。
在沉積後處理包含蝕刻(諸如,包含反應性物種之蝕刻)的一些具體例中,沉積後處理可自諸如基板之水平表面的第一表面移除實質上所有的所沉積SiOC,且可能不自諸如基板之垂直表面的第二表面移除實質上所有的所沉積SiOC。
為方便及簡單起見,碳氧化矽膜之化學式在本文中一般被稱作SiOC。如本文中所使用,SiOC並不意欲限制、限定或定義鍵結或化學狀態,例如Si、O、C及/或膜中之任何其他元素中之任一者的氧化態。另外,在一些具體例中,除Si、O及/或C外,SiOC薄膜亦可包含一或多種元素,諸如S及/或N。亦即,在一些具體例中,SiOC膜可包含例如碳氮氧化矽(SiOCN)或碳硫氧化矽(SiOCS)。在一些具體例中,SiOC膜可包含Si-C鍵及/或Si-O鍵。在一些具體例中,SiOC膜可包含Si-C鍵及Si-O鍵且可能不包含Si-N鍵。然而,在一些具體例中,SiOC膜可包含Si-C鍵、Si-O鍵及/或Si-N鍵。在一些具體例中,除Si-C及/或Si-O鍵外,SiOC膜亦可 包含Si-S鍵。在一些具體例中,SiOC膜可包含比Si-C鍵多的Si-O鍵,例如,Si-O鍵對Si-C鍵之比率可是約1:1至約10:1。在一些具體例中,SiOC可包含以原子計約0%至約40%碳。在一些具體例中,SiOC可包含以原子計約0.1%至約40%、約0.5%至約30%、約1%至約30%或約5%至約20%碳。在一些具體例中,SiOC膜可包含以原子計約0%至約70%氧。在一些具體例中,SiOC可包含以原子計約10%至約70%、約15%至約50%或約20%至約40%氧。在一些具體例中,SiOC膜可包含以原子計約0%至約50%矽。在一些具體例中,SiOC可包含以原子計約10%至約50%、約15%至約40%或約20%至約35%矽。在一些具體例中,SiOC可包含以原子計約0.1%至約40%、約0.5%至約30%、約1%至約30%或約5%至約20%硫。在一些具體例中,SiOC膜可能不包含氮。在一些其他具體例中,SiOC膜可包含以原子計(at%)約0%至約10%氮。
ALD型製程是基於受控制的、一般自限性表面反應。通常藉由使基板交替且依序地與反應物接觸來避免氣相反應。氣相反應物例如藉由在反應物脈衝之間移除過量的反應物及/或反應物副產物而在反應室中彼此分離。反應物可藉助於沖洗氣體及/或真空而自基板表面附近移除。在一些具體例中,過量的反應物及/或反應物副產物是藉由例如利用惰性氣體沖洗而自反應空間移除。
在一些具體例中,合適基板可包含晶圓,諸如半導體晶圓,例如矽晶圓。在一些具體例中,基板可包含直徑等於或大於約150mm、等於或大於200mm、等於或大於300mm或等於或大於450mm之晶圓。
在一些具體例中,電漿增強型ALD(PEALD)製程用以沉積含氧膜,諸如氧化物膜、金屬氧化物膜及/或SiOC膜。在一些具體例中,如本文中所描述之PEALD製程不使用氧電漿。在一些具體例中,如本文中所 描述之PEALD製程使用無氧電漿。在一些具體例中,如本文中所描述之PEALD製程不包括包含氧電漿之反應物。在一些具體例中,如本文中所描述之PEALD製程可使用氫電漿。在一些具體例中,如本文中所描述之PEALD製程可包括包含氫電漿之反應物。
簡言之,基板或工件置放於反應室中且經受交替重複的表面反應。在一些具體例中,薄SiOC膜是藉由重複自限性ALD循環而形成。在一些具體例中,為形成SiOC膜,每一ALD循環包含至少兩個相異階段。接觸反應物或前驅物及自基板移除反應物或前驅物可被視為一階段。在第一階段中,包含矽之第一氣相反應物或前驅物接觸基板且在基板表面上形成不超過約一個單層。此反應物在本文中亦被稱作「矽前驅物」、「含矽前驅物」或「矽反應物」,且可是例如矽醇鹽化合物,諸如雙(三乙氧基矽烷基)乙烷(BTESE)或3-甲氧基丙基三甲氧基矽烷(MPTMS)。在一些具體例中,自基板表面附近移除過量的第一氣相反應物及任何反應副產物。第一氣相反應物及任何反應副產物可藉助於沖洗氣體及/或真空而自基板表面附近移除。在一些具體例中,過量的反應物及/或反應物副產物是藉由例如利用惰性氣體沖洗而自反應空間移除。在一些具體例中,可移除基板以便促進例如藉由將基板移動至不同反應室而移除反應物及/或反應物副產物。
在第二階段中,包含反應性物種之第二反應物接觸基板且可將所吸附之矽物種轉化成SiOC。在一些具體例中,第二反應物包含氫前驅物。在一些具體例中,反應性物種包含受激發物種。在一些具體例中,第二反應物包含來自在不包含氧氣之氣體中產生之電漿的物種。在一些具體例中,第二反應物包含來自無氧電漿之物種。在一些具體例中,第二反應物包含來自含氫電漿之物種。在一些具體例中,第二反應物包含氫自由基、 氫原子及/或氫電漿。第二反應物可包含並非氫前驅物之其他物種。在一些具體例中,第二反應物可包含來自諸如He、Ne、Ar、Kr或Xe中之一或多者的惰性氣體的物種,例如作為自由基、呈電漿形式或呈元素形式。來自惰性氣體之此等反應性物種未必貢獻材料給所沉積膜,但在一些情況下可促進膜生長以及幫助電漿的形成及點火。在一些具體例中,自惰性氣體產生之反應性物種可影響對下伏基板之任何損壞的量或程度。熟習技藝人士將能夠選擇適合於特定應用之一或多種惰性氣體。在一些具體例中,用以形成電漿之氣體可在整個沉積製程中連續流動,但僅間歇地活化。在一些具體例中,用以形成電漿之氣體不包含氧氣。在一些具體例中,所吸附之矽前驅物不與由來自氧氣之電漿產生的反應性物種接觸。在一些具體例中,所吸附之基板不與反應性氧物種接觸。
在一些具體例中,第二反應物包含在不包含氧氣之氣體中產生的反應性物種。舉例而言,在一些具體例中,第二反應物可包含在不包含氧氣之氣體中產生的電漿。在一些具體例中,第二反應物可在氣體中產生,該氣體包含小於約50原子%(at%)氧氣、小於約30at%氧氣、小於約10at%氧氣、小於約5at%氧氣、小於約1at%氧氣、小於約0.1at%氧氣、小於約0.01at%氧氣或小於約0.001at%氧氣。
在一些具體例中,用以形成電漿之氣體不包含氮氣。在一些具體例中,所吸附之矽前驅物不與由來自氮氣之電漿產生的反應性物種接觸。在一些具體例中,包含反應性物種之第二反應物是在不包含氮氣之氣體中產生。舉例而言,在一些具體例中,第二反應物可包含在不包含氮氣之氣體中產生的電漿。然而,在一些具體例中,用以形成電漿之氣體可包含氮氣。在一些其他具體例中,第二反應物可包含氮自由基、氮原子及/或氮電漿。在一些具體例中,第二反應物可在氣體中產生,該氣體包含小於約25 原子%(at%)氮氣、小於約20at%氮氣、小於約15at%氮氣、小於約10at%氮氣、小於約5at%氮氣、小於約1at%氮氣、小於約0.1at%氮氣、小於約0.01at%氮氣或小於約0.001at%氮氣。在一些具體例中,第二反應物可在包含氫氣及氮氣之氣體中產生,例如,第二反應物可包含H2及N2。在一些具體例中,第二反應物可在氣體中產生,該氣體具有小於約20%、小於約10%或小於約5%的N2對H2之比率(N2/H2)。
在一些具體例中,用以形成電漿之氣體不包含氮氣或氧氣。在一些具體例中,所吸附之矽前驅物不與由來自包含氮氣或氧氣之氣體之電漿產生的反應性物種接觸。在一些具體例中,包含反應性物種之第二反應物是在不包含氮氣或氧氣之氣體中產生。舉例而言,在一些具體例中,第二反應物可包含在不包含氮氣或氧氣之氣體中產生的電漿。
在一些具體例中,自基板表面附近移除過量的第二反應物及任何反應副產物。第二反應物及任何反應副產物可藉助於沖洗氣體及/或真空而自基板表面附近移除。在一些具體例中,過量的反應物及/或反應物副產物是藉由例如利用惰性氣體沖洗而自反應空間移除。在一些具體例中,可移動基板以便例如藉由將基板移動至不同反應室來促進移除反應物及/或反應物副產物。
可視需要添加額外階段且可移除階段來調整最終膜之組成。
可藉助於諸如Ar或He之載氣提供反應物中的一或多種。在一些具體例中,矽前驅物及第二反應物是藉助載氣來提供。
在一些具體例中,兩個階段可重疊或經組合。舉例而言,矽前驅物及第二反應物可在部分或完全重疊的階段中同時接觸基板。此外,儘管被稱作第一及第二階段以及第一及第二反應物,但該等階段之次序可改變,且ALD循環可以任一階段開始。亦即,除非另外指定,否則反應物可 以任何次序接觸基板,且製程可以任一反應物開始。
如下文更詳細地論述,在用於沉積SiOC膜之一些具體例中,一或多個沉積循環以使基板與矽前驅物接著與第二前驅物接觸開始。在其他具體例中,沉積可以使基板與第二前驅物且接著與矽前驅物接觸開始。
在一些具體例中,將需要沉積之基板諸如半導體工件載入至反應空間或反應器中。反應器可為於其中進行積體電路形成中之各種不同製程之群集工具的一部分。在一些具體例中,利用流動型反應器。在一些具體例中,利用噴淋頭型反應器。在一些具體例中,利用空間分割反應器。在一些具體例中,使用能夠進行大量製造的單晶圓ALD反應器。在其他具體例中,使用包含多個基板的批式反應器。對於使用批式ALD反應器之具體例,基板之數目在10至200之範圍內,在50至150之範圍內或在100至130之範圍內。
可使用的合適反應器之實例包括市售設備,諸如可購自ASM America,Inc.(亞利桑那州鳳凰城(Phoenix,Arizona))及ASM Europe B.V.(荷蘭阿爾梅勒(Almere,Netherlands))之F-120®反應器、F-450®反應器、Pulsar®反應器-諸如Pulsar®2000及Pulsar® 3000-EmerALD®反應器及Advance® 400系列反應器。其他市售反應器包括來自ASM Japan K.K(日本東京)商品名為Eagle® XP及XP8之彼等反應器。
在一些具體例中,若需要,可預處理工件之經暴露表面以提供與ALD製程之第一階段反應的反應性部位。在一些具體例中,不需要個別的預處理步驟。在一些具體例中,預處理基板以提供所要表面終止。在一些具體例中,利用電漿預處理基板。
在反應物接觸階段之間,自基板附近且尤其自基板表面移除過量的反應物及反應副產物(若存在)。在一些具體例中,過量的反應物及反應 副產物(若存在)是藉由例如在反應物接觸階段之間沖洗反應室,諸如藉由利用惰性氣體沖洗而自基板表面移除。每一反應物之流動速率及接觸時間是可調的,正如移除步驟一樣,從而允許控制膜之品質及各種性質。
如上文所提及,在一些具體例中,在每一沉積循環期間或在整個ALD製程期間,將氣體連續地提供至反應室,且在反應室中或在反應室上游,藉由在氣體中產生電漿來提供反應性物種。在一些具體例中,該氣體不包含氮氣。在一些具體例中,該氣體可包含惰性氣體,諸如氦氣或氬氣。在一些具體例中,該氣體是氦氣。在一些具體例中,該氣體是氬氣。流動氣體亦可充當第一反應物及/或第二反應物(或反應性物種)之沖洗氣體。舉例而言,流動氬氣可充當第一矽前驅物之沖洗氣體,且亦充當第二反應物(作為反應性物種之來源)。在一些具體例中,氬氣或氦氣可充當第一前驅物之沖洗氣體以及用於將矽前驅物轉化成SiOC膜之受激發物種的來源。在一些具體例中,產生電漿所在之氣體不包含氮氣,且所吸附之矽前驅物不與由來自氮氣之電漿產生的反應性物種接觸。在一些具體例中,產生電漿所在之氣體不包含氧氣,且所吸附之矽前驅物不與由來自氧氣之電漿產生的反應性物種接觸。在一些具體例中,產生電漿所在之氣體不包含氧氣或氮氣,且所吸附之矽前驅物不與由來自氧氣或氮氣之電漿產生的反應性物種接觸。
重複循環,直至獲得具有所要厚度及組成之膜。在一些具體例中,諸如前驅物流動速率、接觸時間、移除時間之沉積參數及/或反應物本身可在ALD製程期間在一或多個沉積循環中改變,以便獲得具有所要特性之膜。
在一些具體例中,基板之表面與反應物接觸。在一些具體例中,將反應物之脈衝提供至含有基板之反應空間。術語「脈衝(pulse)」可理解 為包含將反應物饋入至反應室中持續預定時間量。術語「脈衝」並不限定脈衝之長度或持續時間,且脈衝可為任何時間長度。在一些具體例中,將基板移動至含有反應物之反應空間。在一些具體例中,隨後將基板自含有第一反應物之反應空間移動至含有第二反應物之不同的第二反應空間。
在一些具體例中,使基板首先與矽反應物接觸。在初始表面終止之後,若必要或需要,則使基板與第一矽反應物接觸。在一些具體例中,將第一矽反應物脈衝供應至工件。根據一些具體例,包含載氣流及揮發性矽物種(諸如,矽醇鹽化合物,例如BTESE或MPTMS)之第一反應物脈衝與所關注的工件表面反應。因此,矽反應物吸附在此等工件表面上。第一反應物脈衝利用矽反應物種使工件表面自飽和,使得第一反應物脈衝之任何過量的組份不會進一步與由此製程形成之分子層反應。
第一矽反應物脈衝可以氣態形式供應。出於本描述之目的,若物種在製程條件下呈現足夠的蒸氣壓來將該等物種以足以使曝露表面飽和之濃度輸送至工件,則將矽前驅體氣體視為「揮發性」。
在一些具體例中,矽反應物與表面接觸約0.05秒至約5.0秒、約0.1秒至約3秒或約0.2秒至約1.0秒。最佳接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。
在足以使約一分子層吸附於基板表面上之時間後,自基板表面移除過量的第一矽反應物及反應副產物(若存在)。在一些具體例中,移除過量的反應物及反應副產物(若存在)可包含沖洗反應室。在一些具體例中,反應室可藉由停止第一反應物之流動,同時使載氣或沖洗氣體繼續流動足夠的時間,以使過量的反應物及反應副產物(若存在)自反應空間擴散或排空來沖洗。在一些具體例中,過量的第一前驅物是藉助於在整個ALD循環中流動之惰性氣體(諸如,氦氣或氬氣)來沖洗。在一些具體例中,可將基 板自含有第一反應物之反應空間移動至不同的第二反應空間。在一些具體例中,將第一反應物移除約0.1秒至約10秒、約0.3秒至約5秒或約0.3秒至約1秒。可將矽反應物之接觸及移除視為ALD循環之第一階段或矽階段。
在第二階段中,將包含諸如氫電漿及/或無氧電漿之反應性物種的第二反應物提供至工件。氫電漿可藉由在反應室中或在反應室上游例如藉由使氫氣(H2)流經遠端電漿產生器而在氫氣中產生電漿來形成。
在一些具體例中,電漿是在流動的H2氣體中產生。在一些具體例中,在將電漿點火或形成氫原子或自由基之前將H2提供至反應室。在一些具體例中,將H2連續地提供至反應室,且在需要時產生或供應含氫電漿、氫原子或氫自由基。
通常,例如包含氫電漿之第二反應物與基板接觸約0.1秒至約10秒。在一些具體例中,諸如含氫電漿之第二反應物與基板接觸約0.1秒至約10秒、約0.5秒至約5秒或0.5秒至約2.0秒。然而,取決於反應器類型、基板類型及其表面積,第二反應物接觸時間可甚至高於約10秒。在一些具體例中,接觸時間可在若干分鐘左右。最佳接觸時間可由熟悉技藝人士基於特定情勢輕易地決定。
在一些具體例中,第二反應物是在兩個或多於兩個相異脈衝中提供,而不在兩個或多於兩個脈衝中之任兩者之間引入另一反應物。舉例而言,在一些具體例中,諸如含氫電漿之電漿是在兩個或多於兩個依序脈衝中提供,而不在依序脈衝之間引入Si前驅物。在一些具體例中,在提供電漿期間,藉由以下步驟產生兩個或多於兩個依序電漿脈衝:提供電漿放電持續第一時間段;熄滅電漿放電持續第二時間段,例如約0.1秒至約10秒、約0.5秒至約5秒或約1.0秒至約4.0秒;以及再次激發電漿放電持續第三 時間段,隨後引入另一前驅物或移除步驟,諸如隨後引入Si前驅物或沖洗步驟。額外電漿脈衝可以相同方式引入。在一些具體例中,在該等脈衝中之每一者中,電漿點火持續相等時間段。
在一些具體例中,例如含氫電漿之電漿可藉由施加在一些具體例中約5W至約5000W、10W至約2000W、約50W至約1000W或約200W至約800W之RF功率來產生。在一些具體例中,RF功率密度可是約0.001W/cm2至約10W/cm2、約0.01W/cm2至約5W/cm2、約0.02W/cm2至約2.0W/cm2或約0.05W/cm2至約1.5W/cm2。可將RF功率施加至在電漿接觸時間期間流動、連續流經反應室及/或流經遠端電漿產生器之第二反應物。因此,在一些具體例中,就地產生電漿,而在其他具體例中,在遠端產生電漿。在一些具體例中,利用噴淋頭反應器且在晶座(基板位於其頂部上)與噴淋頭板之間產生電漿。在一些具體例中,晶座與噴淋頭板之間的間隙是約0.05cm至約50cm、約0.1cm至約20cm、約0.5cm至約5cm或約0.8cm至約3.0cm。如本文中所描述,在一些具體例中,電漿功率可例如選自給定電漿功率範圍,以便達成所沉積膜之所要階梯覆蓋率、蝕刻速率或WERR。
在足以使先前所吸附的矽物種之分子層完全飽和且與電漿脈衝反應的時間段之後,自基板表面移除任何過量的反應物及反應副產物。
在一些具體例中,移除過量的反應物及反應副產物(若存在)可包含沖洗反應室。在一些具體例中,反應室可藉由停止第二反應物之流動,同時使載氣或沖洗氣體繼續流動足夠的時間,以使過量的反應物及反應副產物(若存在)自反應空間擴散或排空來沖洗。在一些具體例中,過量的第二前驅物是藉助於在整個ALD循環中流動之惰性氣體(諸如,氦氣或氬氣)來沖洗。在一些具體例中,可將基板自含有第二反應物之反應空間移動至 不同的反應空間。在一些具體例中,移除可持續約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。反應性物種接觸及移除是表示SiOC原子層沉積循環中之第二反應性物種階段。
兩個階段共同表示一個ALD循環,該循環經重複以形成具有所要厚度之SiOC薄膜。雖然在本文中提及ALD循環時一般以矽階段開始,但預期在其他具體例中,該循環可以反應性物種階段開始。熟習技藝人士將認識到,第一前驅物階段一般與前一循環中之最後階段留下的終止物反應。因此,雖然若反應性物種階段是第一ALD循環中之第一階段,則先前可能沒有反應物吸附於基板表面上或存在於反應空間中,但在後續循環中,反應性物種階段將有效地跟隨矽階段。在一些具體例中,一或多個不同的ALD循環提供於沉積製程中。
根據本發明之一些具體例,PEALD反應可在以下範圍內之溫度下執行:約25℃至約700℃、約50℃至約600℃、約100℃至約450℃或約200℃至約400℃。在一些具體例中,最佳反應器溫度可受最大允許熱預算限制。因此,在一些具體例中,反應溫度是約100℃至約300℃。在一些應用中,最高溫度是約200℃,且因此,PEALD製程在該反應溫度下進行。
上面沉積有薄膜之基板可包含各種類型之材料。在一些具體例中,基板可包含積體電路工件。在一些具體例中,基板可包含矽。在一些具體例中,基板可包含氧化矽,例如熱氧化物。在一些具體例中,基板可包含高k介電材料。在一些具體例中,基板可包含碳。舉例而言,基板可包含非晶碳層、石墨烯及/或碳奈米管。
在一些具體例中,基板可包含金屬,包括但不限於W、Cu、Ni、Co及/或Al。在一些具體例中,基板可包含金屬氮化物,包括但不限於TiN 及/或TaN。在一些具體例中,基板可包含金屬碳化物,包括但不限於TiC及/或TaC。在一些具體例中,基板可包含金屬硫族化物,包括但不限於MoS2、Sb2Te3及/或GeTe。在一些具體例中,基板可包含將藉由曝露於氧電漿製程而不藉由如本文中所描述之PEALD製程而氧化的材料。
在一些具體例中,用於本文中所描述之PEALD製程中的基板可包含有機材料。舉例而言,基板可包含有機材料,諸如塑膠、聚合物及/或光阻。在基板包含有機材料之一些具體例中,PEALD製程之反應溫度可低於約200℃。在一些具體例中,反應溫度可低於約150℃、低於約100℃、低於約75℃或低於約50℃。
在基板包含有機材料之一些具體例中,最高製程溫度可低至100℃。在基板包含有機材料之一些具體例中,不使用自氧氣產生之電漿可允許SiOC薄膜沉積於一有機材料上,該有機材料在包括自氧氣產生之電漿的沉積製程中反而可能不降解。
根據本發明之一些具體例,在處理期間反應室之壓力維持在約0.01托至約50托或約0.1托至約10托。在一些具體例中,反應室之壓力大於約6托或約20托。在一些具體例中,SiOC沉積製程可在約20托至約500托、約20托至約50托或約20托至約30托之壓力下執行。
在一些具體例中,SiOC沉積製程可包含複數個沉積循環,其中至少一個沉積循環是在高壓狀態下執行。舉例而言,PEALD製程之沉積循環可包含在高壓下使基板交替且依序地與矽前驅物及第二反應物接觸。在一些具體例中,PEALD製程之一或多個沉積循環可在約6托至約500托、約6托至約50托或約6托至約100托之製程壓力下執行。在一些具體例中,一或多個沉積循環可在大於約20托之製程壓力下執行,包括約20托至約500托、約30托至約500托、約40托至約500托或約50托至約500 托。在一些具體例中,一或多個沉積循環可在約20托至約30托、約20托至約100托、約30托至約100托、約40托至約100托或約50托至約100托之製程壓力下執行。
SiOC膜之受控形成
如上文所提及且在下文更詳細地論述,在一些具體例中,SiOC薄膜可藉由電漿增強型原子層沉積(PEALD)製程在反應空間中沉積於基板上。根據一些具體例,SiOC薄膜是使用PEALD製程沉積於具有三維特徵(諸如,在FinFET應用中)之基板上。在SiOC薄膜沉積於三維特徵上之一些具體例中,諸如特徵之不同表面上的所沉積膜之階梯覆蓋率及/或WERR的性質可藉由自給定電漿功率範圍中選擇適當電漿功率來控制。在一些具體例中,所沉積膜之諸如厚度或WER的性質可控制為在諸如特徵之垂直及水平表面的不同表面上不同。在一些具體例中,如本文中所描述之PEALD製程可用於多種應用中。舉例而言,如本文中所描述之PEALD製程可用於形成硬式遮罩層、犧牲層、保護層或低k間隔物。如本文中所描述之PEALD製程可用於例如記憶體裝置應用中。
在一些具體例中,SiOC薄膜可藉由如本文中所描述之不包括氧電漿的PEALD製程而沉積於基板上,該基板無法耐受O電漿而不損壞,例如包含有機及/或光阻材料之基板。
參看圖1A且根據一些具體例,在反應空間中於包含三維特徵之基板上形成SiOC薄膜是藉由包含至少一個循環之PEALD沉積製程100控制,該至少一個循環包含:在步驟120處,使基板與包含氧之氣相含矽前驅物接觸,使得矽物種吸附至基板之表面上;在步驟130處,自基板表面移除過量的含矽前驅物及反應副產物 (若存在);在步驟140處,自電漿功率範圍中選擇電漿功率以達成待沉積之SiOC膜的所要階梯覆蓋率及/或WERR;在步驟150處,使基板與包含藉由在選定電漿功率下產生於不包含氧氣之氣體中之電漿產生的反應性物種之第二反應物接觸,藉此將所吸附之矽物種轉化成SiOC,該反應性物種包含氫但不包含氧;在步驟160處,自基板表面移除過量的第二反應物及反應副產物(若存在);及視情況在步驟170處重複接觸及移除步驟以在基板之三維特徵上形成具有所要厚度、組成、階梯覆蓋率及/或WERR的SiOC薄膜。
在一些具體例中,步驟140可包含針對具有約1至約3之縱橫比的三維特徵自電漿功率範圍(例如,約50W至約1000W之範圍)中選擇適當電漿功率以達成所要階梯覆蓋率及/或WERR。在一些具體例中,達成所要性質所需之電漿功率可在沉積製程之前被算出。亦即,在一些具體例中,電漿功率範圍對應於待沉積膜之預先確定之材料性質的已知範圍。在一些具體例中,三維特徵之縱橫比可影響選定電漿功率。舉例而言,可選擇較高電漿功率以達成相比具有相對較低縱橫比之三維特徵具有高縱橫比之三維特徵上的所要階梯覆蓋率。在一些具體例中,可選擇較高或較大電漿功率以達成在給定三維結構上具有較高所要階梯覆蓋率之SiOC膜。在一些具體例中,可選擇較高電漿功率以達成相比藉由使用較低電漿功率之實質上類似之沉積製程而沉積的實質上類似膜具有較低WERR的SiOC膜。如本文中所使用,濕式蝕刻速率比率(WERR)是指沉積於諸如側壁之垂直表面上的材料之濕式蝕刻速率對沉積於諸如三維特徵之頂表面之水平表面上的材料之濕式蝕刻速率的比率。
在一些具體例中,在使用前驅物及/或反應物之相同組合的情況下,選擇適當電漿功率可達成低於、處於或約100%之所要階梯覆蓋率。在一些具體例中,可選擇電漿功率,使得相對於諸如三維特徵之水平表面的第二表面,在諸如三維特徵之垂直表面的第一表面上沉積製程是選擇性的。
在一些具體例中,步驟150可包含在使基板與第二反應物接觸之前在遠端產生或形成電漿或反應性物種。
根據一些具體例,在反應空間中於包含三維特徵之基板上形成SiOC薄膜是藉由包含多個SiOC沉積循環之ALD型沉積製程控制,每一SiOC沉積循環包含:使基板與包含氧之氣相矽反應物接觸,使得矽化合物吸附於基板表面上;使基板曝露於沖洗氣體及/或真空;自電漿功率範圍中選擇電漿功率以達成待沉積之SiOC膜的所要階梯覆蓋率及/或WERR;使基板與藉由形成在選定電漿功率下產生於包含氫氣之氣體中的電漿而形成的反應性物種接觸;及使基板曝露於沖洗氣體及/或真空;視情況重複接觸及曝露步驟直至獲得具有所要厚度、組成、階梯覆蓋率及/或WERR之SiOC薄膜。
在一些具體例中,使基板曝露於沖洗氣體及/或真空步驟可包含使惰性載氣繼續流動,同時使前驅物或反應物停止流動。在一些具體例中,使基板曝露於沖洗氣體及/或真空步驟可包含使前驅物及載氣停止流動至反應室中及例如藉由真空泵抽空反應室。在一些具體例中,使基板曝露於 沖洗氣體及/或真空步驟可包含將基板自第一反應室移動至含有沖洗氣體的不同的第二反應室。在一些具體例中,使基板曝露於沖洗氣體及/或真空步驟可包含將基板自第一反應室移動至在真空下的不同的第二反應室。在一些具體例中,反應性物種可能不包含氮。
在一些具體例中,自電漿功率範圍中選擇適當電漿功率以達成所要階梯覆蓋率及/或WERR可包含例如針對具有約1至約3之縱橫比的三維特徵自約50W至約1000W之範圍中選擇電漿功率。在一些具體例中,三維特徵之縱橫比可影響選定電漿功率。舉例而言,相比具有相對較低縱橫比之三維特徵,具有高縱橫比之三維特徵可需要選擇較高電漿功率以達成所要階梯覆蓋率。在一些具體例中,可選擇較高或較大電漿功率以達成具有較高所要階梯覆蓋率之SiOC膜。在一些具體例中,可選擇較高電漿功率以達成具有較低WERR之SiOC膜。在一些具體例中,電漿功率可選自約200W至約650W或約200W至約500W之範圍。在一些具體例中,電漿功率可選自約650W或小於650W、500W或小於500W或200W或小於200W之範圍。
在一些具體例中,在反應空間中於包含三維特徵之基板上形成SiOC薄膜是藉由包含至少一個循環之PEALD沉積製程控制,該至少一個循環包含:使基板與包含MPTMS之氣相含矽前驅物接觸,使得矽物種吸附至基板之表面上;自基板表面移除過量的含矽前驅物及反應副產物(若存在);自電漿功率範圍中選擇電漿功率以達成待沉積之SiOC膜的所要階梯覆蓋率及/或WERR;使基板與第二反應物接觸,該第二反應物包含藉由來自包含H2 及Ar之氣體之電漿產生的反應性物種,該電漿藉由選定電漿功率產生,藉此將所吸附之矽物種轉化成SiOC;自基板表面移除過量的第二反應物及反應副產物(若存在);及視情況重複接觸及移除步驟以在基板之三維特徵上形成具有所要厚度、組成、階梯覆蓋率及/或WERR的SiOC薄膜。
在某些具體例中,SiOC薄膜是藉由包含多個SiOC沉積循環之ALD型製程形成於基板上,每一SiOC沉積循環包含:使基板交替且依序地與包含氧之第一氣相矽前驅物以及第二反應物接觸,該第二反應物包含藉由在選定電漿功率下在氣體中形成電漿而產生的反應性物種。在一些具體例中,電漿功率可選自電漿功率範圍以便達成待沉積之SiOC膜中的所要階梯覆蓋率及/或WERR。
在一些具體例中,PEALD製程是在約100℃至約650℃、約100℃至約550℃、約100℃至約450℃、約200℃至約600℃之間的溫度下或在約200℃至約400℃下執行。在一些具體例中,溫度是約300℃。在一些具體例中,溫度是約200℃。在例如基板包含諸如有機光阻之有機材料的一些具體例中,PEALD製程可在低於約100℃之溫度下執行。在一些具體例中,PEALD製程是在低於約75℃或低於約50℃之溫度下執行。
在一些具體例中,電漿可藉由將選定電漿功率(例如,選定RF功率)施加至氣體而產生。可施加RF功率以藉此產生反應性物種。在一些具體例中,可將RF功率施加至連續地流經反應室及/或流經遠端電漿產生器之氣體。因此,在一些具體例中,就地產生電漿,而在其他具體例中,在遠端產生電漿。在一些具體例中,所施加之選定RF功率是選自約5W至約5000W、約10W至約2000W、約50W至約1000W或約200W至約800W之範圍。
參看圖1B且根據一些具體例,在反應空間中於包含三維特徵之基板上形成含氧薄膜是藉由包含至少一個循環之PEALD沉積製程101控制,該至少一個循環包含:在步驟121處,使基板與包含氧之氣相第一前驅物接觸,使得前驅物物種吸附至基板之表面上;在步驟131處,自基板表面移除過量的第一前驅物及反應副產物(若存在);在步驟141處,自電漿功率範圍中選擇電漿功率以達成待沉積之薄膜的所要階梯覆蓋率及/或WERR;在步驟151處,使基板與包含藉由在選定電漿功率下產生之電漿產生的反應性物種之第二反應物接觸,藉此將所吸附之矽物種轉化成含氧薄膜,該反應性物種包含氫但不包含氧;在步驟161處,自基板表面移除過量的第二反應物及反應副產物(若存在);以及視情況在步驟171處重複接觸及移除步驟以在基板之三維特徵上形成具有所要厚度、組成、階梯覆蓋率及/或WERR的含氧薄膜。
在一些具體例中,步驟141可包含針對具有約1至約3之縱橫比的三維特徵自電漿功率範圍(例如,約50W至約1000W之範圍)中選擇適當電漿功率以達成所要階梯覆蓋率及/或WERR。在一些具體例中,達成所要性質所需之電漿功率可在沉積製程之前被算出。亦即,在一些具體例中,電漿功率範圍對應於待沉積膜之預先確定之材料性質的已知範圍。在一些具體例中,三維特徵之縱橫比可影響選定電漿功率。舉例而言,可選擇比具有相對較低縱橫比之三維特徵上者更高的電漿功率以達成具有高縱橫比之三維特徵上的所要階梯覆蓋率。在一些具體例中,可選擇較高或較大電 漿功率以達成在給定三維結構上具有較高所要階梯覆蓋率之膜。在一些具體例中,可選擇比藉由使用較低電漿功率之實質上類似之沉積製程所沉積的實質上類似膜更高的電漿功率以達成具有較低WERR的膜。如本文中所使用,濕式蝕刻速率比率(WERR)是指沉積於諸如側壁之垂直表面上的材料之濕式蝕刻速率對沉積於諸如三維特徵之頂表面之水平表面上的材料之濕式蝕刻速率的比率。
在一些具體例中,在使用前驅物及/或反應物之相同組合的情況下,選擇適當電漿功率可達成低於、處於或約100%之所要階梯覆蓋率。在一些具體例中,可選擇電漿功率,使得相對於諸如三維特徵之水平表面的第二表面,沉積製程在諸如三維特徵之垂直表面的第一表面上是選擇性的。
在一些具體例中,步驟151可包含在使基板與第二反應物接觸之前在遠端產生或形成電漿或反應性物種。
參看圖2且根據一些具體例,在反應空間中於包含三維特徵之基板上形成SiOC薄膜是藉由PEALD沉積製程200來控制,該沉積製程包含:在步驟220處,使基板與包含氧之氣相含矽前驅物接觸,使得矽物種吸附至基板之表面上;在步驟230處,自基板表面移除過量的含矽前驅物及反應副產物(若存在);在步驟240處,自電漿功率範圍中選擇電漿功率以達成待沉積之SiOC膜的所要階梯覆蓋率及/或WERR;在步驟250處,使基板與包含藉由在選定電漿功率下產生於不包含氧氣之氣體中之電漿產生的反應性物種之第二反應物接觸,藉此將所吸 附之矽物種轉化成SiOC,該反應性物種包含氫但不包含氧;在步驟260處,自基板表面移除過量的第二反應物及反應副產物(若存在);視情況在步驟270處重複接觸及移除步驟以在基板之三維特徵上形成具有所要厚度、組成、階梯覆蓋率及/或WERR的SiOC薄膜;以及在步驟280處,使所沉積SiOC膜曝露於第三反應物以藉此減小所沉積SiOC膜之厚度及/或WERR,該第三反應物包含藉由來自氣體之電漿產生的反應性物種。
在一些具體例中,步驟240可包含針對具有約1至約3之縱橫比的三維特徵自電漿功率範圍(例如,約50W至約1000W之範圍)中選擇適當電漿功率以達成所要階梯覆蓋率及/或WERR。在一些具體例中,三維特徵之縱橫比會影響選定電漿功率。舉例而言,具有高縱橫比之三維特徵會需要選擇比具有相對較低縱橫比之三維特徵更高的電漿功率,以達成所要階梯覆蓋率。在一些具體例中,可選擇較高或較大電漿功率以達成具有較高所要階梯覆蓋率之SiOC膜。在一些具體例中,可選擇較高電漿功率以達成具有較低WERR之SiOC膜。
在一些具體例中,步驟250可包含在使基板與第二反應物接觸之前在遠端產生或形成電漿或反應性物種。
在一些具體例中,步驟280包含沉積後處理且可包含在使基板與第三反應物接觸之前在遠端產生或形成電漿或反應性物種。在一些具體例中,第三反應物可包含與第二反應物相同之反應性物種。在一些具體例中,用以產生包含反應性物種之第三反應物的氣體可包含氫氣、氮氣或氧氣。在一些具體例中,用以產生包含反應性物種之第三反應物的氣體可包含一或多種惰性氣體,諸如氬氣。在一些具體例中,第三反應物可與第二反應 物實質上相同,但用以產生第三反應物之電漿功率可能不同於用以產生第二反應物之電漿功率。舉例而言,在一些具體例中,可使用比產生包含反應性物種之第二反應物更高的電漿功率,來產生包含反應性物種之第三反應物。舉例而言,在一些具體例中,可使用約10W至約1000W、約400W至約600W、約400W至約1000W、約300W至約500W或約600W至約1000W之電漿功率來產生第三反應物之反應性物種。
在一些具體例中,使所沉積SiOC膜曝露於第三反應物可減小所沉積SiOC膜之厚度及/或WERR。在一些具體例中,步驟280可將SiOC膜之第一部分的厚度及/或WER減小得比SiOC膜之第二部分更多。舉例而言,在一些具體例中,步驟280可減小第一表面諸如基板之垂直表面及第二表面諸如基板之水平表面上的SiOC膜之厚度,使得沉積於第二表面上之SiOC膜的厚度比沉積於第一表面上之SiOC膜的厚度實質上減小更多。亦即,在一些具體例中,步驟280之沉積後處理可增加SiOC膜之階梯覆蓋率。在一些具體例中,步驟280之沉積後處理可將SiOC膜之階梯覆蓋率增加約10%、25%、50%、75%、100%、200%、500%或1000%或大於1000%。
在一些具體例中,步驟280可減小第一表面諸如基板之垂直表面及第二表面諸如基板之水平表面上的SiOC膜之WER,使得第一表面上之SiOC膜的WER比第二表面上之SiOC膜的WER實質上減小更多。亦即,在一些具體例中,包含步驟280之沉積後處理可減小SiOC膜之WERR。舉例而言,在一些具體例中,包含步驟280之沉積後處理可將SiOC膜之WERR減小約5%、10%、25%、50%、75%、90%或甚至100%。
現參看圖3且根據一些具體例,在三維特徵上形成SiOC薄膜是藉由製程300來控制,該製程包含: 在步驟320處,提供包含至少一個三維特徵之基板,該至少一個三維特徵包含水平表面及垂直表面;如本文中在步驟330處所描述,藉由不包含受激發氧物種之PEALD製程在至少一個三維特徵上沉積SiOC;以及在步驟340處,蝕刻所沉積的SiOC。
在一些具體例中,在步驟330處在至少一個三維特徵上沉積SiOC可包含藉由本文中所提供之沉積製程,例如上文關於圖1及圖2所描述之受控形成PEALD製程來沉積SiOC。
在一些具體例中,在步驟340處蝕刻所沉積SiOC可包含此項技術中已知之蝕刻製程,例如乾式蝕刻製程,諸如電漿蝕刻製程,或濕式蝕刻製程,諸如0.5wt%稀HF蝕刻製程。在一些具體例中,在步驟340處蝕刻所沉積SiOC可移除所沉積SiOC之至少一部分。
在一些具體例中,蝕刻製程可包含使基板曝露於氫原子、氫自由基、氫電漿或其組合。舉例而言,在一些具體例中,蝕刻製程可包含使基板曝露於使用約10W至約5000W、約25W至約2500W、約50W至約500W或約100W至約400W之功率自H2產生之電漿。在一些具體例中,蝕刻製程可包含使基板曝露於使用約1W至約1000W、約10W至約500W、約20W至約250W或約25W至約100W之功率產生的電漿。
在一些具體例中,蝕刻製程可包含使基板曝露於電漿。在一些具體例中,電漿可包含諸如氧原子、氧自由基、氧電漿或其組合之反應性物種。在一些具體例中,電漿可包含諸如氫原子、氫自由基、氫電漿或其組合之反應性物種。在一些具體例中,除反應性物種外,電漿亦可包含惰性氣體物種,例如Ar或He物種。在一些具體例中,電漿可包含惰性氣體物種而不包含反應性物種。在一些實例中,電漿可包含其他物種,例如氮原 子、氮自由基、氮電漿或其組合。在一些具體例中,基板可在介於約30℃與約500℃之間或介於約100℃與約400℃之間的溫度下曝露於蝕刻劑。在一些具體例中,蝕刻劑可以一個連續脈衝供應或可以多個較短脈衝供應。
熟習技藝人士可輕易地決定用於將所要量的所沉積SiOC自三維特徵移除之最佳曝露時間、溫度及/或功率。
在一些具體例中,在步驟340處蝕刻所沉積SiOC可自第一表面諸如三維特徵之垂直表面移除實質上所有的所沉積SiOC,且可能不自不同的第二表面諸如三維特徵之水平表面移除實質上所有的所沉積SiOC。以此方式,SiOC在三維特徵之所要表面上的選擇性形成可受控制。舉例而言,在一些具體例中,由於步驟330之SiOC膜藉由沉積製程的受控形成,沉積於三維特徵之垂直表面上的SiOC之量可實質上低於沉積於水平表面上之SiOC的量。因此,在一些具體例中,兩個表面上之所沉積膜厚度的差可允許不同蝕刻,使得可自一個表面移除實質上所有的膜,而一些膜或材料保留在不同的第二表面上。
在一些具體例中,由於步驟330之SiOC膜藉由沉積製程的受控形成,沉積於三維特徵之垂直表面上的SiOC之WER可實質上高於沉積於水平表面上之SiOC的WER。因此,蝕刻製程可自三維特徵之垂直表面基本上完全移除所沉積SiOC,而所沉積SiOC可保留在三維特徵之水平表面上。
如下文更詳細地論述,在用於沉積SiOC膜之一些具體例中,一或多個PEALD沉積循環以提供矽前驅物接著提供第二反應物開始。在其他具體例中,沉積可以提供第二反應物接著提供矽前驅物開始。熟習技藝人士將認識到,第一前驅物階段一般與前一循環中之最後階段留下的終止物反應。因此,雖然若反應性物種階段是第一PEALD循環中之第一階段, 則先前可能沒有反應物吸附於基板表面上或存在於反應空間中,但在後續PEALD循環中,反應性物種階段將有效地跟隨矽階段。在一些具體例中,一或多個不同PEALD子循環提供於用於形成SiOC薄膜之製程中。
Si前驅物
數種不同的合適Si前驅物可用於本發明所揭示之PEALD製程中。在一些具體例中,合適的Si前驅物可包含矽烷。
在一些具體例中,合適的Si前驅物可包含藉由至少一個烴基連接或鍵結至至少一個烴基之兩個Si原子。在一些具體例中,合適的Si前驅物可包含藉由至少一個烷基連接或鍵結至至少一個烷基之兩個Si原子。在一些具體例中,合適的Si前驅物可包含藉由至少一個烷氧基連接或鍵結至至少一個烷氧基之兩個Si原子。在一些具體例中,合適的Si前驅物可包含藉由至少一個矽烷基連接或鍵結至至少一個矽烷基之兩個Si原子。在一些具體例中,合適的Si前驅物可包含藉由至少一個矽烷醚基連接或鍵結至至少一個矽烷醚基之兩個Si原子。在一些具體例中,合適的Si前驅物可包含至少一個-SH基,其中該-SH基可鍵結至烷基鏈或矽原子。在一些具體例中,合適的Si前驅物可包含至少一個巰基。在一些具體例中,合適的Si前驅物可包含至少一個-R-SH結構,其中R可為C1至C5烷基。在一些具體例中,合適的Si前驅物可包含烷基鏈上之至少一個-SH基及鍵結至矽原子之一或多個烷氧基。
在一些具體例中,合適的Si前驅物可包含附接或鍵結至一或多個烷氧基之至少一個Si原子。在一些具體例中,合適的Si前驅物可包含附接或鍵結至一或多個烷基之至少一個Si原子。在一些具體例中,合適的Si前驅物可包含附接或鍵結至至少一烷基及一烷氧基之至少一個Si原子。
在一些具體例中,適合於藉由PEALD製程沉積SiOC之至少一 些Si前驅物可包含具有以下通式之橋連烷氧矽烷:(1)(RIIO)3Si-RI-Si(ORII)3
其中RI及RII中之每一者可為獨立選擇之烷基。在一些具體例中,RI及RII中之每一者是獨立選擇之C1至C5烷基配位體(ligand),諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據一些具體例,一些Si前驅物可包含具有以下通式之橋連烷氧烷基矽烷:(2)RIII y(ORII)xSi-RI-Si(ORII)xRIII y
其中RI、RII及RIII中之每一者可為獨立選擇之烷基,且x+y=3。在一些具體例中,RI及RII中之每一者是獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RIII可為獨立選擇之C1至C8烷基配位體。
根據一些具體例,一些Si前驅物可包含具有以下通式之環烷氧矽烷:(3)(RIIO)2Si-RI 2-Si(ORII)2
式(3)可替代地由以下結構式表示:
Figure 107115247-A0305-02-0035-31
其中RI及RII中之每一者可為獨立選擇之選定烷基。在一些具體例中,RI及RII中之每一者是獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據一些具體例,一些Si前驅物可包含具有以下通式之環烷氧烷 基矽烷:(4)RIII y(ORII)xSi-RI 2-Si(ORII)xRIII y
式(4)可替代地由以下結構式表示:
Figure 107115247-A0305-02-0036-2
其中RI、RII及RIII中之每一者可為獨立選擇之烷基,且x+y=2。在一些具體例中,RI及RII中之每一者是獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RIII可為獨立選擇之C1至C8烷基配位體。
根據一些具體例,一些Si前驅物可包含具有以下通式之直鏈烷氧矽烷:(5)(RIIO)3Si-(O-Si-RI 2)n-O-Si(ORII)3
其中RI可為獨立選擇之烷基或氫,RII可為獨立選擇之烷基,且n=1至4。在一些具體例中,RI及RII中之每一者是獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RI可為氫且RII可為獨立選擇之C1至C5烷基配位體。
根據一些具體例,一些Si前驅物可包含具有以下通式之直鏈烷氧矽烷:(6)RIII y(ORII)xSi-(-RI-Si)n-Si(ORII)xRIII y
其中RI、RII及RIII中之每一者可為獨立選擇之烷基,x+y=2,且n可大於或等於1。在一些具體例中,RI及RII是獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具 體例中,RIII可為獨立選擇之C1至C8烷基配位體。
根據一些具體例,一些Si前驅物可包含具有以下通式之烷氧矽烷:(7)Si(ORI)4
其中RI可為獨立選擇之烷基。在一些具體例中,RI可為獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。
根據一些具體例,一些Si前驅物可包含具有以下通式之烷氧烷基矽烷:(8)Si(ORI)4-xRII x
其中RI及RII中之每一者可為獨立選擇之烷基,且x=1至3。在一些具體例中,RI可為獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RII可為獨立選擇之C1至C8烷基配位體。
根據一些具體例,一些Si前驅物可包含不包含氮且具有以下通式之烷氧矽烷:(9)Si(ORI)4-xRII x
其中RI可為獨立選擇之烷基,RII可為包含碳、氫及/或氧之任何配位體,其不包含氮,且x=1至3。在一些具體例中,RI可為獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基。在一些具體例中,RII可包含例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基、氫過氧基、硫醇、丙烯酸酯或甲基丙烯酸酯配位體。
根據一些具體例,一些Si前驅物可具有以下通式:(10)Si(ORI)4-xRII x
其中x=0至3,RI可為獨立選擇之C1至C7或C1至C5烷基配位體,且RII可為由碳及/或氫及/或氧組成之獨立選擇之配位體。舉例而言,在一些具體例中,RII可為烷氧基烷基。在一些具體例中,RII可為例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基。在一些具體例中,例如RI是甲基,RII是3-甲氧基丙基配位體,且x是1。
根據一些具體例,一些Si前驅物可具有以下通式:(11)(RIO)4-xSi-(RII-O-RIII)x
其中x=0至3,RI及RII中之每一者可為獨立選擇之C1至C7或C1至C5烷基配位體,且RIII可為由碳及/或氫及/或氧組成之獨立選擇之配位體。舉例而言,在一些具體例中,RIII可為例如烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基。在一些具體例中,例如RI RII及RIII可各自獨立地選自甲基、乙基、異丙基、正丙基、正丁基、異丁基及第三丁基之基團。
根據一些具體例,一些Si前驅物可具有以下通式:(12)Si(RI)4-x-yRII xRIII y
其中x+y=0至4,RI是具有1至5個碳原子之醇鹽配位體或鹵化物,RII是包含硫之任何配位體,且RIII由硫氫基、硫化物、二硫化物、亞磺醯基、磺醯基、亞磺酸基、磺酸基、硫氰酸酯、異硫氰酸酯或碳硫醯基官能基中的一者組成。在一些具體例中,RI、RII及RIII可各自經獨立地選擇。在一些具體例中,RI可包含甲氧基配位體,RII可包含3-巰基丙基,x=1且y=0。亦即,在一些具體例中,一些Si前驅物可包含Si(OCH3)3C3H6SH。在一些具體例中,Si前驅物可包含巰基甲基甲基二乙氧基矽烷、3-巰基丙基甲基二甲氧基矽烷及/或3-巰基丙基三乙氧基矽烷。
在一些具體例中,矽前驅物不包含鹵素。在一些具體例中,矽前 驅物不包含氮。在一些具體例中,碳鏈可為不飽和的且含有雙碳-碳鍵。在一些其他具體例中,碳鏈可含有不同於碳及氫之其他原子。根據一些具體例,合適的矽前驅物可至少包括具有通式(1)至(11)中之任一者的化合物。在一些具體例中,矽前驅物可包含雙(三乙氧基矽烷基)乙烷(BTESE)。在一些具體例中,矽前驅物可包含3-甲氧基丙基三甲氧基矽烷(MPTMS或Si(OCH3)3C3H6OCH3)。在一些具體例中,矽前驅物可包含(3-巰基丙基)三甲氧基矽烷。
在一些具體例中,適合於藉由PEALD製程沉積SiOCN之至少一些Si前驅物具有以下通式:(13)Si(ORI)4-x(RIINRIIIRIV)x
其中x=1至4,RI可為獨立選擇之烷基,RII可為獨立選擇之烴基,且RIII及RIV可為獨立選擇之烷基及/或氫。在一些具體例中,RI及RII是C1至C3烷基配位體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RI可為C1至C4烷基配位體,諸如甲基、乙基、正丙基、異丙基或第三丁基。在一些具體例中,RII並非C3烴。在一些具體例中,RII是C1至C2烴或C4至C6烴。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為一個氫經移除的烷基。在一些具體例中,RIII及RIV是氫。在一些具體例中,RI是甲基,RII是正丙基,RIII是氫,RIV是氫,且x=1。
舉例而言,Si前驅物可具有以下化學式(以較詳細方式書寫以便展示鍵結):(RI-O-)4-xSi(-RII-NRIIIRIV)x,其中x=1至4,RI可為獨立選擇之烷基,RII可為獨立選擇之烴,且RIII及RIV可為獨立選擇之烷基及/或氫。
根據一些具體例,一些Si前驅物可具有以下通式:(14)Si(ORI)4-x-y-z(RIINRIIIRIV)xHy(OH)z
其中x=1至4,y=0至3且z=0至3,RI及RII可為獨立選擇之烷基,RII可為獨立選擇之烴,且RIII及RIV可為獨立選擇之烷基及/或氫。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(15)LnSi(ORI)4-x-n(RIINRIIIRIV)x
其中n=1至3,x=0至3,RI可為獨立選擇之烷基,RII可為獨立選擇之烴,且RIII及RIV可為獨立選擇之烷基及/或氫,且L是獨立選擇之烷基或鹵素。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(16)LnSi(ORI)4-x-y-z-n(RIINRIIIRIV)xHy(OH)z
其中n=0至3,x=1至4,y=0至3,z=0至3,RI可為獨立選擇之烷基,RII可為獨立選擇之烴,且RIII及RIV可為獨立選擇之烷基及/或氫,且L是獨立選擇之烷基或鹵素。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(17)(RIO)4-xSi(RII-NH2)x
其中x=1至4,RI可為獨立選擇之烷基,且RII可為獨立選擇之烴。在一些具體例中,RI及RII是C1至C3烷基配位體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RI是甲基,RII是正丙基且x=1。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式: (18)(RIO)3Si-RII-NH2
其中RI可為獨立選擇之烷基,且RII可為獨立選擇之烴。在一些具體例中,RI及RII是C1至C3烷基配位體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RII可為不飽和烴,諸如含有一或多個雙鍵之烴。在一些具體例中,RII可為一個氫經移除的烷基。
根據一些具體例,一些Si前驅物可具有以下通式:(19)(RIO)4-xSi(-[CH2]n-NH2)x
其中x=1至4,n=1至5,且RI可為獨立選擇之烷基。在一些具體例中,RI是C1至C4烷基配位體,諸如甲基、乙基、正丙基或異丙基。在一些具體例中,RI是甲基且x=1。
在一些具體例中,矽前驅物不包含鹵素。在一些具體例中,矽前驅物可包含至少一個胺基烷基配位體。根據一些具體例,合適的矽前驅物可包含經由碳鍵結至矽且含有附接至碳鏈之至少一個NH2-基的至少一個配位體,例如胺基烷基配位體。根據一些具體例,合適的矽前驅物可包含經由碳鍵結至矽且含有附接至碳鏈之至少一個NH2-基的至少一個配位體,例如胺基烷基配位體,且亦可包含經由氧原子鍵結至矽且烷基鍵結至氧之至少一個配位體,例如醇鹽配位體。根據一些具體例,合適的矽前驅物可包含經由碳鍵結至矽且含有附接至碳鏈之至少一個NRIIIRIV-基的至少一個配位體,例如,胺基烷基配位體,其中RIII及RIV可為獨立選擇之烷基及/或氫。根據一些具體例,合適的矽前驅物可包含經由碳鍵結至矽之至少一個配位體,且在該配位體中,至少一個氮鍵結至碳。另外,經由碳鍵結至矽之一個配位體可包含鍵結至氮之氫,且在該配位體中,至少一個氮鍵結至碳。根據一些具體例,除經由碳鍵結至矽之配位體外,合適的矽前驅物亦可包含烷氧基配位體,諸如甲氧基、乙氧基、正丙氧基、異丙氧 基或第三丁氧基配位體。根據包括以上化學式中之一些的一些具體例,合適的矽前驅物包含碳鏈,其經由碳鍵結至矽且其中存在附接至碳鏈之胺基,諸如烷基胺基或-NH2基,且碳鏈是直鏈、分支鏈或環狀的C1至C6烴、C2至C6烴或C2至C4烴,僅含有碳及氫。在一些具體例中,碳鏈可為不飽和的且含有雙碳-碳鍵。在一些其他具體例中,碳鏈可含有不同於碳及氫之其他原子。
根據一些具體例,合適的矽前驅物可至少包括具有通式(13)至(19)中之任一者的化合物。在一些具體例中,鹵化物/鹵素可包括F、Cl、Br及I。在一些具體例中,矽前驅物可包含(3-胺基丙基)三甲氧基矽烷(APTMS)。
在一些具體例中,在ALD階段期間,多於一種矽前驅物可同時接觸基板表面。在一些具體例中,矽前驅物可包含多於一種本文中所描述之矽前驅物。在一些具體例中,第一矽前驅物用於第一ALD循環中,且不同的第二ALD前驅物用於稍後ALD循環中。在一些具體例中,可在單一ALD階段期間使用多種矽前驅物,例如以便使所沉積SiOCN膜之某些性質最佳化。在一些具體例中,僅一種矽前驅物可在沉積期間接觸基板。在一些具體例中,在沉積製程中可僅存在一種矽前驅物及一種第二反應物或第二反應物之組合物。在一些具體例中,在沉積製程中不存在金屬前驅物。在一些具體例中,矽前驅物不用作矽烷化試劑。在一些具體例中,矽前驅物接觸步驟之沉積溫度及/或持續時間經選擇使得矽前驅物不分解。在一些具體例中,矽前驅物可在矽前驅物接觸步驟期間分解。在一些具體例中,矽前驅物不包含鹵素,諸如氯或氟。
在一些具體例中,在ALD階段期間,多於一種矽前驅物可同時接觸基板表面。在一些具體例中,矽前驅物可包含多於一種本文中所描述 之矽前驅物。在一些具體例中,第一矽前驅物用於第一ALD循環中,且不同的第二ALD前驅物用於稍後ALD循環中。在一些具體例中,可在單一ALD階段期間使用多種矽前驅物,例如以便使所沉積SiOCN膜之某些性質最佳化。在一些具體例中,僅一種矽前驅物可在沉積期間接觸基板。在一些具體例中,在沉積製程中可僅存在一種矽前驅物及一種第二反應物或第二反應物之組合物。在一些具體例中,在沉積製程中不存在金屬前驅物。在一些具體例中,矽前驅物不用作矽烷化試劑。在一些具體例中,矽前驅物接觸步驟之沉積溫度及/或持續時間經選擇使得矽前驅物不分解。在一些具體例中,矽前驅物可在矽前驅物接觸步驟期間分解。在一些具體例中,矽前驅物不包含鹵素,諸如氯或氟。
第二反應物
如上文所論述,根據本發明之用於沉積SiOC的第二反應物可包含無氧電漿。電漿可藉由將電漿功率施加至不包含氧氣之氣體來產生。在一些具體例中,第二反應物可包含氫前驅物,其可包含反應性物種。在一些具體例中,反應性物種包括但不限於自由基、電漿及/或受激發原子或物種。此反應性物種可藉由例如電漿放電、熱線或其他合適方法產生。在一些具體例中,反應性物種可在反應室遠端產生,例如在反應室上游產生(「遠端電漿」)。在一些具體例中,反應性物種可在反應室中緊鄰基板或在基板正上方產生「直接電漿」。
用於第二反應物之合適的電漿組合物包括一種形式或另一種形式之氫反應性物種,亦即,電漿、氫自由基或原子氫。在一些具體例中,第二反應物包含藉由在包含氫氣之氣體中形成電漿而產生的反應性物種。在一些具體例中,該氣體包含氫氣但不包含氧氣。在一些具體例中,第二反應物可包含至少部分地由H2形成之反應性物種。在一些具體例中,電漿 亦可含有惰性氣體,諸如He、Ne、Ar、Kr及Xe,或Ar或He,呈電漿形式、作為自由基或呈原子形式。在一些具體例中,電漿是在包含H2之氣體中產生。在一些具體例中,該氣體包含H2及Ar。
在一些具體例中,第二反應物可包含由H2形成之反應性物種。在一些具體例中,第二反應物可自氣體產生,該氣體含有大於約25原子%(at%)氫氣、大於約50at%氫氣、大於約75at%氫氣、大於約85at%氫氣、大於約90at%氫氣、大於約95at%氫氣、大於約96at%、97at%、98at%或大於約99at%氫氣。
在一些具體例中,用以產生諸如電漿之反應性物種的氣體可基本上由氫氣組成。因此,在一些具體例中,第二反應物可基本上由氫電漿、氫自由基或原子氫組成。在一些具體例中,第二反應物可包含大於約25at%氫、大於約50at%氫、75at%、大於約85at%、大於約90at%、大於約95at%、大於約96at%、97at%、98at%或大於約99at%氫電漿、氫自由基或原子氫。在一些具體例中,第二反應物可至少部分地由H2及一或多種其他氣體形成,其中H2及一或多種其他氣體是以約1:1000至約1000:1或大於1000:1之流比(H2/一或多種其他氣體)提供。在一些具體例中,該流比(H2/一或多種其他氣體)可大於約1:1000、大於約1:100、大於約1:50、大於約1:20、大於約1:10、大於約1:6、大於約1:3、大於約1:1、大於約3:1、大於約6:1、大於約10:1、大於約20:1、50:1、100:1或1000:1或大於1000:1。
在一些具體例中,第二反應物不包含自氧氣產生之任何物種。因此,在一些具體例中,反應性物種並不自含有氧氣之氣體產生。在一些具體例中,包含反應性物種之第二反應物是自不含氧氣之氣體產生。舉例而言,在一些具體例中,第二反應物可包含自不含氧氣之氣體產生的電漿。 在一些其他具體例中,第二反應物可自氣體產生,該氣體含有小於約50原子%(at%)氧氣、小於約30at%氧氣、小於約10at%氧氣、小於約5at%氧氣、小於約1at%氧氣、小於約0.1at%氧氣、小於約0.01at%氧氣或小於約0.001at%氧氣。在一些具體例中,第二反應物不包含O2、H2O或O3
在一些具體例中,氫電漿可能不含或實質上不含含氧物種(例如,氧離子、自由基、原子氧)。舉例而言,不使用含氧氣體來產生氫電漿。在一些具體例中,含氧氣體(例如,O2氣體)在氫電漿步驟期間並不流動至反應室中。
在一些具體例中,不使用含氧氣體來產生氫電漿。在一些具體例中,含氧氣體(例如,O2氣體)在氫電漿步驟期間並不流動至反應室中。
在一些具體例中,第二反應物不包含自氮氣產生之任何物種。因此,在一些具體例中,反應性物種並不自含有氮氣之氣體產生。在一些具體例中,包含反應性物種之第二反應物是自不含氮氣之氣體產生。舉例而言,在一些具體例中,第二反應物可包含自不含氮氣之氣體產生的電漿。在一些具體例中,第二反應物可自氣體產生,該氣體含有小於約25原子%(at%)氮氣、小於約20at%氮氣、小於約15at%氮氣、小於約10at%氮氣、小於約5at%氮氣、小於約1at%氮氣、小於約0.1at%氮氣、小於約0.01at%氮氣或小於約0.001at%氮氣。在一些具體例中,第二反應物不包含N2、NH3或N2H4
在一些具體例中,氫電漿可能不含或實質上不含含氮物種(例如,氮離子、自由基、原子氮)。舉例而言,不使用含氮氣體來產生氫電漿。在一些具體例中,含氮氣體(例如,N2氣體)在氫電漿步驟期間並不流動至反應室中。
然而,在一些其他具體例中,亦以一種形式或另一種形式提供呈 電漿、氮自由基或原子氮形式之氮反應性物種。因此,在一些具體例中,第二反應物可包含由具有N及H兩者之諸如以下各者的化合物形成的反應性物種:NH3及N2H4、N2/H2之混合物或具有N-H鍵之其他前驅物。在一些具體例中,第二反應物可至少部分地由N2形成。在一些具體例中,第二反應物可至少部分地由H2及N2形成,其中H2及N2是以約100:1至約1:100、約20:1至約1:20、約10:1至約1:10、約5:1至約1:5及/或約2:1至約4:1及在一些狀況下1:1之流比(H2/N2)提供。舉例而言,用於沉積SiOC之含氫電漿可使用N2及H2兩者以本文中所描述之一或多個比率而產生。
在一些具體例中,用以產生諸如電漿之反應性物種的氣體可基本上由氬氣或另一惰性氣體組成。在一些具體例中,用於產生含氫電漿之電漿功率可為約5瓦特(W)至約5000W、10W至約2,000W、約50W至約1000W、約100W至約1000W或約100W至約500W。在一些具體例中,用於產生含氫電漿之電漿功率可為約100W至約300W。在一些具體例中,含氫電漿亦可包含氬氣或另一惰性氣體。
SiOC膜特性
根據本文中所論述之具體例中之一些沉積的SiOC薄膜可達成低於約3at%、低於約1at%、低於約0.5at%或低於約0.1at%之雜質含量或濃度。在一些薄膜中,排除氫之總雜質含量可低於約5at%、低於約2at%、低於約1at%或低於約0.2at%。且在一些薄膜中,氫含量可低於約30at%、低於約20at%、低於約15at%或低於約10at%。如本文中所使用,雜質可被視為除Si、O及/或C外之任何元素。在一些具體例中,薄膜不包含氬。
在一些具體例中,所沉積SiOC膜不包含可觀量的氫。然而,在一些具體例中,沉積包含氫之SiOC膜。在一些具體例中,所沉積SiOC 膜包含小於約30at%、小於約20at%、小於約15at%、小於約10at%或小於約5at%氫。在一些具體例中,薄膜不包含氬。
如本文中所使用,術語階梯覆蓋率是指沉積於三維特徵之垂直表面上的膜之平均厚度除以沉積於三維特徵之水平表面上的膜之平均厚度。如上文所描述,所形成或所沉積SiOC膜之階梯覆蓋率可藉由在根據一些具體例之PEALD製程中選擇適當電漿功率以產生第二反應物來控制。在一些具體例中,電漿功率可選自例如50W至1000W之給定範圍,以獲得具有所要階梯覆蓋率之SiOC膜。在一些具體例中,較高電漿功率可產生針對具有約1至約3之縱橫比之三維特徵具有高階梯覆蓋率的SiOC膜。
如本文中所使用,術語濕式蝕刻速率比率(WERR)是指沉積於三維特徵之垂直表面上的膜之濕式蝕刻速率對沉積於該特徵之水平表面上的膜之濕式蝕刻速率的比率。如上文所描述,,所形成或所沉積SiOC膜之WERR可藉由在根據一些具體例之PEALD製程中選擇適當電漿功率以產生第二反應物來控制。在一些具體例中,電漿功率可選自例如50W至1000W之給定範圍,以獲得具有所要WERR之SiOC膜。在一些具體例中,較高電漿功率可產生針對具有約1至約3之縱橫比之三維特徵具有較低WERR的SiOC膜。
此外,如上文所論述,WER及WERR可藉由沉積後電漿處理來修改。在一些具體例中,用氮或氧電漿處理沉積於三維結構上之SiOC膜以改良側壁上之WER。
在所沉積SiOC膜經受諸如濕式蝕刻之蝕刻的一些具體例中,SiOC薄膜可存在於基板之垂直表面上且實質上無SiOC可存在於基板之水平表面上。在所沉積SiOC膜經受諸如濕式蝕刻之蝕刻的一些其他具體例中,SiOC薄膜可存在於基板之水平表面上且實質上無SiOC可存在於基板 之垂直表面上。
根據一些具體例,SiOC薄膜可展現大於約50%、大於約80%、大於約90%或大於約95%之階梯覆蓋率及圖案負載效應。在一些狀況下,階梯覆蓋率及圖案負載效應可大於約98%,且在某一狀況下是約100%(在量測工具或方法的準確度內)。在一些具體例中,階梯覆蓋率及圖案負載效應可大於約100%、大於約110%、大於約120%、大於約130%或大於約140%。在一些具體例中,階梯覆蓋率及圖案負載效應可大於約200%、300%、500%、700%、1000%或大於1000%。
如上文所論述,在一些具體例中,階梯覆蓋率可藉由沉積後電漿處理來增加,在沉積後電漿處理中,三維結構上之SiOC膜與氫電漿接觸。沉積後電漿處理可例如將階梯覆蓋率自小於100%增加至大於100%且在一些情況下甚至大於150%。
如本文中所使用,「圖案負載效應(pattern loading effect)」是根據其在本領域中的普通含義而使用。雖然關於雜質含量、密度、電性質及蝕刻速率可看到圖案負載效應,但除非另外指示,否則術語圖案負載效應當在文本中使用時是指存在結構之基板區域中的膜厚度之變化。因此,圖案負載效應可給定為相對於三維結構/特徵之面向開放場之側壁或底部上的膜厚度的三維結構內部之特徵之側壁或底部中的膜厚度。如本文中所使用,100%圖案負載效應(或1之比率)將表示遍及基板的大約完全均勻之膜性質而不管特徵為何,亦即,換言之不存在圖案負載效應(特徵對比開放場中的諸如厚度之特定膜性質之變化)。
在一些具體例中,基板之垂直及/或水平表面上的膜之生長率是約0.01Å/循環至約5Å/循環、約0.05Å/循環至約2Å/循環。在一些具體例中,膜之生長率大於約0.05Å/循環、大於約0.1Å/循環、大於約0.15Å/ 循環、大於約0.3Å/循環、大於約0.3Å/循環、大於約0.4Å/循環。在一些具體例中,一表面諸如三維特徵之垂直表面上之膜的生長率可能不同於不同表面諸如水平表面上之膜的生長率。
在一些具體例中,將SiOC膜在垂直及/或水平表面上沉積至約3nm至約50nm、約5nm至約30nm、約5nm至約20nm之厚度。在一些具體例中,所沉積SiOC膜之部分的厚度可藉由選擇如上文所描述之適當電漿功率及/或藉由SiOC膜之沉積後電漿處理來控制。舉例而言,在一些具體例中,沉積於基板之水平表面上的SiOC膜之厚度可受控制以達成所要值,同時沉積於基板之垂直表面上的SiOC膜之厚度可受控制以達成不同的第二所要值。此等厚度可在低於約100nm、約50nm、低於約30nm、低於約20nm及在一些狀況下低於約15nm之特徵大小(寬度)下達成。根據一些具體例,SiOC膜是沉積於三維結構上且側壁處之厚度可甚至略微大於10nm。在一些具體例中,可沉積大於50nm之SiOC膜。在一些具體例中,可沉積大於100nm之SiOC膜。在一些具體例中,SiOC膜是沉積至大於約1nm、大於約2nm、大於約3nm、大於約5nm、大於約10nm之厚度。
在一些具體例中,藉由如本文中所描述之氫電漿對SiOC膜進行沉積後處理可減小溝槽之頂表面上的厚度,同時增加溝槽之側壁及底部處的厚度。
根據一些具體例,具有各種濕式蝕刻速率(WER)之SiOC膜可藉由如本文中所描述之受控沉積製程沉積於基板之水平及/或垂直表面上。在一些具體例中,所沉積SiOC膜之不同部分的WER可藉由選擇如上文所描述之適當電漿功率而控制為不同的。舉例而言,在一些具體例中,沉積於基板之水平表面上的SiOC膜之WER可受控制以達成所要值,同時沉積於 基板之垂直表面上的SiOC膜之WER可受控制以達成不同的第二所要值。當使用0.5wt% dHF(奈米/分鐘)中之毯覆式蝕刻(blanket etch)時,SiOC膜之一部分可具有小於約5、小於約4、小於約2或小於約1之WER值。在一些具體例中,SiOC膜可具有顯著小於1之WER值。在一些具體例中,SiOC膜可具有小於約0.3、小於約0.2或小於約0.1之WER值。在一些具體例中,SiOC膜可具有小於約0.05、小於約0.025或小於約0.02之WER值。在一些具體例中,所沉積膜可在三維特徵之垂直表面上具有約0.1至約15之WER,且在三維特徵之水平表面上具有約10%、25%、50%、100%、250%、500%、1000%或大於1000%之WER。在一些具體例中,所沉積膜可在三維特徵之垂直表面上具有約0.1至約15之WER,且在三維特徵之水平表面上具有約10%、25%、50%、100%或小於100%之WER。
0.5wt% dHF(奈米/分鐘)中之毯覆式WER相對於熱氧化物之WER可小於約3、小於約2、小於約1或小於約0.5。在一些具體例中,0.5wt% dHF中之毯覆式WER相對於TOX之WER可小於約0.4、0.3、0.2或0.1。
在PEALD製程是在低於約100℃之溫度下執行的一些具體例中,0.5wt% dHF(奈米/分鐘)中之毯覆式WER相對於熱氧化物之WER可小於約10、小於約5、小於約3且小於約2或小於約1。
在一些具體例中,如上文所描述,膜之部分的蝕刻速率可藉由基於三維特徵之縱橫比選擇適當電漿功率來控制,SiOC膜待沉積於該三維特徵上。因此,在一些具體例中,沉積於垂直表面諸如三維特徵之側壁上的SiOC膜之蝕刻速率(諸如,WER)對沉積於水平表面諸如三維特徵之頂表面上的SiOC膜之蝕刻速率的比率(WERR)可藉由選擇如本文中所描述之適當電漿功率來控制。在一些具體例中,電漿功率可經選擇以達成在0.5wt% dHF中的沉積於基板之垂直表面上的SiOC膜之蝕刻速率對沉積於基板之水平表面上的SiOC膜之蝕刻速率的如下比率:約0.1至約20、約0.2至約15、約0.5至約10、約1至約2、約2至約5、約5至約10、約10至約20或在一些狀況下大於或等於約20。
且在一些具體例中,在0.5wt% dHF中的側壁蝕刻速率(例如,沉積於實質上垂直三維特徵諸如鰭片或溝槽上的SiOC膜之WER)相對於沉積於實質上水平表面諸如三維特徵(諸如,鰭片或溝槽)之頂表面上的SiOC膜之蝕刻速率的比率(WERR)可為約1至約2、約2至約5、約5至約10、約10至約20或在一些狀況下大於或等於約20。在一些具體例中,沉積於三維特徵之垂直表面上的SiOC膜之WER對沉積於三維特徵之頂表面上的SiOC膜之WER的比率(WERR)可等於或大於約2、等於或大於約5、等於或大於約10、等於或大於約15或等於或大於約20。
在一些具體例中,沉積於三維特徵之實質上垂直表面(例如,側壁表面)上或中的SiOC膜之WER對沉積於三維特徵之實質上水平表面(例如,頂表面)上或中的SiOC膜之WER的比率(WERR)可為約1至約0.5、約0.5至約0.2、約0.2至約0.1、約0.1至約0.05或在一些狀況下小於約0.05。在一些具體例中,沉積於三維特徵之實質上垂直表面上的SiOC膜之WER對沉積於三維特徵之實質上水平表面上的SiOC膜之WER的比率(WERR)可等於或小於約0.5、等於或小於約0.2、等於或小於約0.1或等於或小於約0.05。
在一些具體例中,沉積於三維特徵之實質上垂直表面(例如,側壁表面)上或中的SiOC膜之WER對TOX之WER的比率可介於約5至約10之間、約2至約5之間、約1至約2之間、約0.5至約1之間或約0.1至約0.5之間。在一些具體例中,沉積於三維特徵之實質上垂直表面(例如, 側壁表面)上或中的SiOC膜之WER對TOX之WER的比率可大於或等於約0.1、大於或等於約0.5、大於或等於約1、大於或等於約2、大於或等於約5或大於或等於約10。
在一些具體例中,根據本文中所描述之一或多個製程形成的SiOC可有利地表明在例如0.5wt% dHF中的實質上垂直區之WER對實質上水平區之WER的約1的比率(WERR)。舉例而言,形成於實質上垂直表面(例如,側壁表面)上方之SiOC薄膜的濕式蝕刻速率對形成於基板上之三維結構的實質上水平表面(例如,頂表面)上方之SiOC薄膜的濕式蝕刻速率之比率(WERR)可相同或實質上相同。在一些具體例中,該比率可為約4至約0.5、約2至約0.75、約1.25至約0.8或約1.1至約0.9。此等比率可在具有約1.2或大於1.2、約1.5或大於1.5、約1.8或大於1.8、約2或大於2、約3或大於3、約5或大於5或甚至約8或大於8之縱橫比的特徵中達成。
在例如三維特徵或其部分靠近或鄰近基板之實質上扁平或開放部分安置的一些具體例中,縱橫比可被視為三維特徵或部分之垂直或側部分對三維特徵或部分之水平或頂部部分的比率且可能不考量基板之開放部分的尺寸。亦即,在一些具體例中,三維特徵或三維特徵之部分的縱橫比可被視為特徵或部分之高度或深度對特徵或部分之寬度的比率,而不考量基板之任何鄰近開放部分的尺寸。
在一些具體例中,根據本文中所描述之一或多個製程形成的SiOC可有利地表明例如在0.5wt% dHF中的約1的水平區對垂直區WERR。舉例而言,形成於水平表面(例如,頂表面)上方之SiOC薄膜之濕式蝕刻速率對形成於基板表面上之三維結構之垂直表面(例如,側壁表面)上方的SiOC薄膜之濕式蝕刻速率的比率可相同或實質上相同。在一些具 體例中,該比率可為約0.25至約2、約0.5至約1.5、約0.75至約1.25或約0.9至約1.1。此等比率可在具有約1.2或大於1.2、約1.5或大於1.5、約1.8或大於1.8、約2或大於2、約3或大於3、約5或大於5或甚至約8或大於8之縱橫比的特徵中達成。
在一些具體例中,根據本發明之SiOC膜的蝕刻量可比在0.5wt% HF浸漬製程中針對熱SiO2(TOX)觀測到之蝕刻量少約1、2、5、10或大於10倍(例如,在約2至約3nm TOX經移除之製程中,當根據本文中所揭示之方法沉積時,少1、2、5、10或大於10倍的SiOC經移除)。
在一些具體例中,在0.5wt% HF浸漬製程中,藉由5分鐘蝕刻時間可移除少於約2nm的SiOC膜。在一些具體例中,在0.5wt% HF浸漬製程中,藉由60分鐘蝕刻時間可移除少於約2nm的SiOC膜。
在一些具體例中,根據本發明之SiOC膜的蝕刻量可比在0.5wt% HF浸漬製程中針對熱SiO2(TOX)觀測到之蝕刻量少約1、2、5、10或大於10倍(例如,在約2至約3nm TOX經移除之製程中,當根據本文中所揭示之方法沉積時,少1、2、5、10或大於10倍的SiOC經移除)。
在一些具體例中,在0.5wt% HF浸漬製程中,藉由5分鐘蝕刻時間可移除少於約2nm的SiOC膜。在一些具體例中,在0.5wt% HF浸漬製程中,藉由60分鐘蝕刻時間可移除少於約2nm的SiOC膜。
除非另外指示,否則為簡單起見且因為氫難以準確地定量分析,本文中所提供之所有原子百分比(亦即,at%)值排除氫。然而,在一些具體例中,若有可能以合理的準確度分析氫,則膜之氫含量小於約20at%、小於約10at%或小於約5at%。在一些具體例中,所沉積SiOC薄膜可含有以原子計(at%)至多約70%氧。在一些具體例中,SiOC膜可包含以原子計約10%至約70%、約15%至約50%或約20%至約40%的氧。在一些具體 例中,SiOC膜可包含以原子計至少約20%、約40%或約50%氧。
在一些具體例中,所沉積SiOC薄膜可含有以原子計(at%)至多約40%碳。在一些具體例中,SiOC膜可包含以原子計約0.1%至約40%、約0.5%至約40%、約1%至約30%或約5%至約20%的碳。在一些具體例中,SiOC膜可包含以原子計至少約1%、約10%或約20%碳。
在一些具體例中,所沉積SiOC薄膜可含有以原子計(at%)至多約50%矽。在一些具體例中,SiOC膜可包含以原子計約10%至約50%、約15%至約40%或約20%至約35%的矽。在一些具體例中,SiOC膜可包含以原子計至少約15%、約20%、約25%或約30%矽。
在一些具體例中,所沉積SiOC薄膜可含有以原子計(at%)至多約40%硫。在一些具體例中,SiOC膜可包含以原子計約0.01%至約40%、約0.1%至約40%、約0.5%至約30%或約1%至約20%的硫。在一些具體例中,SiOC膜可包含以原子計至少約1%、約10%或約20%硫。在一些具體例中,所沉積SiOC膜不包含可觀量的氮。然而,在一些具體例中,沉積包含氮之SiOC膜。在一些具體例中,所沉積SiOC膜包含小於約30at%、小於約20at%、小於約15at%、小於約10at%、小於約5at%氮,小於約1at%氮或小於約0.1at%氮。在一些具體例中,SiOC薄膜不包含氮。
如上文所論述,在一些具體例中,SiOC膜可包含Si-C鍵及/或Si-O鍵。在一些具體例中,SiOC膜可另外包含Si-N鍵。在一些具體例中,SiOC膜可另外包含Si-S鍵。在一些具體例中,SiOC膜可包含Si-C鍵及Si-O鍵且可能不包含Si-N鍵。在一些具體例中,SiOC膜可包含Si-N鍵及Si-O鍵且可能不包含Si-C鍵。在一些具體例中,SiOC膜可包含Si-N鍵及Si-C鍵且可能不包含Si-O鍵。在一些具體例中,SiOC膜可包含Si-S鍵、Si-C鍵及Si-O鍵且可能不包含Si-N鍵。在一些具體例中,SiOC膜 可包含Si-S鍵及Si-C鍵且可能不包含Si-O鍵。在一些具體例中,SiOC膜可包含Si-S鍵及Si-O鍵且可能不包含Si-C鍵。在一些具體例中,SiOC膜可包含比Si-C鍵多的Si-O鍵,例如,Si-O鍵對Si-C鍵之比率可為約1:1至約10:1。在一些具體例中,所沉積SiOC膜可包含SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS及/或SiOC中之一或多者。
在一些具體例中,SiOC膜並非低k膜,例如,SiOC膜並非多孔膜。在一些具體例中,SiOC是連續膜。在一些具體例中,SiOC膜具有小於約10之k值。在一些具體例中,SiOC膜具有小於約7之k值。在一些具體例中,SiOC膜具有約2至約10之k值。在一些具體例中,SiOC膜具有小於約5.0、小於約4.5、小於約4.3、小於約4.1之k值。在一些具體例中,SiOC膜具有約3.0至約7、約3.0至約5.5、約3.0至約5.0、約3.5至約4.8、約3.5至約4.7之k值。在一些具體例中,SiOC膜之k值大於任何低k膜之k值。在一些具體例中,SiOC膜具有大於純SiO2之k值。
在一些具體例中,根據本發明沉積之SiOC膜不包含層狀或奈米層狀結構。
在一些具體例中,根據本發明沉積之SiOC膜並非自組裝單層(SAM)。在一些具體例中,根據本發明沉積之SiOC膜並不由不鍵結至彼此之單獨的個別分子組成。在一些具體例中,根據本發明沉積之SiOC膜包含實質上鍵結或鏈接在一起之材料。在一些具體例中,根據本發明沉積之SiOC膜並非官能層,並不經胺基官能化及/或並不用作官能表面。I在一些具體例中,根據本發明沉積之SiOC膜並不以-NH2基封端。在一些具體例中,根據本發明沉積之SiOC膜不含大量的-NH2基。
額外膜材料
在一些具體例中,包含除SiOC外之材料的薄膜可藉由如本文中 所描述之製程沉積及/或該薄膜之形成藉由該製程控制。舉例而言,在一些具體例中,金屬氧化物膜之形成可如本文中所描述藉由不包括氧電漿或受激發氧物種之PEALD製程控制。在此等具體例中,不同的金屬前驅物代替如本文中所描述之製程中的矽前驅物被使用。在一些具體例中,氧化鈦、氧化鈮、氧化鉭、氧化鎢、TiO(CN)及/或氧化鋁薄膜之形成可藉由如本文中所描述之PEALD製程控制。在一些具體例中,氧化鈦、TiO(CN)、氧化鈮、氧化鉭、氧化鎢及/或氧化鋁薄膜可藉由如本文中所描述之PEALD製程沉積。
在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物膜的金屬前驅物可包含揮發性化合物,其包含所要金屬及氧。待用以藉由如本文中所描述的不包括氧電漿或受激發氧物種之PEALD製程沉積金屬氧化物膜的金屬前驅物之清單提供於表1中。
Figure 107115247-A0305-02-0056-3
在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物的金屬前驅物可包含下式揮發性化合物:M(ORI)x-yRII y
其中RI可為獨立選擇之烴基且其中M是金屬或Ge,例如過渡金屬或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,其中x-y等於氧化態或金屬原 子鍵之數目,例如3、4、5或6。在存在金屬原子之雙或參鍵的一些具體例中,當決定x-y之值時,每一雙或參鍵可計數兩次或三次。在一些具體例中,RII可為包含碳、氫、氮、鹵素及/或氧之任何配位體。在一些具體例中,M是選自以下族群之過渡金屬:Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir及Pt。在一些具體例中,M是選自以下族群之過渡金屬:Cu、Fe、Co、Ni。在一些具體例中,M是選自以下族群之過渡金屬:Au、Pt、Ir、Pd、Os、Ag、Re、Rh及Ru。在一些具體例中,RI可為獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基配位體。在一些具體例中,RI可包含氧或氮或另一雜原子。在一些具體例中,RII可包含例如烯基、炔基、環烴、胺、烷基胺、苯基、羰基、醛、酯、醚、羧基、過氧基、氫過氧基、硫醇、丙烯酸酯或甲基丙烯酸酯配位體。在一些具體例中,上文之具有上式的配位體中之至少一者包含氧。在一些具體例中,M亦可為第1族或第2族金屬元素。
在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物的金屬前驅物可包含下式揮發性化合物:M(ORI)x, 其中RI可為獨立選擇之烷基且其中M是金屬或Ge,例如過渡金屬或Ge、Al、Ga、In、Sn、Pb、Bi、Sb,且其中x等於氧化態或金屬原子鍵之數目,例如3、4、5或6。在存在金屬原子之雙或參鍵的一些具體例中,當決定x之值時,每一雙或參鍵可計數兩次或三次。在一些具體例中,RI可為獨立選擇之C1至C5烷基配位體,諸如甲基、乙基、正丙基、異丙基、第三丁基或戊基配位體。在一些具體例中,M是選自以下族群之過渡金屬:Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、 W、Re、Os、Ir及Pt。在一些具體例中,M是選自以下族群之過渡金屬:Cu、Fe、Co、Ni。在一些具體例中,M是選自以下群組之過渡金屬:Au、Pt、Ir、Pd、Os、Ag、Re、Rh及Ru。在一些具體例中,M可為稀土元素,例如Sc、Y、La、Ce或Nd。
在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物膜的金屬前驅物可包含至少一個多齒配位體,諸如雙齒配位體,例如β二酮配位體(acac,thd)或經由至少一個氧鍵結至金屬原子之另一多齒/雙齒配位體。在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物膜的金屬前驅物可包含環配位體,諸如環戊二烯基配位體。
在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物膜的金屬前驅物可包含醇鹽前驅物或醇鹽配位體。在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物膜的金屬前驅物包含至少一個金屬-氧鍵。在一些具體例中,用以藉由本文中所描述之製程沉積金屬氧化物膜的金屬前驅物不包含金屬-氧鍵,但在配位體中包含氧。在一些具體例中,金屬前驅物包含金屬或Ge,例如過渡金屬或Ge、AL、Ga、In、Sn、Pb、Bi、Sb。在一些具體例中,金屬前驅物包含第1族或第2族金屬元素。在一些具體例中,M可為稀土元素,例如Sc、Y、La、Ce或Nd。
在一些具體例中,金屬氧化物膜可根據本文中所描述之製程沉積於包含光阻或其他有機材料之基板上。在一些具體例中,金屬氧化物膜可沉積於否則可藉由包括氧電漿之PEALD製程毀壞的基板上。
在一些具體例中,根據如本文中所描述之PEALD製程沉積的金屬氧化物膜之抗濕式蝕刻性可高於藉由包括氧電漿或受激發氧物種之PEALD製程沉積的類似金屬氧化物膜之抗濕式蝕刻性。如本文中所描述,在一些具體例中,金屬氧化物膜之形成可藉由在PEALD製程中自範圍(例 如,如本文中關於SiOC沉積所描述之範圍)中選擇電漿功率來控制以便達成所要階梯覆蓋率及/或WERR。亦即,在一些具體例中,用以控制如本文中所描述之SiOC膜之形成的製程條件可用以控制金屬氧化物膜之形成。
在一些具體例中,在用於沉積金屬氧化物薄膜之PEALD製程中所使用的第二反應物與本文中關於SiOC沉積所描述之第二反應物相同。在一些具體例中,第二反應物包含在包含諸如Ar之惰性氣體之氣體中產生的電漿。如上文所提及,在一些具體例中,第二反應物是在不包含氧氣之氣體中產生的電漿。在一些具體例中,第二反應物包含在Ar中產生之電漿、在Ar及N2中產生之電漿或在Ar及H2中產生之電漿。在一些具體例中,可選擇第二反應物以控制金屬氧化物膜之諸如碳及/或氮之特定組份的量。此外,可控制電漿功率以調整膜之組成。
在一些具體例中,包含鈦之金屬氧化物是藉由不使用氧電漿或其他氧反應物之PEALD製程沉積。舉例而言,氧化鈦膜可藉由使用異丙醇鈦(IV)(TTIP)結合非氧電漿之PEALD製程沉積。在一些具體例中,TTIP結合在Ar中產生之電漿、在包含Ar及氮氣之氣體中產生的電漿或在包含Ar及氫氣之氣體中產生的電漿而用於PEALD製程中。在一些具體例中,包含碳之氧化鈦膜是藉由PEALD製程沉積,在該製程中,諸如TTIP之鈦反應物是結合在惰性氣體中,諸如在純Ar氣體中產生之電漿來加以利用。碳的量可藉由改變電漿功率來調節。在一些具體例中,包含碳及氮之氧化鈦膜(TiO(CN))是藉由使用諸如TTIP之鈦反應物結合在包含氮氣之氣體中,諸如在包含Ar及N2之氣體中產生的電漿的PEALD製程沉積。
實施例
直接電漿PEALD反應器用以根據本文中所描述之製程沉積樣品SiOC膜。3-甲氧基丙基(三甲氧基矽烷)(MPTMS)用作矽前驅物。實驗是在 200℃之沉積溫度下進行。電漿是自由H2/Ar混合物組成之氣體以100/1200sccm之流動速率產生。所使用之壓力是4托,且脈衝/沖洗/Rf沖洗長度是2/4/4/0.5s。在此等參數下製程之每循環生長率(GPC)通常是約0.2Å/循環。電漿功率自200W改變至650W。圖4A、圖4B和圖4C是展示沉積於包含溝槽圖案之基板上的實施例SiOC膜之一系列掃描電子顯微照片。在200W之電漿功率下沉積的膜包括1500個循環,而在500W及650W之電漿功率下沉積的膜包括2000個循環。
可見電漿功率對階梯覆蓋率及對垂直對比水平表面GPC之選擇性具有影響。藉由使用650W之最高功率設定,無膜在溝槽頂部區域上可見以及無任何事物在開放空間場區域上可見。另一方面,溝槽側壁上之GPC是相當大的。藉由使用500及200W之較低功率,在頂部及場區域中亦可見膜。階梯覆蓋率亦取決於結構縱橫比(AR)。圖5展示當使用兩個不同的功率設定時此階梯覆蓋率如何改變。
圖6A是藉由使用200W之電漿功率的PEALD製程沉積於具有約3之縱橫比之三維特徵上的實施例SiOC膜之穿隧電子顯微照片,而圖6B是在經受0.5wt%稀HF溶液中2分鐘蝕刻之後的圖6A之實施例SiOC膜的穿隧電子顯微照片。結構之側壁及底部上的所沉積SiOC膜被蝕刻掉,而在頂部區域中,膜保留。
如圖6C中可見且如在展示於圖5中之較低縱橫比(例如,AR 1.4)的狀況下,SiOC膜亦可沉積於結構之頂部及底部區域兩者上。在結構之AR增加的情況下,沉積於結構之底部上的SiOC之WER減小。因此,在蝕刻之後,沉積於結構之頂部及底部兩者上的SiOC膜留下。發現在此等兩個區域中GPC及WER幾乎相同。
圖7A是藉由使用200W之電漿功率且包括400個沉積循環的 PEALD製程沉積於具有約1.4之縱橫比之三維特徵上的實施例SiOC膜之穿隧電子顯微照片,而圖7B是在經受0.5wt%稀HF中2分鐘濕式蝕刻之後的圖7A之實施例SiOC膜的穿隧電子顯微照片。
圖8A及圖8B展示具有藉由如本文中所描述之使用650W之電漿功率且包括700個沉積循環的PEALD製程沉積之SiOC膜的溝槽之兩個TEM影像。圖8A展示如所沉積之SiOC膜,而圖8B展示在經受0.5wt%稀HF中2分鐘濕式蝕刻之後的圖8A之實施例SiOC膜。圖8C是展示沉積於具有約1之縱橫比之三維特徵上的實施例SiOC膜之不同區域的每循環生長率(GPC)及濕式蝕刻速率比率(WERR)的條形圖。側壁及底部上之GPC遠高於頂部上之GPC。且如圖8B中所展示,頂部區域中之膜可輕易地被蝕刻掉,而側壁及底部上之膜將保留。發現膜之WER對熱氧化矽之WER的比率遠小於頂部及底部區域中之比率。因此,有可能藉由引入高功率及HF蝕刻來僅在側壁上獲得膜。但其限於具有相對較低AR(例如,低於3)之結構。
圖9A是藉由使用650W之電漿功率的PEALD製程沉積於具有約3之縱橫比之三維特徵上的實施例SiOC膜之穿隧電子顯微照片,而圖9B是在經受0.5wt%稀HF中2分鐘濕式蝕刻之後的圖9A之實施例SiOC膜的穿隧電子顯微照片。在圖9A中可見幾乎無膜沉積於結構之頂表面上,而厚膜沉積於側壁及底部上。在蝕刻之後,在圖9B中可見在側壁上比在底部上蝕刻掉更多膜。圖9C展示彼等三個區域中之GPC及WER的差異。在底部區域中,膜以最高GPC沉積且其亦展示遠低於頂部及側壁上之WER的WER。此結果指示藉由引入較高沉積功率及HF蝕刻處理,有可能僅在底部上獲得膜。
使用XPS來量測來自使用200W之電漿功率在200℃及250℃下 沉積的樣品之樣品SiOC膜組成。碳含量實質上是低的,處於約1至3at%。量測10nm 200℃/200W膜之k值且發現其是約4。
圖10A是藉由包括MPTMS作為矽前驅物以及在67W之功率下自H2及Ar氣體產生之電漿的PEALD製程沉積於三維特徵上的實施例SiOC膜之掃描電子顯微照片。沉積溫度是200℃且反應室壓力是4托。該膜是藉由包括6/4/4/1秒之MPTMS/沖洗/RF/沖洗時間的1000個沉積循環沉積。圖10B是在經受0.1wt%稀HF濕式蝕刻之後的圖10A之實施例SiOC膜的掃描電子顯微照片。觀測到在蝕刻製程之後實質上無膜保留在側壁上。
圖11是藉由包括MPTMS作為矽前驅物以及在650W之功率下自H2及Ar氣體產生之電漿的PEALD製程沉積於三維特徵上的實施例SiOC膜之掃描電子顯微照片。沉積溫度是200℃且反應室壓力是4托。該膜是藉由包括2/4/4/0.5秒之MPTMS/沖洗/RF/沖洗時間的2000個沉積循環沉積。觀測到側壁上之膜厚度是63nm,而頂表面上之厚度實質上較低,僅8nm。
在其他實驗中,對所沉積SiOC膜進行沉積後電漿處理(PT)。基本上如上文所描述,SiOC是在200℃之沉積溫度下自3-甲氧基丙基(三甲氧基矽烷)(MPTMS)及氫電漿沉積於溝槽圖案上。SiOC膜經受沉積後電漿處理,在沉積後電漿處理中,使該膜曝露於在334W或835W下產生於Ar/H2氣體中的氫電漿。如下表2中可見,溝槽之頂部上的厚度在電漿處理之後減小。然而,厚度在側壁及底部上增加。階梯覆蓋率自不應用處理時的0.6增加至利用在334W下產生之電漿進行處理之後的1,且自0.6增加至使用835W之功率時的1.7。在不希望受任何理論約束之情況下,咸信結構之頂部上的SiOC膜未被蝕刻掉,而是再沉積於溝槽之側壁及底 部上。
Figure 107115247-A0305-02-0063-4
此外,對沉積於如上文所描述之溝槽結構上的SiOC膜進行使用氮、氫及氧電漿之電漿後處理(PT)。電漿是在H2、O2及N2氣體中之每一者與Ar氣體混合物中產生。施加300W之功率且將電漿處理重複50個循環,其中脈衝(曝露)時間是6秒且循環之間的的沖洗是1秒。在利用三種電漿反應物中之每一者進行電漿處理之後,量測稀HF中之濕式蝕刻速率(WER)。如下表3中所展示,側壁之濕式蝕刻速率藉由氧電漿處理及氮電漿處理但不藉由氫電漿處理改良。
Figure 107115247-A0305-02-0063-5
氧化鈦薄膜是藉由使用異丙醇鈦(IV)(TTIP)作為鈦前驅物之無氧PEALD製程在直接電漿PEALD反應器中沉積。自在70℃下加熱之源瓶提供TTIP。與三種不同的電漿反應物交替且依序地提供TTIP反應物。電漿是在Ar、Ar及N2以及Ar及H2中產生。在200℃之沉積溫度下進行實驗。圖12A展示所得膜之折射率。在含H2電漿之狀況下,折射率非常接近TiO2之折射率。然而,含N2電漿及純Ar電漿展示不同折射率,指示可變的膜組成。圖12B展示使用三種不同的電漿反應物之氧化鈦膜的生 長率。
下表4展示自RBS及XZPS導出之組成資料。XPS及RBS兩者指示TiO2膜藉由含H2電漿沉積。無結晶結構由XRD量測看到。指示非晶TiO2經沉積。在0.5% dHF溶液中之相對於熱氧化矽(TOX)的濕式蝕刻速率比率(WERR)是約0.5。此低WERR使膜可用於一些圖案化應用中。四點探針電阻率量測指示所沉積TiO2膜之極高電阻率。
當純Ar電漿用作電漿反應物時,所得膜是富碳TiOC膜。碳含量可藉由調節電漿功率來修改。另外,含N2電漿將氮引入至膜中,產生TiOCN膜。
Figure 107115247-A0305-02-0064-6
如文中所使用,術語「約」可指在給定值之15%內、10%內、5%內或1%內的值。
為簡單起見,本文中使用術語「膜(film)」及「薄膜(thin film)」。「膜」及「薄膜」意欲意指藉由本文中所揭示方法而沉積之任何連續或不連續結構及材料。舉例而言,「膜」及「薄膜」可包括2D材料、奈米棒、奈米管或奈米粒子,或甚至單個部分或完全分子層或部分或完全原子層, 或原子及/或分子之群集。「膜」及「薄膜」可包含具有針孔但仍為至少部分連續之材料或層。
熟悉技藝人士當明瞭可進行許多及各種修改而不脫離本發明之精神。所描述的特徵、結構、特性及前驅物可以任何合適方式組合。因此,應清楚明瞭本發明之形式僅為說明性而非意欲限制本發明之範疇。所有修改及變化意欲歸屬於如由隨附申請專利範圍所界定之發明範疇內。
100:製程
120、130、140、150、160、170:步驟

Claims (20)

  1. 一種用於控制碳氧化矽(SiOC)薄膜在基板之三維特徵上之階梯覆蓋率的方法,包括:藉由包括至少一個沉積循環之電漿增強型原子層沉積(PEALD)製程在所述基板之所述三維特徵上沉積所述SiOC薄膜,所述至少一個沉積循環包括:使所述基板與包含氧之氣相矽前驅物接觸;以及使所述基板與第二反應物接觸,所述第二反應物包括來自在100W至650W之電漿功率下產生於不包含氧氣之氣體中之電漿的反應性物種,且其中所述SiOC薄膜在所述三維特徵上具有20%或大於20%之階梯覆蓋率。
  2. 如申請專利範圍第1項所述的方法,更包括:在使所述基板與所述氣相矽前驅物接觸之後且在使所述基板與所述第二反應物接觸之前移除過量的所述氣相矽前驅物。
  3. 如申請專利範圍第1項所述的方法,其中所述至少一個沉積循環經重複以形成具有所要期望之厚度的所述SiOC薄膜。
  4. 如申請專利範圍第1項所述的方法,其中所述三維特徵具有約1至約10之縱橫比(aspect ratio)。
  5. 如申請專利範圍第1項所述的方法,其中所述氣相矽前驅物包含氧且用於所述至少一個沉積循環中之其他反應物不包含氧。
  6. 如申請專利範圍第1項所述的方法,其中所述氣相矽前驅物包含至少一個烷氧基。
  7. 如申請專利範圍第6項所述的方法,其中所述氣相矽前驅物包含3-甲氧基丙基三甲氧基矽烷(MPTMS)。
  8. 如申請專利範圍第1項所述的方法,其中所述第二反應物包含氫原子、氫自由基或氫離子。
  9. 如申請專利範圍第1項所述的方法,其中所述階梯覆蓋率是約20%至約1000%。
  10. 如申請專利範圍第1項所述的方法,其中形成於所述三維特徵之垂直表面上的所述SiOC薄膜的濕式蝕刻速率對形成於所述三維特徵之水平表面上的所述SiOC薄膜的濕式蝕刻速率的比率是自約0.2至約15。
  11. 如申請專利範圍第1項所述的方法,更包括:使所沉積之所述SiOC薄膜曝露於由形成於包含H2、N2或O2之氣體中的電漿所產生的至少一種反應性物種。
  12. 如申請專利範圍第11項所述的方法,其中使所沉積之所述SiOC薄膜曝露於所述至少一種反應性物種會減小所述三維特徵之垂直表面上所述SiOC薄膜之濕式蝕刻速率(WER)。
  13. 如申請專利範圍第11項所述的方法,其中使所沉積之所述SiOC薄膜曝露於所述至少一種反應性物種會減小所述三維特徵之水平表面上的所述SiOC薄膜之厚度且增加沉積於所述三維特徵之垂直表面上的所述SiOC薄膜之厚度。
  14. 如申請專利範圍第1項所述的方法,更包括:蝕刻所沉積之所述SiOC薄膜,其中蝕刻所沉積之所述SiOC薄膜會自所述三維特徵之垂直表面移除實質上所有的所沉積之所述SiOC薄膜且不會自所述三維特徵之水平表面移除實質上所有的所沉積之所述SiOC薄 膜。
  15. 一種用於相對於基板上之三維特徵之第二垂直表面在所述三維特徵之第一水平表面上選擇性地形成SiOC的製程,所述製程包括:藉由電漿增強型原子層沉積(PEALD)製程在所述三維特徵之水平表面及垂直表面上沉積SiOC,所述PEALD製程包括使所述基板交替且依序地與包含氧之矽前驅物以及第二反應物接觸,所述第二反應物包括形成於包含氫氣但不包含氧氣之氣體中的電漿,其中沉積於所述水平表面上之所述SiOC的蝕刻速率低於沉積於所述垂直表面上之所述SiOC的蝕刻速率;以及蝕刻所沉積之所述SiOC,其中蝕刻所沉積之所述SiOC會自所述垂直表面移除實質上所有的所沉積之所述SiOC且不會自水平表面移除實質上所有的所述SiOC。
  16. 如申請專利範圍第15項所述的製程,其中所述矽前驅物包括矽醇鹽(silicon alkoxide)。
  17. 如申請專利範圍第15項所述的製程,其中所述電漿形成於包含H2及Ar的氣體中。
  18. 如申請專利範圍第15項所述的製程,其中蝕刻所沉積之所述SiOC包含使所沉積之所述SiOC曝露於0.5wt%的稀HF達所要持續的時間。
  19. 如申請專利範圍第15項所述的製程,其中蝕刻所沉積之所述SiOC包含使所沉積之所述SiOC曝露於電漿。
  20. 一種用於控制藉由電漿增強型原子層沉積(PEALD)製程形成於基板之三維特徵上的碳氧化矽(SiOC)薄膜之階梯覆蓋率的方法,其中所述PEALD製程包括至少一個沉積循環,所述至少一個沉積循環包括: 使所述基板與氣相矽醇鹽接觸;以及使所述基板與第二反應物接觸,所述第二反應物包括在約200W至約650W之電漿功率下產生於包含氫氣但不包含氧氣之氣體中的電漿,其中所述電漿功率經選擇以產生在所述三維特徵上具有20%或大於20%之階梯覆蓋率的SiOC薄膜,且其中所述三維特徵具有自約1至約3的縱橫比。
TW107115247A 2017-05-05 2018-05-04 用於控制含氧薄膜的形成的電漿增強型沉積製程 TWI769248B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762502118P 2017-05-05 2017-05-05
US62/502,118 2017-05-05

Publications (2)

Publication Number Publication Date
TW201843734A TW201843734A (zh) 2018-12-16
TWI769248B true TWI769248B (zh) 2022-07-01

Family

ID=64016760

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111120108A TWI835151B (zh) 2017-05-05 2018-05-04 在基板上形成碳氧化矽的方法
TW107115247A TWI769248B (zh) 2017-05-05 2018-05-04 用於控制含氧薄膜的形成的電漿增強型沉積製程

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111120108A TWI835151B (zh) 2017-05-05 2018-05-04 在基板上形成碳氧化矽的方法

Country Status (6)

Country Link
US (2) US11158500B2 (zh)
JP (2) JP7249952B2 (zh)
KR (2) KR20240010760A (zh)
CN (2) CN110546302B (zh)
TW (2) TWI835151B (zh)
WO (1) WO2018204709A1 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200130652A (ko) * 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20220006663A (ko) * 2019-06-07 2022-01-17 램 리써치 코포레이션 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
US11296209B2 (en) 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11932940B2 (en) * 2019-11-12 2024-03-19 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
KR20210079767A (ko) * 2019-12-20 2021-06-30 삼성전자주식회사 물질막 형성 방법과, 집적회로 소자 및 그 제조 방법
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210132606A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 반도체 기판 상의 3차원 구조에 갭을 충진하는 방법
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN114622183A (zh) * 2020-12-11 2022-06-14 湖南红太阳光电科技有限公司 一种制备氧化硅薄膜的方法
KR102604059B1 (ko) * 2020-12-18 2023-11-17 삼성전자주식회사 반도체 소자 제조 방법
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070232082A1 (en) * 2006-03-31 2007-10-04 Mihaela Balseanu Method to improve the step coverage and pattern loading for dielectric films
US20070251444A1 (en) * 2006-04-25 2007-11-01 Stmicroelectronics S.A. PEALD Deposition of a Silicon-Based Material
TW201522700A (zh) * 2013-10-29 2015-06-16 Tokyo Electron Ltd 氧碳氮化矽膜、氧碳化矽膜、氧氮化矽膜之成膜方法及成膜裝置

Family Cites Families (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
WO1996020298A1 (de) 1994-12-27 1996-07-04 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US6066358A (en) 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5891804A (en) 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6156651A (en) 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
TW465048B (en) 1999-03-26 2001-11-21 Taiwan Semiconductor Mfg Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
WO2001088972A1 (en) 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
CN1258617C (zh) 2001-03-20 2006-06-07 马特森技术公司 用于在衬底上沉积具有较高介电常数的涂层的方法
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP4108999B2 (ja) * 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
JP4987717B2 (ja) * 2004-08-18 2012-07-25 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
WO2007080944A1 (ja) * 2006-01-13 2007-07-19 Tokyo Electron Limited 多孔質膜の成膜方法およびコンピュータ可読記録媒体
DE102006004430B4 (de) 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US20090291293A1 (en) 2006-07-14 2009-11-26 Dai Nippon Printing Co., Ltd. Film with transparent electroconductive membrane and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
WO2008121478A2 (en) 2007-03-28 2008-10-09 Dow Corning Corporation Roll-to-roll plasma enhanced chemical vapor deposition method of barrier layers comprising silicon and carbon
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
FR2950633B1 (fr) 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
EP2598441B1 (en) 2010-07-26 2021-01-06 Waters Technologies Corporation Superficially porous materials comprising a substantially nonporous core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012147955A1 (ja) 2011-04-28 2012-11-01 富士フイルム株式会社 導電性部材、その製造方法、タッチパネル及び太陽電池
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013016594A2 (en) 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US20130078454A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
CN107342216B (zh) 2011-09-23 2022-05-31 诺发系统公司 等离子体活化保形电介质膜沉积
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
WO2013054655A1 (ja) 2011-10-14 2013-04-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US11626279B2 (en) * 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
JP6022274B2 (ja) 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022276B2 (ja) 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9355839B2 (en) * 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
TWI654336B (zh) 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
JP6438038B2 (ja) 2014-09-19 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
US9799511B2 (en) * 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
JP5957128B2 (ja) * 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10475642B2 (en) 2016-04-21 2019-11-12 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US9997462B2 (en) 2016-10-28 2018-06-12 Samsung Electronics Co., Ltd. Semiconductor memory devices
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10332747B1 (en) 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070232082A1 (en) * 2006-03-31 2007-10-04 Mihaela Balseanu Method to improve the step coverage and pattern loading for dielectric films
US20070251444A1 (en) * 2006-04-25 2007-11-01 Stmicroelectronics S.A. PEALD Deposition of a Silicon-Based Material
TW201522700A (zh) * 2013-10-29 2015-06-16 Tokyo Electron Ltd 氧碳氮化矽膜、氧碳化矽膜、氧氮化矽膜之成膜方法及成膜裝置

Also Published As

Publication number Publication date
US20220044931A1 (en) 2022-02-10
TW201843734A (zh) 2018-12-16
KR20200004317A (ko) 2020-01-13
TW202235660A (zh) 2022-09-16
CN110546302B (zh) 2022-05-27
KR102627238B1 (ko) 2024-01-19
US20200395211A1 (en) 2020-12-17
JP7511040B2 (ja) 2024-07-04
WO2018204709A1 (en) 2018-11-08
US11776807B2 (en) 2023-10-03
CN114875388A (zh) 2022-08-09
JP2023075174A (ja) 2023-05-30
CN110546302A (zh) 2019-12-06
JP2020519007A (ja) 2020-06-25
JP7249952B2 (ja) 2023-03-31
US11158500B2 (en) 2021-10-26
KR20240010760A (ko) 2024-01-24
TWI835151B (zh) 2024-03-11

Similar Documents

Publication Publication Date Title
TWI769248B (zh) 用於控制含氧薄膜的形成的電漿增強型沉積製程
JP7479334B2 (ja) SiOC薄膜の形成
JP7135187B2 (ja) SiOCN薄膜の形成
TWI763839B (zh) 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films