KR20240010760A - 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정 - Google Patents

산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정 Download PDF

Info

Publication number
KR20240010760A
KR20240010760A KR1020247001716A KR20247001716A KR20240010760A KR 20240010760 A KR20240010760 A KR 20240010760A KR 1020247001716 A KR1020247001716 A KR 1020247001716A KR 20247001716 A KR20247001716 A KR 20247001716A KR 20240010760 A KR20240010760 A KR 20240010760A
Authority
KR
South Korea
Prior art keywords
sioc
plasma
deposited
substrate
film
Prior art date
Application number
KR1020247001716A
Other languages
English (en)
Inventor
링윈 지아
필야미 제이. 포어
마르코 투오미넨
선자 김
오레스테 마디아
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20240010760A publication Critical patent/KR20240010760A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Abstract

반응 공간 내의 기판 상에 실리콘 옥시카바이드(SiOC) 및 실리콘 옥시카보나이트라이드(SiOCN) 박막과 같이, 산소를 함유한 박막의 형성을 제어하는 방법을 제공한다. 방법은, 산소를 포함하는 실리콘 전구체, 및 산소를 포함하지 않는 제2 반응물과 기판을 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 플라즈마 강화 원자층 증착(PEALD) 사이클을 포함할 수 있다. 일부 구현예에서, 3차원 특징부 상에 증착된 막에 대해 원하는 스텝 커버리지 또는 습식 식각 속도 비율(WERR)을 달성하기 위한 범위로부터 플라즈마 전력을 선택할 수 있다.

Description

산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정 {Plasma Enhanced Deposition Processes for Controlled Formation of Oxygen Containing Thin Film}
관련 출원의 상호 참조
본 출원은 2017년 5월 5일에 출원된 미국 가출원 번호 62/502,118에 대한 우선권을 주장한다
기술분야
본 개시는 일반적으로 반도체 소자 제조 분야에 관한 것으로, 보다 구체적으로 바람직한 특성을 갖는 박막의 형성을 제어하기 위한 공정에 관한 것이다.
유전 상수(k) 값과 산 계열 습식 식각 속도가 비교적 낮은 유전체 재료의 필요성이 늘고 있다. 실리콘 옥시카바이드 또는 실리콘 옥시카보나이트라이드(SiOCN)는 이들 요건 일부를 충족할 수 있다. 전형적으로, SiOC 또는 SiOCN에 대한 증착 공정은 산소 플라즈마를 필요로 한다. 또한, 상이한 재료 또는 상이한 배향을 포함하는 표면과 같이 상이한 한 표면에 대해, 반도체 피가공물과 같은 기판의 다른 한 표면 상에 막을 증착 또는 형성할 수 있는 능력이 바람직하다. 예를 들어, 선택적 증착은 반도체 소자 제작에 연관된 단계의 수를 감소시킬 수 있다.
본 출원은 일반적으로 플라즈마 강화 원자층 증착(PEALD)에 의해 기판 상에 옥사이드를 증착하는 것에 관한 것이다. 일부 구현예에서, PEALD 공정은 산소 플라즈마 또는 다른 반응성 산소 종을 이용하지 않는다.
일 양태에서, 기판의 3차원 특징부 상의 실리콘 옥시카바이드(SiOC) 박막의 스텝 커버리지를 제어하기 위한 방법을 제공한다. 산소를 포함하는 실리콘 기상 전구체와 기판이 접촉되고 이어서 제2 플라즈마 반응물과 접촉되는 증착 사이클을 하나 이상 포함하는 PEALD 공정에 의해 SiOC 막을 증착할 수 있다. 일부 구현예에서, 상기 제2 플라즈마 반응물은 산소 없는 플라즈마를 포함한다. 제2 플라즈마 반응물은 650 W 이하의 플라즈마 전력에서 반응물 가스 내에 생성될 수 있다.
일부 구현예에서, SiOC 박막은 3차원 특징부 상의 스텝 커버리지를 20 % 이상 갖는다. 일부 구현예에서, 스텝 커버리지는 약 20 % 내지 약 1000 %이다. 일부 구현예에서, 3차원 특징부는 약 1 내지 약 3의 종횡비를 갖는다.
일부 구현예에서, 실리콘 전구체는 산소를 포함하고, 증착 사이클에서 다른 반응물은 산소를 포함하지 않는다. 일부 구현예에서, 실리콘 전구체는 적어도 하나의 알콕시기를 포함한다. 예를 들어, 실리콘 전구체는 3-메톡시프로필트리메톡시실란(MPTMS)을 포함할 수 있다.
일부 구현예에서, 제2 반응물은 수소 플라즈마, 수소 원자, 수소 라디칼, 또는 수소 이온을 포함할 수 있다.
일부 구현예에서, 3차원 특징부의 수직 표면 상에 형성된 SiOC 막의 습식 식각 속도 대 3차원 특징부의 수평 표면 상에 형성된 SiOC 막의 습식 식각 속도의 비율은 약 0.2 내지 약 15이다.
일부 구현예에서, 방법은 H2, N2 또는 O2를 포함하는 가스 내에 형성된 플라즈마에 의해 발생된 적어도 하나의 반응성 종에 SiOC 증착 막을 노출시키는 단계를 추가로 포함한다. 이러한 노출은 3차원 구조의 수직 표면 상의 SiOC의 습식 식각 속도를 감소시키거나, 수평 표면 상의 SiOC 막의 두께를 감소시키면서 수직 표면 상의 SiOC 막의 두께를 증가시킨다.
일부 구현예에서, 방법은 SiOC 증착 막을 식각하는 단계를 추가로 포함한다. 식각은, 3차원 특징부의 수평 표면으로부터가 아니고 실질적으로 수직 표면으로부터 모든 SiOC를 제거하는 단계를 포함할 수 있다.
일부 구현예에서, 구조의 제2 수직 표면에 대해 기판 상의 3차원 구조의 제1 수평 표면 상에 SiOC를 선택적으로 증착하기 위한 방법을 제공한다. 공정은 반응물로서 산소를 포함하는 실리콘 반응물과 산소 없는 플라즈마를 사용하는 PEALD 공정을 포함할 수 있다. 수평 표면 상에 증착된 SiOC는 수직 표면 상에 증착된 것보다 낮은 식각 속도를 가질 수 있어서, 증착된 SiOC의 식각은 수평 표면보다 수직 표면에서 더 많은 양의 SiOC를 제거한다. 일부 구현예에서, 모든 SiOC는 수직 표면으로부터 제거되지만, 일부 SiOC는 수평 표면 상에 남는다. 식각은 증착된 SiOC를 0.5 %의 희석된 HF에 노출시키는 단계를 포함할 수 있다. 다른 구현예에서, 식각은 증착된 SiOC를 플라즈마 반응물에 노출시키는 단계를 포함할 수 있다.
일부 구현예에서, PEALD 공정은 실리콘 알콕시드 기상 전구체, 및 수소를 포함하는 가스에서 형성된 플라즈마에 의해 생성된 적어도 하나의 반응성 종과 기판을 교대 순차적으로 접촉시키는 단계를 포함한다.
도 1a는 일부 구현예에 따른 플라즈마 강화 원자층 증착(PEALD) 공정에 의한 실리콘 옥시카바이드(SiOC) 박막의 형성을 제어하기 위한 공정 흐름도이다.
도 1b는 일부 구현예에 따른 PEALD 공정에 의해 옥사이드 박막의 형성을 제어하기 위한 공정 흐름도이다.
도 2는 일부 구현예에 따른 PEALD 공정에 의해 SiOC 박막의 형성을 제어하기 위한 공정 흐름도이다.
도 3은 PEALD 공정에 의해 3차원 특징부의 수직 표면에 대해 동일한 3차원 특징부의 수평 표면 상에 SiOC 박막을 선택적으로 형성하기 위한 공정 흐름도이다.
도 4는 200 W 내지 650 W의 플라즈마 전력을 갖는 일부 구현예에 따르고, 본원에 기술된 바와 같은 PEALD 공정에 의해 증착된 예시적인 SiOC 막을 도시한 일련의 주사 전자 현미경 사진이다.
도 5는 본원에서 기술된 바와 같이 그리고 일부 구현예에 따라 200 W와 500 W의 플라즈마 전력에 대해 가변하는 종횡비를 갖는 3차원 구조 상에 PEALD 공정으로 증착된 예시적인 SiOC 막의 스텝 커버리지를 도시한 막대 그래프이다.
도 6a는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 200 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 종횡비가 약 3인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 터널링 전자 현미경 사진이다.
도 6b는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 습식 식각을 거친 후, 도 6a의 예시적인 SiOC 막의 터널링 전자 현미경 사진이다.
도 6c는 본원에서 설명된 바와 같이 그리고 일부 구현예에 따라 200 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 종횡비가 약 3인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 상이한 영역에 대한 사이클 당 성장 속도(GPC) 및 습식 식각 속도 비율(WERR)을 보여주는 막대 그래프이다.
도 7a는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 200 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 종횡비가 약 1.4인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 터널링 전자 현미경 사진이다.
도 7b는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 습식 식각을 거친 후, 도 7a의 예시적인 SiOC 막의 터널링 전자 현미경 사진이다.
도 8a는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 650 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 종횡비가 약 1(우측)과 약 3(좌측)인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 터널링 전자 현미경 사진이다.
도 8b는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 습식 식각을 거친 후, 도 8a의 SiOC 막의 터널링 전자 현미경 사진이다.
도 8c는 본원에서 설명된 바와 같이 그리고 일부 구현예에 따라 650 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 종횡비가 약 1인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 상이한 영역에 대한 사이클 당 성장(GPC) 및 습식 식각 속도 비율(WERR)을 보여주는 막대 그래프이다.
도 8d는 본원에서 설명된 바와 같이 그리고 일부 구현예에 따라 650 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 종횡비가 약 3인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 상이한 영역에 대한 사이클 당 성장 속도(GPC) 및 습식 식각 속도 비율(WERR)을 보여주는 막대 그래프이다.
도 9a는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 PEALD 공정에 의해 3차원 특징부 상에 증착된 예시적인 SiOC 막의 주사 전자 현미경 사진이다.
도 9b는 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 습식 식각을 거친 후, 도 10a의 예시적인 SiOC 막의 주사 전자 현미경 사진이다.
도 10은 본원에 기술된 바와 같이 그리고 일부 구현예에 따라 650 W의 플라즈마 전력을 갖는 PEALD 공정에 의해 증착된 예시적인 SiOC 막의 주사 전자 현미경 사진이다.
도 11a는 상이한 플라즈마 반응물을 사용하여 티타늄 이소프로폭시드(IV)를 사용하여 증착된 TiO(CN) 막의 굴절률(R.I.)을 나타내는 그래프이다.
도 11b는 상이한 플라즈마 반응물을 사용하여 티타늄 이소프로폭시드(IV)를 사용하여 증착된 TiO(CN) 막의 사이클 당 성장 속도를 나타내는 그래프이다.
일부 구현예에서, SiOC, SiOCN, TiO2, 또는 Al2O3 막과 같이 산소를 포함하는 막의 형성은, 산소를 포함하는 제1 반응물 및 산소 없는 플라즈마를 포함하는 제2 반응물을 사용하는 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 제어될 수 있다. 일부 구현예에서, 제2 반응물은, 산소를 포함하지 않는 가스에서 생성된 플라즈마로부터의 종을 포함한다.
실리콘 옥시카바이드(SiOC) 막은, 예를 들어 집적 회로 제조의 당업자에게 명백할 정도로 광범위하게 다양한 응용을 갖는다. 보다 구체적으로, 저 식각 속도를 나타내는 SiOC 막은 반도체 산업 안팎에서 다양한 응용 분야를 갖는다. SiOC 막은, 예를 들어, 식각 정지층, 희생층, 저 유전율 스페이서, 반사방지층(ARL), 및 패시베이션층으로서 유용할 수 있다.
본 개시의 일부 구현예에 따르면, 다양한 SiOC막, 전구체, 및 상기 막을 증착하기 위한 방법을 제공한다. 일부 구현예에서, SiOC 막은 비교적 낮은 습식 식각 속도를, 예를 들어, dHF와 같은 산 계열 식각 용액에서 갖는다.
일부 구현예에서, SiOC 박막은 적어도 하나의 알콕시기 및 산소 없는 플라즈마를 포함하는 실리콘 전구체를 포함하는 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 기판 상에 증착된다. 일부 구현예에서, SiOC 박막은 액상 방법에 의해 증착되지 않는다. 일부 구현예에서, SiOC 박막은 finFET 소자의 형성에 있어서 핀과 같은 3차원 구조 위에 증착된다.
일부 구현예에서, SiOC 박막은 3차원 구조 또는 특징부를 포함하는 기판 상에 증착되고, 3차원 구조의 수직 표면 상에 증착된 막의 두께, 3차원 구조의 수평 표면 상에 증착된 막의 두께, 3차원 구조의 수평 표면 상에 증착된 막의 습식 식각 속도(WER), 및/또는 3차원 구조의 수평 표면 상에 증착된 막의 습식 식각 속도(WER)와 같은 하나 이상의 막 특성을 본원에 기술된 플라즈마 강화 ALD(PEALD) 공정 중에 적절한 플라즈마 전력을 선택함으로써 제어할 수 있다. 일부 구현예에서, 플라즈마 전력은 3차원 구조의 수직 및 수평 표면과 같은 상이한 표면에 대한 차등 효과를 달성하도록 제어된다. 일부 구현예에서, SiOC 막이 증착되는 3차원 특징부의 종횡비는, 원하는 두께, 증착된 SiOC 막의 일부에 대한 습식 식각 속도, 및/또는 상이한 표면 상에 증착된 막의 부분에 대한 두께 및/또는 식각 속도의 비율과 같은 원하는 결과를 달성하기 위해 선택될 수 있는 플라즈마 전력에 영향을 미칠 수 있다.
일부 구현예에서, 3차원 특징부에 증착된 SiOC 막의 스텝 커버리지는, 약 1 내지 약 10의 종횡비를 갖는 3차원 특징부에 대한 PEALD 공정 중에 적절한 플라즈마 전력을 선택함으로써 제어될 수 있다. 일부 구현예에서, 플라즈마 전력은 약 25 % 내지 약 1000 % 이상의 원하는 스텝 커버리지를 달성하도록 선택될 수 있다.
일부 구현예에서, 3차원 특징부의 측벽과 같은 수직 표면에 증착된 SiOC 막의 식각 속도(WER과 같음) 대 3차원 특징부의 최상부 표면과 같은 수평 표면 상에 증착된 SiOC 막의 식각 속도의 비율은, 본원에 기술된 적절한 플라즈마 전력을 선택함으로써 제어될 수 있다. 일부 구현예에서, 식각 속도는, 3차원 특징부의 상이한 표면 상에 상이한 식각 속도를 갖는 막을 증착함으로써, 예를 들어 3차원 특징부의 수직 및 수평 표면에 상이한 식각 속도를 갖는 막을 증착함으로써 제어된다. 일부 구현예에서, 플라즈마 전력은 약 0.2 내지 약 15의 원하는 WER 비율(WERR)를 달성하도록 선택될 수 있다.
일부 구현예에서, 증착된 SiOC 막, 예를 들어 3차원 구조에 원하는 스텝 커버리지 또는 원하는 WERR을 달성하기 위해 증착된 SiOC 막은 플라즈마 처리 및/또는 식각과 같은 증착 후 처리를 받을 수 있다.
일부 구현예에서, 증착 후 처리는 SiOC 막이 원하는 시간 동안 플라즈마 반응물과 접촉되는 플라즈마 처리를 포함한다. 일부 구현예에서, SiOC 막은 하나 이상의 수소, 산소 또는 질소 플라즈마에 노출된다.
일부 구현예에서, 증착 후 플라즈마 처리는 제1 표면 및 상이한 제2 표면 상에서 SiOC 막과 같은 옥사이드 막의 두께를 차등적으로 변화시킬 수 있다. 예를 들어, 증착 후 플라즈마 처리는, 수평 표면 상에 증착된 SiOC 막의 두께가 제1 표면 상에 증착된 SiOC 막의 두께보다 실질적으로 감소되도록, 기판의 수직 표면 및 기판의 수평 표면 상의 SiOC 막의 두께를 감소시킬 수 있다.
일부 구현예에서, 증착 후 플라즈마 처리는 상이한 표면 상의 막 두께를 증가시키면서 한 표면 상의 두께를 감소시킬 수 있다. 예를 들어, 트렌치 상에 증착된 옥사이드 막의 증착 후 플라즈마 처리는, 트렌치의 최상부에서 옥사이드 막의 두께를 감소시키면서, 측벽 상의 및/또는 트렌치의 바닥에서의 막 두께를 증가시킨다.
일부 구현예에서, 증착 후 플라즈마 처리는 3차원 구조 상에서 옥사이드 막의 등각성을 개선할 수 있다. 일부 구현예에서, 증착 후 플라즈마 처리는 트렌치 같은 3차원 구조 상의 옥사이드 막의 스텝 커버리지를 증가시킬 수 있다. 일부 구현예에서, 상기 스텝 커버리지는 1 미만 내지 1 초과, 심지어 2까지 증가될 수 있다. 예를 들어, 트렌치 상의 SiOC 막과 같은 옥사이드 막의 스텝 커버리지는 플라즈마 반응물에 노출됨으로써 증가될 수 있다.
일부 구현예에서, 증착 후 처리는, 트렌치의 수직 또는 측벽 표면과 같은, 기판 상의 특징부의 제1 표면 상의 옥사이드 막의 WER을 감소시키는 플라즈마 처리를 포함한다. 일부 구현예에서, 트렌치의 측벽 상의 SiOC와 같은 산화물의 WER은, 증착 후 플라즈마 처리가 없으면 측벽의 WER에 대해 30 %, 40 %, 50 %, 60 %, 70 %, 80 %, 90 %, 95 %, 또는 심지어 99 %만큼 감소될 수 있다. 습식 식각 속도는 당업계에 공지된 바와 같이 dHF에서 측정될 수 있다.
일부 구현예에서, 두 표면이 증착 후 플라즈마 처리에서 플라즈마 반응물과 접촉되는 경우, 제1 표면 상에 증착된 옥사이드 막의 WER은 제2 표면의 WER보다 많이 감소된다. 예를 들어, 일부 구현예에서 3차원 특징부의 제1 수직 표면 및 제2 수평 표면 상의 SiOC 막의 WER은 증착 후 플라즈마 처리에 의해 감소되지만, 제1 표면 상의 SiOC 막의 WER은 제2 표면 상의 SiOC 막의 WER보다 실질적으로 더 감소된다.
일부 구현예에서, 증착 후 플라즈마 처리는 H2, O2 또는 N2 N2O, NO, NO2, NH3, CO2, 또는 CO를 포함하는 가스에서 생성된 플라즈마에, 3차원 구조 상의 SiOC 막과 같이 증착된 옥사이드 막을 노출시키는 단계를 포함할 수 있다. 예를 들어, 증착된 옥사이드 막은 O2 및 Ar의 조합 또는 N2 및 Ar의 조합에서 생성되는 플라즈마에 노출될 수 있다. 일부 구현예에서, 플라즈마는 약 10 W 내지 약 5000 W, 약 100 W 내지 약 1000 W, 약 200 W 내지 약 800 W, 약 300 내지 800 W, 또는 약 300 W 내지 약 500 W의 플라즈마 전력을 사용하여 생성될 수 있다. 일부 구현예에서, 플라즈마 전력은 약 300 W이다. 일부 구현예에서, 증착 후 플라즈마 처리는 약 0.5 내지 60 분, 약 1 내지 30 분, 약 3 내지 15 분, 또는 약 5 내지 10 분 동안 수행될 수 있다.
일부 구현예에서, 3차원 구조 상에 증착된 옥사이드 막은 Ar 및 H2 가스의 혼합물에서 생성된 플라즈마와 같은 수소 플라즈마에 노출된다. 일부 구현예에서, 플라즈마는 약 10 W 내지 약 5000 W, 약 100 W 내지 약 1000 W, 약 300 W 내지 약 900 W, 약 300 내지 500 W, 또는 약 330 W 내지 약 850 W의 플라즈마 전력으로 생성된다. 일부 구현예에서, 옥사이드 막은 약 1 내지 1000 초, 2 내지 500 초, 5 내지 200 초, 또는 10 내지 100 초의 기간 동안 노출된다.
일부 구현예에서, 플라즈마는 증착 후 플라즈마 처리 공정 중에 주기적으로 제공될 수 있으며, 반응 챔버는 플라즈마의 펄스 사이에서 퍼지된다. 일부 구현예에서, 증착 후 플라즈마 처리가 증착된 SiOC 막 상에서 1, 2, 5, 10, 20, 30, 40, 50, 100, 200, 500 또는 1000 또는 이상의 사이클이 수행된다.
일부 구현예에서, 증착 후 처리는 증착 공정 중에 간격을 두고 제공될 수 있다. 예를 들어, 플라즈마는 5 번째 증착 사이클마다, 10 번째 증착 사이클마다, 25 번째 증착 사이클마다, 50 번째 증착 사이클마다와 같이 특정 수의 증착 사이클 후에 제공될 수 있다.
증착 후 처리가 습식 식각과 같은 식각을 포함하는 일부 구현예에서, 증착 후 처리는 증착된 SiOC의 전부를 기판의 수직 표면과 같은 제1 표면으로부터 실질적으로 제거할 수 있고, 기판의 수평 표면과 같은 제2 표면으로부터 증착된 SiOC의 전부를 실질적으로 제거하지 않을 수 있다.
증착 후 처리가 반응성 종을 포함하는 식각과 같은 식각을 포함하는 일부 구현예에서, 증착 후 처리는 증착된 SiOC의 전부를 기판의 수평 표면과 같은 제1 표면으로부터 실질적으로 제거할 수 있고, 기판의 수직 표면과 같은 제2 표면으로부터 증착된 SiOC의 전부를 실질적으로 제거하지 않을 수 있다.
실리콘 옥시카바이드막의 조성식은 편의상 및 간략화를 위해 본원에서 일반적으로 SiOC로서 지칭된다. 본원에서 사용되는 바와 같이 SiOC는, 예를 들어 임의의 Si, O, C 및/또는 막 내의 임의의 다른 원소의 산화 상태와 같은 화학 상태 또는 결합을 제한, 제약 또는 정의하려고 의도하지 않는다. 또한, 일부 구현예에서 SiOC 박막은 Si, O 및/또는 C 이외에 S 및/또는 N과 같이 하나 이상의 원소를 포함할 수 있다. 즉, 일부 구현예에서 SiOC 막은 예를 들어 실리콘 옥시카보나이트라이드(SiOCN) 또는 실리콘 옥시카보설파이드(SiOCS)를 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있고, Si-N 결합은 포함하지 않을 수 있다. 그러나 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합 및/또는 Si-N 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합 이외에 Si-S 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합보다 많은 Si-O 결합을 포함할 수 있고, 예를 들어 Si-O 대 Si-C 결합의 비율은 약 1:1 내지 약 10:1일 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0 % 내지 약 40 %의 탄소를 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0.1 % 내지 약 40 %, 약 0.5 % 내지 약 30 %, 약 1 % 내지 약 30 %, 또는 약 5 % 내지 약 20 %의 탄소를 포함할 수 있다. 일부 구현예에서 SiOC막은 원자 기준으로 약 0 % 내지 약 70 %의 산소를 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 10 % 내지 약 70 %, 약 15 % 내지 약 50 %, 약 20 % 내지 약 40 %의 산소를 포함할 수 있다. 일부 구현예에서 SiOC막은 원자 기준으로 약 0 % 내지 약 50 %의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 10 % 내지 약 50 %, 약 15 % 내지 약 40 %, 또는 약 20 % 내지 약 35 %의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC는 원자 기준으로 약 0.1 % 내지 약 40 %, 약 0.5 % 내지 약 30 %, 약 1 % 내지 약 30 %, 또는 약 5 % 내지 약 20 %의 황을 포함할 수 있다. 일부 구현예에서, 플라즈마는 질소를 포함하지 않을 수 있다. 일부 구현예에서 SiOC막은 원자 기준(원자%)으로 약 0 % 내지 약 10 %의 질소를 포함할 수 있다.
ALD형 공정은 일반적으로 제어된 자기 제어 표면 반응에 기반한다. 일반적으로 기상 반응은 기판을 반응물과 교대 순차적으로 접촉시킴으로써 회피된다. 기상 반응물은, 예컨대 반응물 펄스 사이의 과량의 반응물 및/또는 반응물 부산물을 제거함으로써 반응 챔버에서 서로 분리된다. 반응물은 퍼지 가스 및/또는 진공을 이용해 기판 표면과 근접한 곳으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응물 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다.
일부 구현예에서, 적합한 기판은 반도체 웨이퍼, 예를 들어 실리콘 웨이퍼와 같은 웨이퍼를 포함할 수 있다. 일부 구현예에서, 기판은 약 150 mm 이상, 200 mm 이상, 300 mm 이상, 또는 450 mm 이상인 직경을 갖는 웨이퍼를 포함할 수 있다.
일부 구현예에서, 플라즈마 강화 ALD(PEALD) 공정은 옥사이드 막, 금속 옥사이드 막, 및/또는 SiOC 막과 같은 산소 함유 막을 증착하기 위해 사용된다. 일부 구현예에서, 본원에 기술된 PEALD 공정은 산소 플라즈마를 사용하지 않는다. 일부 구현예에서, 본원에 기술된 PEALD 공정은 산소 없는 플라즈마를 사용한다. 일부 구현예에서, 본원에 기술된 PEALD 공정은 산소 플라즈마를 포함하는 반응물을 포함하지 않는다. 일부 구현예에서, 본원에 기술된 PEALD 공정은 수소 플라즈마를 사용할 수 있다. 일부 구현예에서, 본원에 기술된 PEALD 공정은 수소 플라즈마를 포함하는 반응물을 포함할 수 있다.
간단히 말해, 기판이나 피가공물은 반응 챔버 내에 놓여져 교대로 반복되는 표면 반응을 거친다. 일부 구현예에서, SiOC 박막은 자기 제어 ALD 사이클의 반복에 의해 형성된다. 일부 구현예에서 SiOC 막을 형성하는 데 있어서, ALD 사이클 각각은 적어도 2개의 상이한 페이즈를 포함한다. 기판으로부터 반응물 또는 전구체를 접촉시키고 제거하는 단계는 하나의 페이즈로 간주될 수 있다. 제1 페이즈에서, 실리콘을 포함하는 제1 기상 반응물은 기판과 접촉하여 기판 표면 상에 약 하나의 단일층만을 형성한다. 이 반응물은 본원에서 "실리콘 전구체", "실리콘 함유 전구체", 또는 "실리콘 반응물"로도 언급되며, 예를 들어 비스(트리에톡실릴)에탄(BTESE) 또는 3-메톡시프로필트리메톡시실란(MPTMS)과 같은 실리콘 알콕시드 화합물일 수 있다. 일부 구현예에서, 과량의 제1 기상 반응물 및 임의의 반응 부산물이 기판 표면의 근방으로부터 제거된다. 제1 기상 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움으로 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해 기판은, 예를 들어, 다른 반응 챔버로 기판을 옮김으로써 이동될 수 있다.
제2 페이즈에서, 반응성 종을 포함하는 제2 반응물은 기판과 접촉하여, 흡착된 실리콘 종을 SiOC로 전환시킬 수 있다. 일부 구현예에서, 제2 반응물은 수소 전구체를 포함한다. 일부 구현예에서, 반응성 종은 여기된 종을 포함한다. 일부 구현예에서, 제2 반응물은, 산소를 포함하지 않는 가스에서 생성된 플라즈마로부터의 종을 포함한다. 일부 구현예에서, 제2 반응물은 산소 없는 플라즈마로부터의 종을 포함한다. 일부 구현예에서, 제2 반응물은 수소 함유 플라즈마로부터의 종을 포함한다. 일부 구현예에서, 제2 반응물은 수소 라디칼, 수소 원자, 및/또는 수소 플라즈마를 포함한다. 제2 반응물은 수소 전구체가 아닌 다른 종을 포함할 수 있다. 일부 구현예에서, 제2 반응물은 하나 이상의 He, Ne, Ar, Kr 또는 Xe와 같은 귀가스로부터의 종, 예를 들어 라디칼로서, 플라즈마 형태 또는 원소 형태로 포함할 수 있다. 귀가스로부터의 이들 반응성 종은 증착된 막에 재료를 반드시 제공하지는 않지만, 경우에 따라 막의 성장뿐만 아니라 플라즈마의 형성 및 점화에 도움을 줄 수 있다. 일부 구현예에서, 귀가스로부터 생성된 반응성 종은 하부 기판에 대한 임의의 손상의 양 또는 정도에 영향을 미칠 수 있다. 당업자는 특정한 응용 분야에 적합한 귀가스(들)을 선택할 수 있을 것이다. 일부 구현예에서, 플라즈마 형성에 사용된 가스는 증착 공정 전체에 걸쳐 일정하게 흐를 수 있지만 단지 간헐적으로 활성화될 수 있다. 일부 구현예에서, 플라즈마 형성에 사용된 가스는 산소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는 산소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 흡착된 기판은 반응성 산소 종과 접촉하지 않는다.
일부 구현예에서, 제2 반응물은 산소를 포함하지 않는 가스에서 생성된 반응성 종을 포함한다. 예를 들어 일부 구현예에서, 제2 반응물은, 산소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다. 일부 구현예에서 제2 반응물은, 약 50 원자%(at%) 미만의 산소, 약 30 원자% 미만의 산소, 약 10 원자% 미만의 산소, 약 5 원자% 미만의 산소, 약 1 원자% 미만의 산소, 약 0.1 원자% 미만의 산소, 약 0.01 원자% 미만의 산소, 또는 심지어 약 0.001 원자% 미만의 산소를 포함하는 가스에서 생성될 수 있다.
일부 구현예에서, 플라즈마 형성에 사용된 가스는 질소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는 질소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 반응성 종을 포함하는 제2 반응물은 질소를 포함하지 않는 가스에서 생성된다. 예를 들어 일부 구현예에서 제2 반응물은, 질소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다. 그러나 일부 구현예에서, 플라즈마 형성에 사용된 가스는 질소를 포함하지 않을 수 있다. 일부 다른 구현예에서, 제2 반응물은 질소 라디칼, 질소 원자 및/또는 질소 플라즈마를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 약 25 원자%(at%) 미만의 질소, 약 20 원자% 미만의 질소, 약 15 원자% 미만의 질소, 약 10 원자% 미만의 질소, 약 5 원자% 미만의 질소, 약 1 원자% 미만의 질소, 약 0.1 원자% 미만의 질소, 약 0.01 원자% 미만의 질소를 포함하는 가스, 또는 약 0.001 원자% 미만의 질소를 포함하는 가스 내에서 생성될 수 있다. 일부 구현예에서, 제2 반응물은 수소 및 질소를 포함하는 가스 내에서 생성될 수 있고, 예를 들어 제2 반응물은 H2 및 N2를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 N2 대 H2의 비율(N2/H2)이 약 20% 미만, 약 10% 미만, 또는 약 5% 미만인 가스에서 생성될 수 있다.
일부 구현예에서, 플라즈마 형성에 사용된 가스는 질소 또는 산소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는, 질소 또는 산소를 포함하는 가스로부터의 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 반응성 종을 포함하는 제2 반응물은, 질소 또는 산소를 포함하지 않는 가스에서 생성된다. 예를 들어 일부 구현예에서 제2 반응물은, 질소 또는 산소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다.
일부 구현예에서, 과량의 제2 반응물 및 임의의 반응 부산물이 기판 표면의 근방으로부터 제거된다. 제2 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움으로 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼지함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해 기판은, 예를 들어, 다른 반응 챔버로 기판을 옮김으로써 이동될 수 있다.
최종 막의 조성을 조정하기를 원할 때에, 추가적인 페이즈가 추가될 수 있고 제거될 수 있다.
하나 이상의 반응물은 Ar 또는 He와 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 구현예에서, 실리콘 전구체 및 제2 반응물은 캐리어 가스의 도움으로 제공된다.
일부 구현예에서, 페이즈 중 2개는 중첩되거나 조합될 수 있다. 예를 들어, 부분적으로 또는 완전히 중첩되는 페이즈에서 제1 반응물 및 제2 반응물은 동시에 기판과 접촉할 수 있다. 또한, 비록 제1 및 제2 페이즈, 및 제1 및 제2 반응물로서 언급되지만, 페이즈의 순서는 변경될 수 있고, ALD 사이클은 페이즈 중 임의의 하나로 시작될 수 있다. 즉, 달리 지정되지 않는 한, 반응물은 임의의 순서로 기판과 접촉할 수 있고, 공정은 임의의 반응물로 시작할 수 있다.
아래에 상세히 논의된 바와 같이, SiOC 막을 증착하기 위한 일부 구현예에서, 하나 이상의 증착 사이클은 실리콘 전구체 다음에 제2 전구체와 기판을 접촉시키는 단계로 시작한다. 다른 구현예에서, 증착은 제2 전구체 다음에 실리콘 전구체와 기판을 접촉시키는 단계로 시작할 수 있다.
일부 구현예에서 반도체 피가공물과 같이, 증착을 원하는 기판이 반응 공간 또는 반응기 내로 로딩된다. 반응기는 집적 회로의 형성에 있어 다양하게 상이한 공정이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 유동형 ALD 반응기가 사용된다. 일부 구현예에서, 샤워헤드형 ALD 반응기가 사용된다. 일부 구현예에서, 공간 분할 반응기가 사용된다. 일부 구현예에서, 대량 생산 가능 단일 웨이퍼 ALD 반응기가 사용된다. 다른 구현예에서, 다수의 기판을 포함하는 배치식 반응기가 사용된다. 배치식 ALD 반응기가 사용되는 구현예에서, 기판의 수는 10 내지 200개, 50 내지 150개, 100 내지 130개 범위이다.
사용될 수 있는 적합한 반응기의 예는 상업적으로 이용 가능한 장비들, 예컨대 ASM America Inc(피닉스, 애리조나) 및 ASM Europe B.V.(알메러, 네덜란드)의 F-120® 반응기, F-450® 반응기, Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000), EmerALD® 반응기 및 Advance® 400 시리즈를 포함한다. 다른 상업적으로 이용 가능한 반응기는 Eagle® XP 및 XP8의 상표명을 가진 ASM Japan K.K.(일본, 동경)사의 제품들을 포함한다.
일부 구현예에서, 필요한 경우, 피가공물의 노출 표면은 ALD 공정의 제1 페이즈와 반응하기 위한 반응성 부위를 제공하기 위해 전처리될 수 있다. 일부 구현예에서, 별도의 전처리 단계가 요구되지 않는다. 일부 구현예에서, 기판은 요구되는 표면 종결을 제공하기 위해 전처리된다. 일부 구현예에서, 기판은 플라즈마로 전처리된다.
과량의 반응물 및 반응 부산물은(존재하면), 반응물 접촉 페이즈 사이에서 기판 근방으로부터 특히, 기판 표면으로부터 제거된다. 일부 구현예에서 과량의 반응물 및 반응 부산물은(존재하면), 예를 들어 반응물 접촉 페이즈 사이에서의 반응 챔버를, 불활성 가스로 퍼지하는 것과 같이, 퍼지에 의해서 기판 표면으로부터 제거된다. 각 반응물의 유속과 접촉 시간은, 제거 단계와 마찬가지로 조정 가능하여, 막의 품질과 다양한 특성을 제어할 수 있다.
전술한 바와 같이, 일부 구현예에서, 가스는 각각의 증착 사이클 동안 또는 전체 ALD 공정 동안에 연속적으로 반응 챔버에 제공되며, 반응 종은 반응 챔버 내에서 또는 반응 챔버의 상류에서 플라즈마를 가스 내에 생성시킴으로써 제공된다. 일부 구현예에서, 가스는 질소를 포함하지 않는다. 일부 구현예에서, 가스는 Ar 및 He와 같은 귀가스를 포함할 수 있다. 일부 구현예에서, 가스는 헬륨이다. 일부 구현예에서, 가스는 아르곤이다. 유동 가스는 제1 및/또는 제2 전구체(또는 반응성 종)에 대한 퍼지 가스로서 작용할 수도 있다. 예를 들어, 유동 아르곤은 제1 실리콘 전구체에 대한 퍼지 가스로서 작용할 수 있고 (반응성 종의 공급원으로서의) 제2 반응물로 작용할 수도 있다. 일부 구현예에서, 아르곤, 또는 헬륨은, 실리콘 전구체를 SiOC 막으로 변환하기 위한 제1 전구체 및 여기된 종의 공급원에 대한 퍼지 가스로서 작용할 수 있다. 일부 구현예에서, 플라즈마를 생성하는 가스는 질소를 포함하지 않고, 흡착된 실리콘 전구체는 질소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 플라즈마를 생성하는 가스는 산소를 포함하지 않고, 흡착된 실리콘 전구체는 산소 플라즈마에 의해 발생된 반응성 종과 접촉하지 않는다. 일부 구현예에서, 플라즈마를 생성하는 가스는 산소 또는 질소를 포함하지 않고, 흡착된 실리콘 전구체는 산소 또는 질소 플라즈마에 의해 생성된 반응성 종과 접촉하지 않는다.
사이클은 원하는 두께 및 조성의 막을 얻을 때까지 반복된다. 일부 구현예에서 전구체 유속, 접촉 시간, 제거 시간 및/또는 반응물 자체와 같은 증착 파라미터는, 원하는 특징을 갖는 막을 얻기 위해서, ALD 공정 중에 하나 이상의 증착 사이클에서 변화할 수 있다.
일부 구현예에서, 기판의 표면은 반응물과 접촉된다. 일부 구현예에서, 반응물의 펄스가 기판을 함유하는 반응 공간에 제공된다. 용어 "펄스"는 소정의 시간 동안 반응물을 반응 챔버 내로 공급하는 단계를 포함하는 것으로 이해될 수 있다. 용어 "펄스"는 펄스의 길이 또는 지속시간을 제한하지 않으며, 펄스는 임의 길이의 시간일 수 있다. 일부 구현예에서, 기판은 반응물을 함유하는 반응 공간으로 이동된다. 일부 구현예에서, 기판은 이후에 제1 반응물을 함유하는 반응 공간으로부터 제2 반응물을 함유하는 상이한 제2 반응 공간으로 이동된다.
일부 구현예에서, 기판은 실리콘 반응물과 먼저 접촉된다. 최초의 표면 종결 이후, 필요하거나 원하는 경우, 기판은 제1 실리콘 반응물과 접촉된다. 일부 구현예에서, 제1 실리콘 반응물 펄스가 피가공물에 공급된다. 일부 구현예에 따라, 제1 반응물 펄스는 캐리어 가스 흐름, 및 관심이 있는 피가공물 표면과 반응성을 갖는, 예를 들어 BTESE 또는 MPTMS인 실리콘 알콕시드 화합물과 같은 휘발성 실리콘 종을 포함한다. 따라서, 실리콘 반응물은 이들 피가공물 표면 상에 흡착된다. 제1 반응물 펄스의 임의의 과량 성분이 이 공정에 의해 형성된 분자 층과 더 이상 반응하지 않도록, 제1 반응물 펄스는 피가공물 표면을 실리콘 반응물 종으로 자체 포화시킨다.
제1 실리콘 반응물 펄스는 가스 형태로 공급될 수 있다. 노출 표면을 포화시키기에 충분한 농도로 피가공물에 종을 운반하기 위한 공정 조건 하에 종이 충분한 증기압을 나타내는 경우, 본 명세서의 목적을 위해 실리콘 전구체 가스는 "휘발성"으로 간주된다.
일부 구현예에서, 실리콘 반응물은 약 0.05 초 내지 약 5.0 초, 약 0.1 초 내지 약 3 초 또는 약 0.2 초 내지 약 1.0 초로 표면과 접촉한다. 당업자는 특정 환경에 기반하여 최적의 접촉 시간을 쉽게 결정할 수 있다.
약 하나의 분자 층이 기판 표면 상에 흡착되기에 충분한 시간이 지난 후, 과량의 제1 실리콘 반응물과 반응 부산물이 있는 경우, 이는 기판 표면으로부터 제거된다. 일부 구현예에서, 과량의 반응물 및 반응 부산물이 있는 경우, 이들을 제거하는 단계는 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서, 반응 챔버는 과량의 반응물 및 반응 부산물이 있는 경우 이들을 반응 공간으로부터 확산시키거나 퍼지시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속 흐르게 하면서 제1 반응물의 흐름을 정지시킴으로써 퍼지될 수 있다. 일부 구현예에서, 과량의 제1 전구체는 ALD 사이클 전체에 걸쳐 흐르는 헬륨 또는 아르곤과 같은 불활성 가스의 도움으로 퍼지된다. 일부 구현예에서, 기판은 제1 반응물이 포함된 반응 공간으로부터 제2의 다른 반응 공간으로 이동될 수 있다. 일부 구현예에서, 제1 반응물은 약 0.1 초 내지 약 10 초, 약 0.3 초 내지 약 5 초 또는 약 0.3 초 내지 약 1 초 동안 제거된다. 실리콘 반응물의 접촉 및 제거는 ALD 사이클의 제1 또는 실리콘 페이즈로 간주될 수 있다.
제2 페이즈에서, 수소 플라즈마 및/또는 산소 없는 플라즈마와 같은 반응성 종을 포함하는 제2 반응물을 피가공물에 제공한다. 수소 플라즈마는, 예를 들어 원격식 플라즈마 발생기를 통해 수소(H2)를 유동시킴으로써 반응 챔버 내에 또는 반응 챔버의 상류에서 수소 중에 플라즈마를 생성시킴으로써 형성될 수 있다.
일부 구현예에서, 플라즈마는 유동하는 H2 가스에서 생성된다. 일부 구현예에서, H2는 플라즈마가 점화되거나 수소 원자 또는 라디칼이 형성되기 전에 반응 챔버에 제공된다. 일부 구현예에서, H2는 반응 챔버에 연속적으로 제공되고, 수소 함유 플라즈마, 원자 또는 라디칼은 필요 시 생성되거나 공급된다.
일반적으로, 예를 들어 수소 플라즈마를 포함하는 제 2반응물은 약 0.1 초 내지 약 10 초 동안 기판과 접촉한다. 일부 구현예에서, 수소 함유 플라즈마와 같은 제2 반응물은 약 0.1 초 내지 약 10 초, 0.5 초 내지 약 5 초 또는 0.5 초 내지 약 2.0 초 동안 기판과 접촉한다. 그러나, 반응기 유형, 기판 유형 및 그 표면적에 따라, 제2 반응물 접촉 시간은 10 초보다 훨씬 더 길 수 있다. 일부 구현예에서, 접촉 시간은 분 단위일 수 있다. 당업자는 특정 환경에 기반하여 최적의 접촉 시간을 쉽게 결정할 수 있다.
일부 구현예에서, 제2 반응물은 둘 이상의 구분되는 펄스로 제공되며, 둘 이상의 펄스 중 임의의 펄스 사이에 또 다른 반응물을 도입하지 않는다. 예를 들어 일부 구현예에서, 수소 함유 플라즈마와 같은 플라즈마는, 두 개의 순차적인 펄스로 제공되며, 상기 순차적인 펄스 사이에 Si 전구체를 도입하지 않는다. 일부 구현예에서 플라즈마를 제공하는 동안에 둘 이상의 순차적인 플라즈마 펄스는, 제1 기간 동안 플라즈마 방전을 제공하고, 제2 기간 동안, 예를 들어 약 0.1 초 내지 약 10 초, 약 0.5 초 내지 약 5 초, 또는 약 1.0 초 내지 약 4.0 초 동안 플라즈마 방전을 소멸시키고, Si 전구체의 도입 또는 퍼지 단계 이전과 같이 다른 전구체의 도입 또는 제거 단계 이전의 제3 기간 동안 이를 다시 여기시킴으로써 생성된다. 플라즈마의 추가적인 펄스가 동일한 방식으로 도입될 수 있다. 일부 구현예에서, 플라즈마는 펄스 각각에서 동등한 시간 동안 점화된다.
일부 구현예에서, 플라즈마, 예를 들어 산소를 함유하는 플라즈마는 약 5 W 내지 약 5000 W, 약 10 W 내지 약 2000 W, 약 50 W 내지 약 1000 W, 또는 일부 구현예에서 약 200 W 내지 800 W의 RF 전력을 인가함으로써 생성될 수 있다. 일부 구현예서, 상기 RF 전력 밀도는 약 0.001 W/cm2 내지 약 10 W/cm2, 약 0.01 W/cm2 내지 약 5 W/cm2, 약 0.02 W/cm2 내지 약 2.0 W/cm2, 또는 약 0.05 W/cm2 내지 약 1.5 W/cm2일 수 있다. RF 전력은, 플라즈마 접촉 시간 동안 유동하고, 반응 챔버를 통해 연속적으로 유동하고/하거나 원격식 플라즈마 발생기를 통해 유동하는 제2 반응물에 인가될 수 있다. 따라서, 일부 구현예에서 플라즈마는 인시츄로 생성되는 반면, 다른 구현예에서 플라즈마는 원격식으로 생성된다. 일부 구현예에서, 샤워헤드 반응기가 활용되고, 플라즈마는 서셉터(그 상부에 기판이 위치함)와 샤워헤드 플레이트 사이에서 생성된다. 일부 구현예에서, 서셉터와 샤워헤드 플레이트 사이의 간격은 약 0.05 cm 내지 약 50 cm, 약 0.1 cm 내지 약 20 cm, 약 0.5 cm 내지 약 5 cm, 또는 약 0.8 cm 내지 약 3.0 cm이다. 본원에 기술된 바와 같이, 일부 구현예에서, 플라즈마 전력은 증착된 막을 위해 원하는 스텝 커버리지, 식각 속도, 또는 WERR을 달성하기 위해, 예를 들어 소정 범위의 플라즈마 전력으로부터 선택될 수 있다.
이전에 흡착된 실리콘 종의 분자 층을 플라즈마 펄스로 완전히 포화시키고 반응시키기에 충분한 시간이 지난 후, 과량의 반응물 및 반응 부산물이 반응 공간으로부터 제거된다.
일부 구현예에서, 과량의 반응물 및 반응 부산물(있는 경우)을 제거하는 단계는 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서 반응 챔버는, 과량의 반응물 및 반응 부산물(있는 경우)을 반응 공간으로부터 확산시키거나 퍼지시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속 흐르게 하면서 제2 반응물의 흐름을 정지시킴으로써 퍼지될 수 있다. 일부 구현예에서, 과량의 제2 전구체는 ALD 사이클 전체에 걸쳐 흐르는 헬륨 또는 아르곤과 같은 불활성 가스의 도움으로 퍼지된다. 일부 구현예에서, 기판은 제2 반응물이 포함된 반응 공간으로부터 다른 반응 공간으로 이동될 수 있다. 일부 구현예에서, 제거는 약 0.1 초 내지 10 초, 약 0.1 초 내지 약 4 초 또는 약 0.1 초 내지 약 0.5 초일 수 있다. 이와 함께 반응성 종의 접촉 및 제거는, SiOC 원자층 증착 사이클에서 제2의 반응성 종 페이즈를 나타낸다.
2개의 페이즈는 함께 하나의 ALD 사이클을 나타내며, 이는 원하는 두께의 SiOC 박막을 형성하도록 반복된다. ALD 사이클은 본원에서 실리콘 페이즈로 시작하는 것으로 일반적으로 언급되지만, 다른 구현예에서 사이클은 반응성 종 페이즈로 시작할 수 있는 것으로 여겨진다. 당업자는 제1 전구체 페이즈가 대체로 이전 사이클 중 마지막 페이즈에 의해 남겨진 종결부와 반응한다는 것을 인식할 것이다. 따라서, 반응성 종 페이즈가 제1 ALD 사이클 중 제1 페이즈인 경우, 반응물이 기판 표면 상에 미리 흡착되거나 반응 공간에 존재할 수 없지만, 후속 사이클에서 반응성 종 페이즈는 효과적으로 실리콘 페이즈를 따를 것이다. 일부 구현예에서, 하나 이상의 상이한 ALD 사이클이 증착 공정 중에 제공된다.
본 개시의 일부 구현예에 따르면, PEALD 반응은 약 25℃ 내지 약 700℃, 약 50℃ 내지 약 600℃, 약 100℃내지 약 450℃, 약 200℃ 내지 약 400℃ 범위의 온도에서 수행될 수 있다. 일부 구현예에서, 최적 반응기 온도는 최대 허용 열적 부담에 의해 제한될 수 있다. 따라서 일부 구현예에서, 반응 온도는 약 100℃ 내지 약 300℃이다. 일부 응용 분야에서, 최대 온도는 약 200℃이고, 따라서 PEALD 공정은 그 반응 온도에서 실행된다.
박막이 증착되는 기판은 다양한 유형의 재료를 포함할 수 있다. 일부 구현예에서, 기판은 집적 회로 피가공물을 포함할 수 있다. 일부 구현예에서, 상기 기판은 실리콘을 포함할 수 있다. 일부 구현예에서, 기판은 실리콘 옥사이드, 예를 들어 써멀 옥사이드를 포함할 수 있다. 일부 구현예에서, 기판은 고 유전율 유전체 재료를 포함할 수 있다. 일부 구현예에서, 상기 기판은 탄소를 포함할 수 있다. 예를 들어, 기판은 비정질 탄소 층, 그래핀, 및/또는 탄소 나노튜브를 포함할 수 있다.
일부 구현예에서, 기판은 W, Cu, Ni, Co, 및/또는 Al을 포함하나 이에 제한되지 않는 금속을 포함할 수 있다. 일부 구현예에서, 기판은 TiN 및/또는 TaN을 포함하나 이에 제한되지 않는 금속 나이트라이드를 포함할 수 있다. 일부 구현예에서, 기판은 TiC 및/또는 TaC를 포함하나 이에 제한되지 않는 금속 카바이드를 포함할 수 있다. 일부 구현예에서, 금속 표면은 MoS2, Sb2Te3 및/또는 GeTe를 포함하나 이에 제한되지 않는 금속 칼코지나이드를 포함할 수 있다. 일부 구현예에서, 기판은 본원에 기술된 PEALD 공정에 의한 것이 아니라, 산소 플라즈마 공정에 노출됨으로써 산화될 재료를 포함할 수 있다.
일부 구현예에서, 본원에 기술된 PEALD 공정에 사용된 기판은 유기 재료를 포함할 수 있다. 예를 들어, 기판은 플라스틱, 중합체 및/또는 포토레지스트와 같은 유기 재료를 포함할 수 있다. 기판이 유기 재료를 포함하는 일부 구현예에서, PEALD 공정의 반응 온도는 약 200℃ 미만일 수 있다. 일부 구현예에서, 반응 온도는 약 150°C 이하, 약 100°C 이하, 약 75°C 이하, 약 50°C 이하일 수 있다.
기판이 유기 재료를 포함하는 일부 구현예에서, 최대 공정 온도는 100℃만큼 낮을 수 있다. 기판이 유기 재료를 포함하는 일부 구현예에서, 산소로부터 생성된 플라즈마가 없을 경우, SiOC 박막을 산소로부터 생성된 플라즈마를 포함한 증착 과정에서 열화되지 않을 수 있는 유기 재료 상에 증착시킬 수 있다.
본 개시의 일부 구현예에 따라, 공정 처리 동안의 반응 챔버 압력은 약 0.01 토르 내지 약 50 토르, 또는 약 0.1 토르 내지 약 10 토르로 유지된다. 일부 구현예에서, 반응 챔버의 압력은 약 6 토르 또는 약 20 토르를 초과한다. 일부 구현예에서, SiOC 증착 공정은 약 20 토르 내지 약 500 토르, 약 20 토르 내지 약 50 토르, 또는 약 20 토르 내지 약 30 토르의 압력으로 수행될 수 있다.
일부 구현예에서, SiOC 증착 공정은 복수의 증착 사이클을 포함할 수 있으며, 적어도 하나의 증착 사이클은 상승된 압력 영역에서 수행된다. 예를 들어, PEALD 공정의 증착 사이클은 상승된 압력 하에서 실리콘 전구체 및 제2 반응물과 기판을 교대 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서, PEALD 공정의 하나 이상의 증착 사이클은 약 6 토르 내지 약 500 토르, 약 6 토르 내지 약 50 토르, 또는 약 6 토르 내지 약 100 토르의 공정 압력으로 수행될 수 있다. 일부 구현예에서, 하나 이상의 증착 사이클은 약 20 토르 내지 약 500 토르, 약 30 토르 내지 약 500 토르, 또는 약 40 토르 내지 약 500 토르, 또는 약 50 토르 내지 약 500 토르를 포함하는 약 20 토르 초과의 공정 압력으로 수행될 수 있다. 일부 구현예에서, 하나 이상의 증착 사이클은 약 20 토르 내지 약 30 토르, 약 20 토르 내지 약 100 토르, 또는 약 30 토르 내지 약 100 토르, 또는 약 40 토르 내지 약 100 토르, 또는 약 50 토르 내지 약 100 토르의 공정 압력으로 수행될 수 있다.
SiOC 막의 형성 제어
전술하고 이하에서 더욱 상세히 논의되는 바와 같이, 일부 구현예에서 SiOC 박막은 플라즈마 강화 원자층(PEALD) 공정에 의해 반응 공간 내의 기판 상에 증착될 수 있다. 일부 구현예에 따라, SiOC 박막은 FinFET 응용 분야에서와 같이 3차원 특징부를 갖는 기판 상에 PEALD 공정을 사용하여 증착된다. SiOC 박막이 3차원 특징부 상에 증착되는 일부 구현예에서, 특징부의 상이한 표면 상의 증착된 막의 스텝 커버리지 및/또는 WERR과 같은 특성은, 소정의 범위의 플라즈마 전력으로부터 적절한 플라즈마 전력을 선택함으로써 제어될 수 있다. 일부 구현예에서, 두께 또는 WER과 같은 증착된 막의 특성은, 특징부의 수직 및 수평 표면과 같이 상이한 표면 상에서 상이하도록 제어될 수 있다. 일부 구현예에서, 본원에 기술된 PEALD 공정은 다양한 응용예에서 사용될 수 있다. 예를 들어, 본원에 기술된 PEALD 공정은 하드마스크 층, 희생 층, 보호 층, 또는 저 유전율 스페이서의 형성에 사용될 수 있다. 본원에 기술된 PEALD 공정은, 예를 들어 메모리 소자 응용예에서 사용될 수 있다.
일부 구현예에서, SiOC 박막은 손상 없이 O 플라즈마를 견딜 수 없는 기판, 예를 들어 유기 및/또는 포토레지스트 재료를 포함하는 기판 상에, 본원에 기술된 산소 플라즈마를 포함하지 않는 PEALD 공정에 의해 증착될 수 있다.
도 1a를 참조하고 일부 구현예에 따라, 반응 공간에 3차원 특징부를 포함하는 기판 상의 SiOC 박막의 형성은, 적어도 하나의 사이클을 포함하는 PEALD 증착 공정(100)으로 제어하되, 상기 적어도 하나의 사이클은,
단계(120)에서, 실리콘 종이 기판 표면 상에 흡착되도록 산소를 포함하는 실리콘 함유 기상 전구체와 기판을 접촉시키는 단계;
단계(130)에서, 과량의 실리콘 함유 전구체와 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계;
단계(140)에서, 증착될 SiOC 막에 대해 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력 범위에서 플라즈마 전력을 선택하는 단계;
단계(150)에서, 선택된 플라즈마 전력에서 산소를 포함하지 않는 가스 내에 생성된 플라즈마에 의해 생성된 산소를 포함하지 않고 수소를 포함하는 반응성 종을 포함하는 제2 반응물과 기판을 접촉시킴으로써, 상기 흡착된 실리콘 종을 SiOC로 변환시키는 단계;
단계(160)에서, 과량의 제2 반응물 및 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계; 및
단계(170)에서, 기판의 3차원 특징부 상에 원하는 두께, 조성, 스텝 커버리지, 및/또는 WERR의 SiOC 박막을 형성하기 위해 접촉 및 제거 단계를 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 단계(140)는 약 1 내지 약 3의 종횡비를 갖는 3차원 특징부에 대해 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위한 적절한 플라즈마 전력을, 예를 들어 약 50 W 내지 약 1000 W의 범위에서 선택하는 단계를 포함할 수 있다. 일부 구현예에서, 원하는 특성을 달성하는 데 필요한 플라즈마 전력은 증착 공정 전에 작동될 수 있다. 즉, 일부 구현예에서, 플라즈마 전력의 범위는 증착될 막에 대한 미리 정해진 재료 특성의 범위에 대응한다. 일부 구현예에서, 상기 3차원 특징부의 종횡비는 선택된 플라즈마 전력에 영향을 미칠 수 있다. 예를 들어, 비교적 낮은 종횡비를 갖는 3차원 특징부보다 높은 종횡비를 갖는 3차원 특징부에 대해, 원하는 스텝 커버리지를 달성하기 위해 더 높은 플라즈마 전력을 선택할 수 있다. 일부 구현예에서, 더 높고 큰 플라즈마 전력은 소정의 3차원 구조에 대해 더 높게 원하는 스텝 커버리지를 갖는 SiOC 막을 달성하기 위해 선택될 수 있다. 일부 구현예에서, 낮은 플라즈마 전력을 사용하여 실질적으로 유사한 증착 공정에 의해 증착된 실질적으로 유사한 막보다 더 낮은 WERR을 갖는 SiOC 막을 달성하기 위해, 더 높은 플라즈마 전력을 선택할 수 있다. 본원에서 사용되는 바와 같이, 습식 식각 속도 비율(WERR)은 측벽과 같은 수직 표면 상에 증착된 재료의 습식 식각 속도 대 3차원 특징부의 최상부 표면과 같은 수평 표면 상에 증착된 재료의 습식 식각 속도의 비율을 지칭한다.
일부 구현예에서, 적절한 플라즈마 전력을 선택하는 단계는, 전구체 및/또는 반응물의 동일한 조합을 사용하여 100 % 미만, 100 %, 또는 약 100 %의 원하는 스텝 커버리지를 달성할 수 있다. 일부 구현예에서, 증착 공정이 3차원 특징부의 수평 표면과 같은 제2 표면에 대해 3차원 특징부의 수직 표면과 같은 제1 표면 상에서 선택적이도록, 플라즈마 전력을 선택할 수 있다.
일부 구현예에서, 단계(150)는 기판을 제2 반응물과 접촉시키기 전에 플라즈마 또는 반응성 종을 원격으로 발생시키거나 형성하는 단계를 포함할 수 있다.
일부 구현예에 따라, 반응 공간 내의 3차원 특징부를 포함하는 기판 상에 SiOC 박막의 형성은, 다중 SiOC 증착 사이클을 포함하는 ALD 유형의 증착 공정으로 제어하되, 상기 SiOC 증착 사이클은,
실리콘 화합물이 기판 표면 상에 흡착되도록, 산소를 포함하는 실리콘 기상 반응물과 기판을 접촉시키는 단계;
상기 기판을 퍼지 기체 및/또는 진공에 노출시키는 단계;
증착될 SiOC 막에 대해 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력 범위에서 플라즈마 전력을 선택하는 단계;
수소를 포함하는 가스에 선택된 플라즈마 전력으로 생성된 플라즈마를 형성함으로써 생성된 반응성 종과 기판을 접촉시키는 단계;
기판을 퍼지 가스 및/또는 진공에 노출시키는 단계; 및
원하는 두께, 조성, 스텝 커버리지, 및/또는 WERR의 SiOC 박막을 얻을 때까지 접촉 및 노출 단계를 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 기판을 퍼지 가스 및/또는 진공 단계에 노출시키는 단계는 전구체 또는 반응물의 흐름을 정지시키면서 불활성 캐리어 가스의 흐름을 계속하는 단계를 포함할 수 있다. 일부 구현예에서, 기판을 퍼지 가스 및/또는 진공 단계에 노출시키는 단계는 반응 챔버 내로 전구체 및 캐리어 가스의 흐름을 정지시키고 반응 챔버를, 예를 들어 진공 펌프로 배기하는 단계를 포함할 수 있다. 일부 구현예에서, 기판을 퍼지 가스 및/또는 진공 단계에 노출시키는 단계는 제1 반응 챔버로부터 퍼지 가스를 함유하는 제2의 상이한 반응 챔버로 기판을 이동시키는 단계를 포함할 수 있다. 일부 구현예에서, 기판을 퍼지 가스 및/또는 진공 단계에 노출시키는 단계는 제1 반응 챔버로부터 진공 하에 놓인 제2의 상이한 반응 챔버로 기판을 이동시키는 단계를 포함할 수 있다. 일부 구현예에서, 반응성 종은 질소를 포함하지 않을 수 있다.
일부 구현예에서, 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력의 범위로부터 적절한 플라즈마 전력을 선택하는 단계는, 예를 들어 약 1 내지 약 3의 종횡비를 갖는 3차원 특징부에 대해 약 50 W 내지 약 1000 W의 범위에서 플라즈마 전력을 선택하는 단계를 포함할 수 있다. 일부 구현예에서, 상기 3차원 특징부의 종횡비는 선택된 플라즈마 전력에 영향을 미칠 수 있다. 예를 들어, 높은 종횡비를 갖는 3차원 특징부는, 비교적 낮은 종횡비를 갖는 3차원 특징부보다 원하는 스텝 커버리지를 달성하기 위해 더 높은 플라즈마 전력을 선택하는 단계를 요구할 수 있다. 일부 구현예에서, 더 높고 큰 플라즈마 전력은 원하는 더 높은 스텝 커버리지를 갖는 SiOC 막을 달성하기 위해 선택될 수 있다. 일부 구현예에서, 더 높은 플라즈마 전력은 더 낮은 WERR을 갖는 SiOC 막을 달성하도록 선택될 수 있다. 일부 구현예에서, 플라즈마 전력은 약 200 W 내지 약 650 W, 또는 약 200 W 내지 약 500 W의 범위로부터 선택될 수 있다. 일부 구현예에서, 플라즈마 전력은 약 650 W 이하, 500 W 이하, 또는 200 W 이하의 범위로부터 선택될 수 있다.
일부 구현예에 따라, 반응 공간 내의 3차원 특징부를 포함하는 기판 상에 SiOC 박막의 형성은, 적어도 하나의 증착 사이클을 포함하는 PEALD 증착 공정으로 제어하되, 상기 적어도 하나의 사이클은,
실리콘 종이 기판 표면 상으로 흡착되도록, MPTMS를 포함하는 실리콘 함유 기상 전구체와 기판을 접촉시키는 단계;
과량의 실리콘 함유 전구체 및 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계;
증착될 SiOC 막에 대해 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력 범위에서 플라즈마 전력을 선택하는 단계;
H2 및 Ar을 포함하는 가스로부터 선택된 플라즈마 전력에 의해 생성된 플라즈마에 의해 생성된 반응성 종을 포함하는 제2 반응물과 기판을 접촉시킴으로써, 흡착된 실리콘 종을 SiOC로 전환시키는 단계;
과량의 제2 반응물 및 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계; 및
기판의 3차원 특징부 상에 원하는 두께, 조성, 스텝 커버리지, 및/또는 WERR의 SiOC 박막을 형성하기 위해 상기 접촉 및 제거 단계를 선택적으로 반복하는 단계를 포함한다.
특정 구현예에서, SiOC 박막은 다수의 SiOC 증착 사이클을 포함하는 ALD 유형 공정에 의해 기판 상에 형성되며, 각각의 SiOC 증착 사이클은, 산소를 포함하는 제1 실리콘 기상 전구체 및 선택된 플라즈마 전력으로 가스 내에 플라즈마를 형성함으로써 생성된 반응성 종을 포함하는 제2 반응물을 교대 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예에서, 증착될 SiOC 막에서 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력 범위에서 플라즈마 전력을 선택할 수 있다.
일부 구현예에 따라, PEALD 공정은 약 100℃ 내지 약 650℃, 약 100℃ 내지 약 550℃, 약 100℃ 내지 약 450℃, 약 200℃ 내지 약 600℃, 또는 약 200℃ 내지 약 400℃ 범위의 온도에서 수행된다. 일부 구현예에서, 온도는 약 300℃이다. 일부 구현예에서, 온도는 약 200℃이다. 일부 구현예에서, 기판이 유기 포토레지스트와 같은 유기 재료를 포함하는 경우에, PEALD 공정은 약 100℃ 미만의 온도에서 수행될 수 있다. 일부 구현예에서, PEALD 공정은 약 75℃ 미만, 또는 약 50℃ 미만의 온도에서 수행된다.
일부 구현예에서, 선택된 플라즈마 전력, 예를 들어 선택된 RF 전력을 가스에 적용함으로써 플라즈마를 생성할 수 있다. RF 전력을 인가해서 반응성 종을 생성할 수 있다. 일부 구현예에서, 반응 챔버를 통해 연속적으로 유동하고/하거나 원격식 플라즈마 생성기를 통해 유동하는 가스에 RF 전력을 인가할 수 있다. 따라서, 일부 구현예에서 플라즈마는 인시츄로 생성되는 반면, 다른 구현예에서 플라즈마는 원격식으로 생성된다. 일부 구현예에서, 인가된 선택 RF 전력은 약 5 W 내지 약 5000 W, 약 10 W 내지 약 2000 W, 약 50 W 내지 약 1000 W 또는 약 200 W 내지 약 800 W의 범위로부터 선택된다.
도 1b를 참조하고 일부 구현예에 따라, 반응 공간 내의 3차원 특징부를 포함하는 기판 상에 산소 함유 박막의 형성은, 적어도 하나의 증착 사이클을 포함하는 PEALD 증착 공정(101)으로 제어하되, 상기 적어도 하나의 사이클은,
단계(121)에서, 전구체 종이 기판의 표면 상에 흡착되도록, 산소를 포함하는 1 기상 전구체와 기판을 접촉시키는 단계;
단계(131)에서, 과량의 제1 전구체 및 반응 부산물을(존재하면) 기판 표면으로부터 제거하는 단계;
단계(141)에서, 증착될 박막에 대해 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력 범위에서 플라즈마 전력을 선택하는 단계;
단계(151)에서, 선택된 플라즈마 전력에서 생성된 플라즈마에 의해 생성된 산소를 포함하지 않고 수소를 포함하는 반응성 종을 포함하는 제2 반응물과 기판을 접촉시킴으로써, 상기 흡착된 실리콘 종을 산소 함유 박막으로 변환시키는 단계;
단계(161)에서, 과량의 제2 반응물 및 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계; 및
단계(171)에서, 기판의 3차원 특징부 상에 원하는 두께, 조성, 스텝 커버리지, 및/또는 WERR의 산소 함유 박막을 형성하기 위해 상기 접촉 및 제거 단계를 선택적으로 반복하는 단계를 포함한다.
일부 구현예에서, 단계(141)는 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력의 범위로부터, 예를 들어 약 1 내지 약 3의 종횡비를 갖는 3차원 특징부에 대해 약 50 W 내지 약 1000 W의 범위에서 적절한 플라즈마 전력을 선택하는 단계를 포함할 수 있다. 일부 구현예에서, 원하는 특성을 달성하는 데 필요한 플라즈마 전력은 증착 공정 전에 작동될 수 있다. 즉, 일부 구현예에서, 플라즈마 전력의 범위는 증착될 막에 대한 미리 정해진 재료 특성의 범위에 대응한다. 일부 구현예에서, 상기 3차원 특징부의 종횡비는 선택된 플라즈마 전력에 영향을 미칠 수 있다. 예를 들어, 비교적 낮은 종횡비를 갖는 3차원 특징부보다 높은 종횡비를 갖는 3차원 특징부에 대해, 원하는 스텝 커버리지를 달성하기 위해 더 높은 플라즈마 전력을 선택할 수 있다. 일부 구현예에서, 더 높고 큰 플라즈마 전력은 소정의 3차원 구조 상에 원하는 더 높은 스텝 커버리지를 갖는 막을 달성하도록 선택될 수 있다. 일부 구현예에서, 더 낮은 플라즈마 전력을 사용하여 실질적으로 유사한 증착 공정에 의해 증착된 실질적으로 유사한 막보다 낮은 WERR을 갖는 막을 달성하도록, 더 높은 플라즈마 전력을 선택할 수 있다. 본원에서 사용되는 바와 같이, 습식 식각 속도 비율(WERR)은 측벽과 같은 수직 표면 상에 증착된 재료의 습식 식각 속도 대 3차원 특징부의 최상부 표면과 같은 수평 표면 상에 증착된 재료의 습식 식각 속도의 비율을 지칭한다.
일부 구현예에서, 적절한 플라즈마 전력을 선택하는 단계는 전구체 및/또는 반응물의 동일한 조합을 사용하여 100 % 미만, 100 %, 또는 약 100 %의 원하는 스텝 커버리지를 달성할 수 있다. 일부 구현예에서, 증착 공정이 3차원 특징부의 수평 표면과 같은 제2 표면에 대해 3차원 특징부의 수직 표면과 같은 제1 표면 상에서 선택적이도록, 플라즈마 전력을 선택할 수 있다.
일부 구현예에서, 단계(151)는 제2 반응물과 기판을 접촉시키는 단계 이전에 플라즈마 또는 반응성 종을 원격으로 생성시키거나 형성하는 단계를 포함할 수 있다.
도 2를 참조하고 일부 구현예에 따라, 반응 공간 내의 3차원 특징부를 포함하는 기판 상에 SiOC 박막의 형성은, PEALD 증착 공정(200)으로 제어하되, 상기 PEALD 증착 공정은,
단계(220)에서, 실리콘 종이 기판 표면 상으로 흡착되도록, 산소를 포함하는 실리콘 함유 기상 전구체와 기판을 접촉시키는 단계;
단계(230)에서, 과량의 실리콘 함유 전구체 및 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계;
단계(240)에서, 증착될 SiOC 막에 대해 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력 범위에서 플라즈마 전력을 선택하는 단계;
단계(250)에서, 산소를 포함하지 않는 가스 내에서 선택된 플라즈마 전력에 의해 생성된 플라즈마에 의해 생성된 산소를 포함하지 않고 수소를 포함하는 반응성 종을 포함하는 제2 반응물과 기판을 접촉시킴으로써, 상기 흡착된 실리콘 종을 SiOC로 변환시키는 단계;
단계(260)에서, 과량의 제2 반응물 및 반응 부산물(존재하면)을 기판 표면으로부터 제거하는 단계;
단계(270)에서, 기판의 3차원 특징부 상에 원하는 두께, 조성, 스텝 커버리지, 및/또는 WERR의 SiOC 박막을 형성하기 위해 상기 접촉 및 제거 단계를 선택적으로 반복하는 단계; 및
단계(280)에서, 가스로부터의 플라즈마에 의해 생성된 반응 종을 포함하는 제3 반응물에 증착된 SiOC 막을 노출시킴으로써, 증착된 SiOC 막의 두께 및/또는 WERR을 감소시키는 단계를 포함한다.
일부 구현예에서, 단계(240)는 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 플라즈마 전력의 범위로부터, 예를 들어 약 1 내지 약 3의 종횡비를 갖는 3차원 특징부에 대해 약 50 W 내지 약 1000 W의 범위에서 적절한 플라즈마 전력을 선택하는 단계를 포함할 수 있다 일부 구현예에서, 상기 3차원 특징부의 종횡비는 선택된 플라즈마 전력에 영향을 미칠 수 있다. 예를 들어, 높은 종횡비를 갖는 3차원 특징부는, 비교적 낮은 종횡비를 갖는 3차원 특징부보다 원하는 스텝 커버리지를 달성하기 위해 더 높은 플라즈마 전력을 선택하는 단계를 요구할 수 있다. 일부 구현예에서, 더 높고 큰 플라즈마 전력은 원하는 더 높은 스텝 커버리지를 갖는 SiOC 막을 달성하기 위해 선택될 수 있다. 일부 구현예에서, 더 높은 플라즈마 전력은 더 낮은 WERR을 갖는 SiOC 막을 달성하도록 선택될 수 있다.
일부 구현예에서, 단계(250)는 제2 반응물과 기판을 접촉시키는 단계 이전에 플라즈마 또는 반응성 종을 원격으로 생성시키거나 형성하는 단계를 포함할 수 있다.
일부 구현예에서, 단계(280)는 증착 후 처리를 포함하고, 제3 반응물과 기판을 접촉시키는 단계 이전에 플라즈마 또는 반응성 종을 원격으로 생성시키거나 형성하는 단계를 포함할 수 있다. 일부 구현예에서, 제3 반응물은 제2 반응물과 동일한 반응성 종을 포함할 수 있다. 일부 구현예에서, 제3 반응물을 포함하는 반응성 종을 생성하는 데 사용되는 가스는, 수소, 질소 또는 산소를 포함할 수 있다. 일부 구현예에서, 제3 반응물을 포함하는 반응성 종을 생성하는 데 사용되는 가스는, 아르곤과 같은 귀가스(들)를 포함할 수 있다. 일부 구현예에서, 제3 반응물은 제2 반응물과 실질적으로 동일할 수 있지만, 제3 반응물을 생성하기 위해 사용되는 플라즈마 전력은, 제2 반응물을 발생시키는 데 사용되는 플라즈마 전력과 상이할 수 있다. 예를 들어 일부 구현예에서, 제2 반응물을 포함하는 반응성 종을 생성하는 데 사용되는 것보다 제3 반응물을 포함하는 반응성 종을 생성하기 위해 더 높은 플라즈마 전력을 사용할 수 있다. 예를 들어 일부 구현예에서, 제3 반응물의 반응성 종을 생성하기 위해, 약 10 W 내지 약 1000 W, 약 400 W 내지 약 600 W, 약 400 W 내지 약 1000 W, 약 300 W 내지 약 500 W 또는 약 600 W 내지 약 1000 W의 플라즈마 전력을 사용할 수 있다.
일부 구현예에서 증착된 SiOC 막을 제3 반응물에 노출시키는 단계는, 증착된 SiOC 막의 두께 및/또는 WERR을 감소시킬 수 있다. 일부 구현예에서, 단계(280)는 SiOC 막의 제2 부분보다 SiOC 막의 제1 부분의 두께 및/또는 WER을 감소시킬 수 있다. 예를 들어 일부 구현예에서, 단계(280)는 기판의 수직 표면과 같은 제1 표면, 및 기판의 수평 표면과 같은 제2 표면 상의 SiOC 막의 두께를 감소시켜, 제2 표면 상에 증착된 SiOC 막의 두께가 제1 표면 상에 증착된 SiOC 막의 두께보다 실질적으로 더 많이 감소되도록 한다. 즉, 일부 구현예에서, 단계(280)의 증착 후 처리는 SiOC 막의 스텝 커버리지를 증가시킬 수 있다. 일부 구현예에서, 단계(280)의 증착 후 처리는 SiOC 막의 스텝 커버리지를 약 10 %, 25 %, 50 %, 75 %, 100 %, 200 %, 500 %, 또는 1000 % 또는 그 이상만큼 증가시킬 수 있다.
일부 구현예에서, 단계(280)는 기판의 수직 표면과 같은 제1 표면, 및 기판의 수평 표면과 같은 제2 표면 상의 SiOC 막의 WER을 감소시켜, 제1 표면 상에 증착된 SiOC 막의 WER이 제2 표면 상에 증착된 SiOC 막의 WER보다 실질적으로 더 많이 감소되도록 한다. 즉, 일부 구현예에서, 단계(280)를 포함하는 증착 후 처리는 SiOC 막의 WERR를 감소시킬 수 있다. 예를 들어 일부 구현예에서, 단계(280)를 포함하는 증착 후 처리는 SiOC 막의 WERR을 약 5 %, 10 %, 25 %, 50 %, 75 %, 90 %, 또는 심지어 100 %까지 감소시킬 수 있다.
전술한 바와 같이, 일부 구현예에서, 단계(280)는 증착 공정 중에 간격을 두고 제공될 수 있다. 즉, 전체 증착 공정(200)은 여러 번 반복될 수 있다. 일부 구현예에서, 증착 사이클(220 내지 260)은 단계(270)를 2회 이상 반복할 수 있고, 처리 단계(280)가 제공된 후, 증착 사이클(220 내지 260)은 다시 단계(270)를 1회 이상 반복한 후, 이어서 추가 처리 단계가 제공된다. 이 공정은 여러 번 반복되어 원하는 SiOC 막을 생산할 수 있다. 주기적 공정은 X x (Y x 270 + 280)로서 설명될 수 있고, 여기서 X 및 Y는 정수이고, 동일하거나 상이할 수 있다.
도 3을 이제 참조하고 일부 구현예에 따라, 3차원 특징부 상에 SiOC 박막의 형성은, 공정(300)으로 제어하되, 상기 공정은,
단계(320)에서, 수평 표면 및 수직 표면을 포함하는 적어도 하나의 3차원 특징부를 포함하는 기판을 제공하는 단계;
단계(330)에서, 본원에 기술된 바와 같이, 여기된 산소 종을 포함하지 않는 PEALD 공정에 의해 상기 적어도 하나의 3차원 특징부 상에 SiOC를 증착하는 단계; 및
단계(340)에서, 증착된 SiOC를 식각하는 단계를 포함한다.
일부 구현예에서, 단계(330)에서, 적어도 하나의 3차원 특징부 상에 SiOC를 증착하는 단계는, 본원에서 제공되는 증착 공정, 예를 들어 도 1 및 도 2와 관해 전술한 제어된 형성 PEALD 공정에 의해 SiOC를 증착하는 단계를 포함할 수 있다.
일부 구현예에서, 단계(340)에서, 증착된 SiOC를 식각하는 단계는 당 기술분야에 공지된 식각 공정, 예를 들어 플라즈마 식각 공정과 같은 건식 식각 공정 또는 0.5 wt%의 희석 HF 식각 공정과 같은 습식 식각 공정을 포함할 수 있다. 일부 구현예에서, 단계(340)에서, 증착된 SiOC를 식각하는 단계는 증착된 SiOC의 적어도 일부를 제거할 수 있다.
일부 구현예에서, 식각 공정은, 기판을 수소 원자, 수소 라디칼, 수소 플라즈마 또는 이들의 조합에 노출하는 단계를 포함할 수 있다. 예를 들어, 일부 구현예에서, 식각 공정은 약 10 W 내지 약 5000 W, 약 25 W 내지 약 2500 W, 약 50 W 내지 약 500 W, 또는 약 100 W 내지 약 400 W의 전력을 사용하여 H2로부터 생성된 플라즈마에 기판을 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 식각 공정은 약 1 W 내지 약 1000 W, 약 10 W 내지 약 500 W, 약 20 W 내지 약 250 W, 또는 약 25 W 내지 약 100 W의 전력을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함할 수 있다.
일부 구현예에서, 식각 공정은 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 플라즈마는 산소 원자, 산소 라디칼, 산소 플라즈마 또는 이들의 조합과 같은 반응성 종을 포함할 수 있다. 일부 구현예에서, 플라즈마는 수소 원자, 수소 라디칼, 수소 플라즈마 또는 이들의 조합과 같은 반응성 종을 포함할 수 있다. 일부 구현예에서, 플라즈마는 반응성 종 외에 귀가스 종, 예를 들어 Ar 종이나 He 종을 또한 포함할 수도 있다. 일부 구현예에서, 플라즈마는 반응성 종을 갖지 않는 귀가스 종을 포함할 수 있다. 일부 경우에, 플라즈마는 다른 종, 예를 들어 질소 원자, 질소 라디칼, 질소 플라즈마, 또는 이들의 조합을 포함할 수 있다. 일부 구현예에서, 기판은 약 30℃ 내지 약 500℃ 또는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출될 수 있다. 일부 구현예에서, 에천트는 하나의 연속적 펄스로 공급될 수 있거나, 다수 개의 더 짧은 펄스로 공급될 수 있다.
당업자는 3차원 특징부로부터 원하는 양의 증착된 SiOC를 제거하기 위해, 최적의 노출 시간, 온도, 및/또는 전력을 쉽게 결정할 수 있다.
일부 구현예에서, 단계(340)에서, 증착된 SiOC를 식각하는 단계는, 3차원 특징부의 수직 표면과 같은 제1 표면으로부터, 증착된 SiOC의 전부를 실질적으로 제거할 수 있고, 3차원 특징부의 수평 표면과 같은 제2 상이한 표면으로부터, 증착된 SiOC의 전부를 실질적으로 제거하지 않을 수 있다. 이 방식으로, 3차원 특징부의 원하는 표면 상에 SiOC를 선택적으로 형성하는 것을 제어할 수 있다. 예를 들어 일부 구현예에서, 단계(330)의 증착 공정에 의해 SiOC 막을 형성하는 것을 제어하는 것 때문에, 3차원 특징부의 수직 표면 상에 증착된 SiOC의 양은 수평 표면 상에 증착된 SiOC의 양보다 실질적으로 작을 수 있다. 따라서, 일부 구현예에서, 2개의 표면 상의 증착된 막 두께의 차이는 차등 식각을 허용하여 실질적으로 모든 막이 하나의 표면으로부터 제거될 수 있는 반면에 일부 막 또는 재료는 제2의 상이한 표면 상에 남아 있도록 한다.
일부 구현예에서, 단계(330)의 증착 공정에 의해 SiOC 막을 형성하는 것을 제어하는 것 때문에, 3차원 특징부의 수직 표면 상에 증착된 SiOC의 WER은 수평 표면 상에 증착된 SiOC의 WER보다 실질적으로 높을 수 있다. 따라서, 식각 공정은, 증착된 SiOC를 3차원 특징부의 수직 표면으로부터 본질적으로 제거할 수 있는 반면에 증착된 SiOC는 3차원 특징부의 수평 표면 상에 유지될 수 있다.
아래에 상세히 논의된 바와 같이, SiOC 막을 증착하기 위한 일부 구현예에서, 하나 이상의 PEALD 증착 사이클은 실리콘 전구체 이후 제2 반응물을 제공하는 것으로 시작된다. 다른 구현예에서, 증착은 제2 반응물 이후 실리콘 전구체의 제공으로 시작할 수 있다. 당업자는 제1 전구체 페이즈가 대체로 이전 사이클 중 마지막 페이즈에 의해 남겨진 종결부와 반응한다는 것을 인식할 것이다. 따라서, 반응성 종 페이즈가 제1 PEALD 사이클 중 제1 페이즈인 경우, 반응물이 기판 표면 상에 미리 흡착되거나 반응 공간에 존재할 수 없지만, 후속 PEALD 사이클에서 반응성 종 페이즈는 효과적으로 실리콘 페이즈를 따를 것이다. 일부 구현예에서, 하나 이상의 상이한 PEALD 서브사이클이 SiOC 박막을 형성하기 위한 공정에 제공된다.
Si 전구체
다수의 상이하고 적절한 Si 전구체가 현재 개시된 PEALD 공정에 사용될 수 있다. 일부 구현예에서, 적합한 Si 전구체는 실란을 포함할 수 있다.
일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 탄화수소기에 의해 연결되거나 이에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 알킬기에 의해 연결되거나 이에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 알콕시기에 의해 연결되거나 이에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 실릴기에 의해 연결되거나 이에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 실릴 에테르기에 의해 연결되거나 이에 결합된 2개의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 -SH기를 포함할 수 있되, -SH는 알킬 사슬 또는 실리콘 원자에 결합될 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 메르캅토기를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 -R-SH 구조를 포함할 수 있되, R은 C1-C5 알킬기일 수 있다. 일부 구현예에서, 적합한 Si 전구체는 실리콘 원자에 결합된 하나 이상의 알콕시기 및 알킬 사슬 상에 적어도 하나의 -SH기를 포함할 수 있다.
일부 구현예에서, 적합한 Si 전구체는 적어도 하나의 알콕시기에 의해 연결되거나 이에 결합된 적어도 하나의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 하나 이상의 알킬기에 부착되거나 결합된 적어도 하나의 Si 원자를 포함할 수 있다. 일부 구현예에서, 적합한 Si 전구체는 적어도 알콕시기 및 알콕시기에 부착되거나 결합된 적어도 하나의 Si 원자를 포함할 수 있다.
일부 구현예에서, PEALD 공정에 의한 SiOC의 증착에 적합한 적어도 일부 Si 전구체는, 하기 일반 조성식을 갖는 가교형 알콕시실란을 포함할 수 있다:
(1) (RIIO)3 Si - RI - Si(ORII)3
여기서, RI 및 RII 각각은 독립적으로 선택된 알킬기일 수 있다. 일부 구현예에서, RI 및 RII 각각은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 가교형 알콕시알킬실란을 포함할 수 있다:
(2) RIII y(ORII)x Si -RI-Si(ORII)x RIII y
여기서, RI, RII, 및 RIII 각각은 독립적으로 선택된 알킬기이고 x + y = 3일 수 있다. 일부 구현예에서, RI 및 RII 각각은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다. 일부 구현예에서, RIII는 독립적으로 선택된 C1-C8 알킬 리간드일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 환형 알콕시실란을 포함할 수 있다:
(3) (RIIO)2 Si - RI 2 - Si(ORII)2
대안적으로 조성식 (3)은 구조식으로 표시할 수 있다:
여기서, RI 및 RII 각각은 독립적으로 선택된 알킬기일 수 있다. 일부 구현예에서, RI 및 RII 각각은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 환형 알콕시알킬실란을 포함할 수 있다:
(4) RIII y(ORII)x Si - RI 2 - Si(ORII)x RIII y
대안적으로 조성식 (4)은 구조식으로 표시할 수 있다:
여기서, RI, RII, 및 RIII 각각은 독립적으로 선택된 알킬기이고 x + y = 2일 수 있다. 일부 구현예에서, RI 및 RII 각각은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다. 일부 구현예에서, RIII는 독립적으로 선택된 C1-C8 알킬 리간드일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 선형 알콕시실란을 포함할 수 있다:
(5) (RIIO)3 Si -(O-Si-RI 2) n -O- Si(ORII)3
여기서, RI은 독립적으로 선택된 알킬기 또는 수소이고, RII는 독립적으로 선택된 알킬기이고, n = 1 내지 4일 수 있다. 일부 구현예에서, RI 및 RII 각각은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다. 일부 구현예에서, RI은 수소이고, RII는 독립적으로 선택된 C1-C5 알킬 리간드일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 선형 알콕시실란을 포함할 수 있다:
(6) RIII y(ORII)x Si -(-RI -Si) n - Si (ORII)x RIII y
여기서, RI, RII, 및 RIII 각각은 독립적으로 선택된 알킬기이고 x + y = 2이고, n은 1 이상일 수 있다. 일부 구현예에서, RI 및 RII는 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다. 일부 구현예에서, RIII는 독립적으로 선택된 C1-C8 알킬 리간드일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 알콕시실란을 포함할 수 있다:
(7) Si(ORI)4
여기서, RI는 독립적으로 선택된 알킬기일 수 있다. 일부 구현예에서, RI은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 갖는 알콕시알킬실란을 포함할 수 있다:
(8) Si(ORI)4-xRII x
여기서, RI 및 RII 각각은 독립적으로 선택된 알킬기이고 x = 1 내지 3일 수 있다. 일부 구현예에서, RI은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다. 일부 구현예에서, RII는 독립적으로 선택된 C1-C8 알킬 리간드일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는, 질소를 포함하지 않고 하기 일반 조성식을 갖는 알콕시실란을 포함할 수 있다:
(9) Si(ORI)4-xRII x
여기서, RI은 독립적으로 선택된 알킬기이고, RII는 탄소, 수소, 및/또는 산소를 포함하고 질소를 포함하지 않는 임의의 리간드이고, x = 1 내지 3일 수 있다. 일부 구현예에서, RI은 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸과 같이 독립적으로 선택된 C1 - C5 알킬 리간드이다. 일부 구현예에서, RII는, 예를 들어 알케닐, 알키닐, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시, 히드로페록시, 티올, 아크릴레이트, 또는 메타크릴레이트 리간드를 포함할 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(10) Si(ORI)4-xRII x
여기서, x = 0 내지 3이고, RI은 독립적으로 선택된 C1-C7 또는 C1-C5 알킬 리간드일 수 있고, RII는 탄소 및/또는 수소, 및/또는 산소로 이루어진 독립적으로 선택된 리간드일 수 있다. 예를 들어, 일부 구현예에서 RII는 알콕시알킬기일 수 있다. 일부 구현예에서, RII는, 예를 들어 알케닐, 알키닐, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시 또는 히드로페록시기일 수 있다. 일부 구현예에서, 예를 들어 RI는 메틸 기이고, RII는 3-메톡시프로필 리간드이고, x는 1이다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(11) (RIO)4-xSi-(RII-O-RIII)x
여기서, x = 0 내지 3이고, RI 및 RII 각각은 독립적으로 선택된 C1-C7 또는 C1-C5 알킬 리간드일 수 있고, RIII는 탄소 및/또는 수소, 및/또는 산소로 이루어진 독립적으로 선택된 리간드일 수 있다. 예를 들어 일부 구현예에서, RIII는, 예를 들어 알케닐, 알키닐, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시 또는 히드로페록시기일 수 있다. 일부 구현예에서, 예를 들어 RI , RII, 및 RIII 각각은 메틸, 에틸, i-프로필, n-프로필, n-부틸, i-부틸, 및 t-부틸로부터 독립적으로 선택된 기일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(12) Si(RI)4-x-yRII xRIII y
여기서 x+y = 0 내지 4이고, RI은 1 내지 5개의 탄소 원자를 갖는 알콕시드 리간드 또는 할라이드이고, RII는 황을 포함하는 임의 리간드이고, RIII는 설프하이드릴, 설파이드, 디설파이드, 술피닐, 술포닐, 술피노, 술포, 티오시아네이트, 이소티오시아네이트, 또는 카르보노티오일 작용기 중 하나로 이루어진다. 일부 구현예에서, RI, RII, 및 RIII 각각은 독립적으로 선택될 수 있다. 일부 구현예에서, RI은 메톡시 리간드를 포함할 수 있고, RII는 3-메르캅토프로필을 포함할 수 있고, x=1이고 y=0일 수 있다. 즉, 일부 구현예에서, 일부 Si 전구체는 Si(OCH3)3C3H6SH를 포함할 수 있다. 일부 구현예에서, Si 전구체는 메르캅토메틸메틸디에톡시실란, 3-메르캅토프로필메틸디메톡시실란 및/또는 3-메르캅토프로필트리에톡시실란을 포함할 수 있다.
일부 구현예에서, 실리콘 전구체는 할로겐을 포함하지 않는다. 일부 구현예에서, 실리콘 전구체는 질소를 포함하지 않는다. 일부 구현예에서, 탄소 사슬은 불포화될 수 있고 탄소-탄소 이중 결합을 함유할 수 있다. 일부 다른 구현예에서, 탄소 사슬은 탄소 및 수소 이외 다른 원자를 함유할 수 있다. 일부 구현예에 따라, 적절한 실리콘 전구체는 일반 조성식 (1) 내지 (11) 중 적어도 임의의 하나를 갖는 화합물을 포함할 수 있다. 도 2는 전술한 조성식 (1) 내지 (11)에 따라 적절한 Si 전구체를 위한 예시적인 분자 구조를 도시한다. 일부 구현예에서, 실리콘 전구체는 비스(트리에톡시실릴)에탄(BTESE)을 포함할 수 있다. 일부 구현예에서, 실리콘 전구체는 3-메톡시프로필트리메톡시실란(MPTMS) 또는 Si(OCH3)3C3H6OCH3)을 포함할 수 있다. 일부 구현예에서, 실리콘 전구체는 (3-메르캅토프로필)트리메톡시실란을 포함할 수 있다.
일부 구현예에서, PEALD 공정에 의한 SiOCN의 증착에 적합한 적어도 일부 Si 전구체는, 하기 일반 조성식을 갖는다:
(13) Si(ORI)4-x(RIINRIIIRIV)x
여기서, x=1 내지 4이고, RI은 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소기이고, RIII 및 RIV는 독립적으로 선택된 알킬기 및/또는 수소일 수 있다. 일부 구현예에서, RI 및 RII는 메틸, 에틸, n-프로필, 또는 이소프로필과 같이 C1 - C3 알킬 리간드이다. 일부 구현예에서, RI은 메틸, 에틸, n-프로필, 이소프로필, 또는 터트부틸과 같이 C1 - C4 알킬 리간드일 수 있다. 일부 구현예에서, RII는 C3 탄화수소가 아니다. 일부 구현예에서, RII는 C1-C2 탄화수소 또는 C4-C6 탄화수소이다. 일부 구현예에서, RII는 하나 이상의 이중 결합을 함유하는 탄화수소와 같은 불포화 탄화수소일 수 있다. 일부 구현예에서, RII는 수소 중 하나가 제거되는 알킬기일 수 있다. 일부 구현예에서, RIII와 RIV는 수소이다. 일부 구현예에서, RI은 메틸이고, RII는 n-프로필이고, RIII 는 수소이고, RIV는 수소이고, x=1이다.
예를 들어, Si 전구체는 (결합을 나타내기 위해 보다 상세한 방식으로 기술되는) 조성식을 가질 수 있다: (RI-O-)4-xSi(-RII-NRIIIRIV)x, 여기서 x=1 내지 4이고, RI은 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소이고, RIII 및 RIV는 독립적으로 선택된 알킬기 및/또는 수소일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(14) Si(ORI)4-x-y-z(RIINRIII RIV)xHy(OH)z
여기서, x=1 내지 4이고, y=0 내지 3이고, z=0 내지 3이고, RI 및 RII는 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소기이고, RIII 및 RIV는 독립적으로 선택된 알킬기 및/또는 수소일 수 있다. 일부 구현예에서, RII는 하나 이상의 이중 결합을 함유하는 탄화수소와 같은 불포화 탄화수소일 수 있다. 일부 구현예에서, RII는 수소 중 하나가 제거되는 알킬기일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(15) LnSi(ORI)4-x-n(RIINRIII RIV)x
여기서, n=1 내지 3이고, x=0 내지 3이고, RI은 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소이고, RIII 및 RIV는 독립적으로 선택된 알킬기 및/또는 수소이고, L은 독립적으로 선택된 알킬기 또는 할로겐일 수 있다. 일부 구현예에서, RII는 하나 이상의 이중 결합을 함유하는 탄화수소와 같은 불포화 탄화수소일 수 있다. 일부 구현예에서, RII는 수소 중 하나가 제거되는 알킬기일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(16) LnSi(ORI)4-x-y-z-n(RIINRIII RIV)xHy(OH)z
여기서, n=0 내지 3이고, x=1 내지 4이고, y=0 내지 3이고, z=0 내지 3이고, RI은 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소이고, RIII 및 RIV는 독립적으로 선택된 알킬기 및/또는 수소이고, L은 독립적으로 선택된 알킬기 또는 할로겐일 수 있다. 일부 구현예에서, RII는 하나 이상의 이중 결합을 함유하는 탄화수소와 같은 불포화 탄화수소일 수 있다. 일부 구현예에서, RII는 수소 중 하나가 제거되는 알킬기일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(17) (RIO)4-xSi(RII-NH2)x
여기서, x=1 내지 4이고, RI은 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소일 수 있다. 일부 구현예에서, RI 및 RII는 메틸, 에틸, n-프로필, 또는 이소프로필과 같이 C1 - C3 알킬 리간드이다. 일부 구현예에서, RI은 메틸이고, RII는 n-프로필이고, x=1이다. 일부 구현예에서, RII는 하나 이상의 이중 결합을 함유하는 탄화수소와 같은 불포화 탄화수소일 수 있다. 일부 구현예에서, RII는 수소 중 하나가 제거되는 알킬기일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(18) (RIO)3Si-RII-NH2
여기서, RI은 독립적으로 선택된 알킬기이고, RII는 독립적으로 선택된 탄화수소일 수 있다. 일부 구현예에서, RI 및 RII는 메틸, 에틸, n-프로필, 또는 이소프로필과 같이 C1 - C3 알킬 리간드이다. 일부 구현예에서, RII는 하나 이상의 이중 결합을 함유하는 탄화수소와 같은 불포화 탄화수소일 수 있다. 일부 구현예에서, RII는 수소 중 하나가 제거되는 알킬기일 수 있다.
일부 구현예에 따라, 일부 Si 전구체는 하기 일반 조성식을 가질 수 있다:
(19) (RIO)4-xSi(-[CH2]n-NH2)x
여기서, x=1 내지 4이고, n=1 내지 5이고, RI은 독립적으로 선택된 알킬기일 수 있다. 일부 구현예에서, RI은 메틸, 에틸, n-프로필, 또는 이소프로필과 같이 C1 - C4 알킬 리간드이다. 일부 구현예에서, RI은 메틸이고, x=1이다.
일부 구현예에서, 실리콘 전구체는 할로겐을 포함하지 않는다. 일부 구현예에서, 실리콘 전구체는 적어도 하나의 아미노알킬 리간드를 포함할 수 있다. 일부 구현예에 따라, 적합한 실리콘 전구체는, 탄소를 통해 실리콘에 결합되고 탄소 사슬에 부착된 적어도 하나의 NH2-기, 예를 들어 아미노알킬 리간드를 함유하는 적어도 하나의 리간드를 포함할 수 있다. 일부 구현예에 따라, 적합한 실리콘 전구체는 탄소를 통해 실리콘에 결합되고 탄소 사슬에 부착된 NH2-기, 예를 들어 아미노알킬 리간드를 함유하는 적어도 하나의 리간드를 포함할 수 있고, 또한 산소 원자를 통해 실리콘에 결합되고 산소에 결합되는 알킬기, 예를 들어 알콕시드 리간드를 포함할 수도 있다. 일부 구현예에 따라, 적합한 실리콘 전구체는, 탄소를 통해 실리콘에 결합되고 적어도 하나의 NRIIIRIV-기를 함유하는 적어도 하나의 리간드를 포함할 수 있되, RIII RIV는 독립적으로 선택된 알킬기 및/또는 수소이고 탄소 사슬에 부착되며, 예를 들어 아미노알킬 리간드일 수 있다. 일부 구현예에 따라, 적합한 실리콘 전구체는, 탄소를 통해 실리콘에 결합되고 적어도 하나의 질소가 탄소에 결합되는 적어도 하나의 리간드를 포함할 수 있다. 또한, 탄소를 통해 실리콘에 결합되고 적어도 하나의 질소가 탄소에 결합되는 하나의 리간드는, 질소에 결합된 수소를 포함할 수 있다. 일부 구현예에 따라, 탄소를 통해 실리콘에 결합되는 리간드 이외에, 적합한 실리콘 전구체는 메톡시, 에톡시, n-프로폭시, i-프로폭시 또는 터트부톡시 리간드와 같은 알콕시 리간드를 또한 포함할 수 있다. 상기 조성식의 일부를 포함하는 일부 구현예에 따라, 적합한 실리콘 전구체는, 탄소를 통해 실리콘에 결합되는 탄소 사슬을 포함하고, 탄소 사슬에 부착되는 알킬아미노 또는 -NH2기와 같은 아미노기가 있고, 탄소 사슬은 탄소와 수소만을 함유하는 C1-C6 탄화수소, C2-C6 탄화수소 또는 C2-C4 탄화수소의 선형, 분지형 또는 환형이다. 일부 구현예에서, 탄소 사슬은 불포화될 수 있고 탄소-탄소 이중 결합을 함유할 수 있다. 일부 다른 구현예에서, 탄소 사슬은 탄소 및 수소 이외 다른 원자를 함유할 수 있다.
일부 구현예에 따라, 적합한 실리콘 전구체는 일반 조성식 (13) 내지 (19) 중 적어도 임의의 하나를 갖는 화합물을 포함할 수 있다. 일부 구현예에서, 할라이드/할로겐은 F, Cl, Br, 및 I를 포함할 수 있다. 일부 구현예에서, 실리콘 전구체는 (3-아미노프로필) 트리메톡시실란(APTMS)을 포함할 수 있다.
일부 구현예에서, 하나 이상의 실리콘 전구체는 ALD 페이즈 중에 기판 표면과 동시에 접촉할 수 있다. 일부 구현예에서, 실리콘 전구체는 본원에 기술된 실리콘 전구체를 하나 이상 포함할 수 있다. 일부 구현예에서, 제1 실리콘 전구체는 제1 ALD 사이클에서 사용되고, 제2의 상이한 ALD 전구체는 후속 ALD 사이클에서 사용된다. 일부 구현예에서, 다수의 실리콘 전구체는 단일 ALD 페이즈 중에 사용될 수 있고, 예를 들어 증착된 SiOCN 막의 특정 특성을 최적화한다. 일부 구현예에서, 증착 중에 하나의 실리콘 전구체만이 기판과 접촉할 수 있다. 일부 구현예에서, 증착 공정에서 하나의 실리콘 전구체 및 하나의 제2 반응물 또는 제2 반응물 조성물만이 존재할 수 있다. 일부 구현예에서, 증착 공정에 금속 전구체는 없다. 일부 구현예에서, 실리콘 전구체는 실릴화제로서 사용되지 않는다. 일부 구현예에서, 실리콘 전구체 접촉 단계의 증착 온도 및/또는 지속 시간은, 실리콘 전구체가 분해되지 않도록 선택된다. 일부 구현예에서, 실리콘 전구체는 실리콘 전구체 접촉 단계 중에 분해될 수 있다. 일부 구현예에서, 실리콘 전구체는 염소 또는 불소와 같은 할로겐을 포함하지 않는다.
일부 구현예에서, 하나 이상의 실리콘 전구체는 ALD 페이즈 중에 기판 표면과 동시에 접촉할 수 있다. 일부 구현예에서, 실리콘 전구체는 본원에 기술된 실리콘 전구체를 하나 이상 포함할 수 있다. 일부 구현예에서, 제1 실리콘 전구체는 제1 ALD 사이클에서 사용되고, 제2의 상이한 ALD 전구체는 후속 ALD 사이클에서 사용된다. 일부 구현예에서, 다수의 실리콘 전구체는 단일 ALD 페이즈 중에 사용될 수 있고, 예를 들어 증착된 SiOC 막의 특정 특성을 최적화한다. 일부 구현예에서, 증착 중에 하나의 실리콘 전구체만이 기판과 접촉할 수 있다. 일부 구현예에서, 증착 공정에서 하나의 실리콘 전구체 및 하나의 제2 반응물 또는 제2 반응물 조성물만이 존재할 수 있다. 일부 구현예에서, 증착 공정에 금속 전구체는 없다. 일부 구현예에서, 실리콘 전구체는 실릴화제로서 사용되지 않는다. 일부 구현예에서, 실리콘 전구체 접촉 단계의 증착 온도 및/또는 지속 시간은, 실리콘 전구체가 분해되지 않도록 선택된다. 일부 구현예에서, 실리콘 전구체는 실리콘 전구체 접촉 단계 중에 분해될 수 있다. 일부 구현예에서, 실리콘 전구체는 염소 또는 불소와 같은 할로겐을 포함하지 않는다.
제2 반응물
상기 논의된 바와 같이, 본 개시에 따라 SiOC를 증착하기 위한 제2 반응물은, 산소 없는 플라즈마를 포함할 수 있다. 산소를 포함하지 않는 가스에 플라즈마 전력을 인가함으로써 플라즈마를 생성할 수 있다. 일부 구현예에서, 제2 반응물은, 반응성 종을 포함할 수 있는 수소 전구체를 포함할 수 있다. 일부 구현예에서, 반응성 종은 라디칼, 플라스마 및/또는 여기된 원자 또는 종을 포함하지만, 이에 제한되지 않는다. 이러한 반응성 종은, 예를 들어 플라즈마 방전, 핫-와이어, 또는 다른 적절한 방법에 의해 생성될 수 있다. 일부 구현예에서, 반응성 종은 반응 챔버로부터 원격으로, 예를 들어 반응 챔버로부터 상류에서 생성될 수 있다("원격식 플라즈마"). 일부 구현예에서, 반응성 종은 반응 챔버 내에서, 기판 바로 근처에서, 또는 기판 바로 위에 생성될 수 있다("직접식 플라즈마").
제2 반응물에 적합한 플라즈마 조성물은, 수소 반응성 종, 즉 수소, 수소 라디칼, 또는 원자 수소를 한 형태 또는 다른 형태로 포함한다. 일부 구현예에서, 제2 반응물은, 수소를 포함하는 가스에서 플라즈마를 형성함으로써 생성된 반응성 종을 포함한다. 일부 구현예에서, 가스는 산소가 아니라 수소를 포함한다. 일부 구현예에서, 제2 반응물은, H2로부터 적어도 부분적으로 형성된 반응성 종을 포함할 수 있다. 일부 구현예에서, 플라즈마는 He, Ne, Ar, Kr 및 Xe와 같은 귀가스, 바람직하게는 Ar 또는 He를 플라즈마 형태, 라디칼 형태 또는 원자 형태로 함유할 수 있다. 일부 구현예에서, 플라즈마는 H2를 포함하는 가스 내에서 생성된다. 일부 구현예에서, 가스는 H2 및 Ar을 포함한다.
일부 구현예에서, 제2 반응물은 H2로부터 형성된 반응성 종을 포함할 수 있다. 일부 구현예에서, 제2 반응물은, 약 25 원자%(at%) 초과의 수소, 약 50 원자% 초과의 수소, 약 75 원자% 초과의 수소, 약 85 원자% 초과의 수소, 약 90 원자% 초과의 수소, 약 95 원자% 초과의 수소, 약 96 원자% 초과, 97 원자%, 98 at% 초과, 또는 약 99 원자% 초과의 수소를 함유하는 가스로부터 생성될 수 있다.
일부 구현예에서, 플라즈마와 같은 반응성 종을 생성하는 데 사용되는 가스는, 본질적으로 수소로 이루어질 수 있다. 따라서 일부 구현예에서, 제2 반응물은, 수소 플라즈마, 수소의 라디칼, 또는 원자 수소로 본질적으로 이루어질 수 있다. 일부 구현예에서, 제2 반응물은, 약 25 원자%(at%) 초과, 약 50 원자% 초과, 약 75 원자% 초과, 약 85 원자% 초과, 약 90 원자% 초과, 약 95 원자% 초과, 약 96 원자% 초과, 97 원자%, 98 원자% 초과, 또는 약 99 원자% 초과의 수소 플라즈마, 수소 라디칼, 또는 원자 수소를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 적어도 부분적으로 H2와 하나 이상의 다른 가스로부터 형성될 수 있고, H2 그리고 다른 가스(들)는 약 1:1000 내지 약 1000:1 또는 그 이상의 흐름 비율(H2/다른 가스(들))로 제공된다. 일부 구현예에서, 흐름 비율(H2/다른 가스(들))은 약 1:1000 초과, 약 1:100 초과, 약 1:50 초과, 약 1:20 초과, 약 1:10 초과, 약 1:6 초과, 약 1:3 초과, 약 1:1 초과, 약 3:1 초과, 약 6:1 초과, 약 10:1 초과, 약 20:1, 50:1, 100:1, 또는 1000:1 또는 그 이상일 수 있다.
일부 구현예에서, 제2 반응물은 산소로부터 생성된 임의의 종을 포함하지 않는다. 따라서, 일부 구현예에서, 반응성 종은 산소를 함유하는 가스로부터 생성되지 않는다. 일부 구현예에서, 반응 종을 포함하는 제2 반응물은, 산소를 함유하지 않는 가스로부터 생성된다. 예를 들어, 일부 구현예에서 제2 반응물은, 산소를 함유하지 않는 가스로부터 생성된 플라즈마를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 약 50 원자%(at%) 미만의 산소, 약 30 원자% 미만의 산소, 약 10 원자% 미만의 산소, 약 5 원자% 미만의 산소, 약 1 원자% 미만의 산소, 약 0.1 원자% 미만의 산소, 약 0.01 원자% 미만의 산소, 또는 약 0.001 원자% 미만의 산소를 함유하는 가스로부터 생성될 수 있다. 일부 구현예에서, 제2 반응물은 O2, H2O, 또는 O3를 포함하지 않는다.
일부 구현예에서, 수소 플라즈마는 산소 함유 종(예, 산소 이온, 라디칼, 원자 산소)이 없거나 실질적으로 없을 수 있다. 예를 들어, 산소 함유 가스는 수소 플라즈마를 생성하는 데 사용되지 않는다. 일부 구현예에서, 산소 함유 가스(예, O2 가스)는 수소 플라즈마 단계 중에 반응 챔버 내로 흘러 들어가지 않는다.
일부 구현예에서, 산소 함유 가스는 수소 플라즈마를 생성하는 데 사용되지 않는다. 일부 구현예에서, 산소 함유 가스(예, O2 가스)는 수소 플라즈마 단계 중에 반응 챔버 내로 흘러 들어가지 않는다.
일부 구현예에서, 제2 반응물은 질소로부터 생성된 임의의 종을 포함하지 않는다. 따라서, 일부 구현예에서, 반응성 종은 질소를 함유하는 가스로부터 생성되지 않는다. 일부 구현예에서, 반응성 종을 포함하는 제2 반응물은, 질소를 함유하지 않는 가스로부터 생성된다. 예를 들어, 일부 구현예에서 제2 반응물은, 질소를 함유하지 않는 가스로부터 생성된 플라즈마를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 약 25 원자%(at%) 미만의 질소, 약 20 원자% 미만의 질소, 약 15 원자% 미만의 질소, 약 10 원자% 미만의 질소, 약 5 원자% 미만의 질소, 약 1 원자% 미만의 질소, 약 0.1 원자% 미만의 질소, 약 0.01 원자% 미만의 질소, 또는 약 0.001 원자% 미만의 질소를 함유하는 가스로부터 생성될 수 있다. 일부 구현예에서, 제2 반응물은 N2, NH3 또는 N2H4를 포함하지 않는다.
일부 구현예에서, 수소 플라즈마는 질소 함유종(예, 질소 이온, 라디칼, 원자 질소)이 없거나 실질적으로 없을 수 있다. 예를 들어, 질소 함유 가스는 수소 플라즈마를 생성하는 데 사용되지 않는다. 일부 구현예에서, 질소 함유 가스(예, N2 가스)는 수소 플라즈마 단계 중에 반응 챔버 내로 흘러 들어가지 않는다.
그러나, 일부 다른 구현예에서 플라즈마, 질소 라디칼, 또는 원자 질소를 하나의 형태 또는 다른 형태로 갖는 질소 반응성 종을 또한 제공한다. 따라서, 일부 구현예에서 제2 전구체는, NH3 및 N2H4, N2/H2의 혼합물 또는 N-H 결합을 갖는 다른 전구체와 같이 N과 H 모두를 갖는 화합물로부터 형성되는 플라즈마를 포함할 수 있다. 일부 구현예에서, 제2 반응물은 적어도 부분적으로 N2로부터 형성될 수 있다. 일부 구현예에서, 제2 반응물은 H2 및 N2로부터 적어도 부분적으로 형성될 수 있으며, 여기서 H2 및 N2는 약 100:1 내지 약 1:100, 약 20:1 내지 약 1:20, 약 10:1 내지 약 1:10, 약 5:1 내지 약 1:5, 및/또는 약2:1 내지 약 4:1, 그리고 일부 경우에 1:1의 흐름 비율(H2/N2)로 제공된다. 예를 들어, SiOC를 증착하기 위한 수소 함유 플라즈마는, 본원에 설명된 하나 이상의 비율에서 N2 및 H2 모두를 사용하여 생성될 수 있다.
일부 구현예에서, 플라즈마와 같은 반응성 종을 생성시키는 데 사용되는 가스는 본질적으로 아르곤 또는 다른 귀가스로 구성될 수 있다. 일부 구현예에서, 수소 함유 플라즈마를 생성하기 위해 사용되는 플라즈마 전력은, 약 5 와트(W) 내지 약 5000 W, 10 W 내지 약 2,000 W, 약 50W 내지 약 1000 W, 약 100 W 내지 약 1000 W, 또는 약 100 W 내지 약 500 W일 수 있다. 일부 구현예에서, 수소 함유 플라즈마를 생성하기 위해 사용되는 플라즈마 전력은 약 100 W 내지 약 300 W일 수 있다. 일부 구현예에서, 수소 함유 플라즈마는 또한 아르곤 또는 다른 귀가스를 포함할 수 있다.
SiOC 막 특성
본원에서 논의된 구현예에 따라 증착된 SiOC 박막은, 약 3 원자% 미만, 약 1 원자% 미만, 약 0.5 원자% 미만, 또는 약 0.1 원자% 미만의 불순물 수준 또는 농도를 달성할 수 있다. 일부 박막에서, 수소를 제외한 총 불순물 수준은 약 5 원자% 미만, 약 2 원자% 미만, 약 1 원자% 미만, 약 0.2 원자% 미만일 수 있다. 그리고 일부 박막에서, 수소 수준은 약 30 원자% 미만, 약 20 원자% 미만, 약 15 원자% 미만, 약 10 원자% 미만일 수 있다. 본원에 사용된 바와 같이, 불순물은 Si, O 및/또는 C 이외의 임의의 원소로 간주될 수 있다. 일부 구현예에서, 박막은 아르곤을 포함하지 않는다.
일부 구현예에서, 증착된 SiOC 막은 상당한 양의 수소를 포함하지 않는다. 그러나, 일부 구현예에서, 수소를 포함하는 SiOC 막이 증착된다. 일부 구현예에서, 증착된 SiOC 막은 약 30 원자% 미만, 약 20 원자% 미만, 약 15 원자% 미만, 약 10 원자% 미만, 또는 약 5 원자% 미만의 수소를 포함한다. 일부 구현예에서, 박막은 아르곤을 포함하지 않는다.
본원에서 사용되는 바와 같이, 용어 스텝 커버리지는, 3차원 특징부의 수직 표면 상에 증착된 막의 평균 두께를 3차원 특징부의 수평 표면 상에 증착된 막의 평균 두께로 나눈 것을 지칭한다. 본원에 전술된 바와 같이, 형성되거나 증착된 SiOC 막의 스텝 커버리지는 일부 구현예에 따른 PEALD 공정에서 제2 반응물을 생성하기 위해 적절한 플라즈마 전력을 선택하여 제어될 수 있다. 일부 구현예에서, 플라즈마 전력은 주어진 범위, 예를 들어 50 W 내지 1000 W의 주어진 범위로부터 선택되어 원하는 스텝 커버리지를 갖는 SiOC 막을 달성할 수 있다. 일부 구현예에서, 더 높은 플라즈마 전력은, 약 1 내지 약 3의 종횡비를 갖는 3차원 특징부에 대해 높은 스텝 커버리지를 갖는 SiOC 막을 초래할 수 있다.
본원에서 사용되는 바와 같이, 습식 식각 속도 비율(WERR) 용어는, 3차원 특징부의 수직 표면 상에 증착된 막의 습식 식각 속도 대 특징부의 수평 표면 상에 증착된 재료의 습식 식각 속도의 비율을 지칭한다. 본원에 전술된 바와 같이, 형성되거나 증착된 SiOC 막의 WERR은 일부 구현예에 따른 PEALD 공정에서 제2 반응물을 생성하기 위해 적절한 플라즈마 전력을 선택하여 제어될 수 있다. 일부 구현예에서, 플라즈마 전력은 소정 범위, 예를 들어 50 W 내지 1000 W 범위로부터 선택되어 원하는 WERR을 갖는 SiOC 막을 달성할 수 있다. 일부 구현예에서, 더 높은 플라즈마 전력은, 약 1 내지 약 3의 종횡비를 갖는 3차원 특징부에 대해 높은 WERR을 갖는 SiOC 막을 초래할 수 있다.
또한 전술한 바와 같이, WER과 WERR은 증착 후 플라즈마 처리로 변경될 수 있다. 일부 구현예에서, 3차원 구조 상에 증착된 SiOC 막을 질소 또는 산소 플라즈마로 처리하여 측벽 상의 WER을 개선한다.
증착된 SiOC 막이 습식 식각과 같은 식각을 받는 일부 구현예에서, SiOC 박막은 기판의 수직 표면 상에 존재할 수 있고, 실질적으로 SiOC는 기판의 수평 표면 상에 존재할 수 없다. 증착된 SiOC 막이 습식 식각과 같은 식각을 받는 일부 다른 구현예에서, SiOC 박막은 기판의 수평 표면 상에 존재할 수 있고, 실질적으로 SiOC는 기판의 수직 표면 상에 존재할 수 없다.
일부 구현예에 따라, SiOC 박막은 약 50 % 초과, 약 80 % 초과, 약 90 % 초과, 또는 약 95 % 초과하는 스텝 커버리지 및 패턴 로딩 효과를 나타낼 수 있다. 일부 경우에, 스텝 커버리지 및 패턴 로딩 효과는 약 98 % 초과일 수 있고, 일부 경우에는 약 100 % 초과일 수 있다(측정 도구 또는 측정법의 정확도 내). 일부 구현예에 따라, 스텝 커버리지 및 패턴 로딩 효과는 약 100 % 초과, 약 110 % 초과, 약 120 % 초과, 약 130 % 초과, 또는 약 140 % 초과일 수 있다. 일부 구현예에서, 스텝 커버리지 및 패턴 로딩 효과는 약 200 %, 300 %, 500 %, 700 %, 1000 % 초과일 수 있다.
전술한 바와 같이 일부 구현예에서, 3차원 구조 상의 SiOC 막이 수소 플라즈마와 접촉되는 증착 후 플라즈마 처리에 의해 스텝 커버리지를 증가시킬 수 있다. 예를 들어, 증착 후 플라즈마 처리는 일부 예에서 100 % 미만 내지 100 % 초과 그리고 심지어 150 % 초과의 스텝 커버리지를 증가시킬 수 있다.
본원에서 사용된 바와 같이, "패턴 로딩 효과"는 당업계의 일반적인 의미에 맞게 사용된 것이다. 패턴 로딩 효과는 불순물 함유량, 밀도, 전기적 특성 및 식각 속도와 관련하여 알 수 있지만, 달리 언급하지 않는 한, 본원에서 사용될 때 용어 패턴 로딩 효과는 구조물이 존재하는 기판의 영역에서의 막 두께의 변화를 의미한다. 따라서, 패턴 로딩 효과는 개방 필드를 마주 보는 3차원 구조/특징부의 측벽 또는 바닥 상의 막 두께에 대해 3차원 구조 내부 특징부의 측벽 또는 바닥에서의 막 두께로서 주어질 수 있다. 본원에서 사용된 바와 같이, 100% 패턴 로딩 효과(또는 1의 비율)는 특징부에 관계없이 기판 전체에 걸쳐 완전히 균일한 막 특성을 나타내게 되는 것으로, 달리 말해 패턴 로딩 효과(특징부 대 개방 필드에 있어서, 특정한 막 특성, 예컨대 두께의 변화)가 없음을 의미한다.
일부 구현예에서, 기판의 수직 및/또는 수평 표면 상의 막 성장 속도는 약 0.01 Å/사이클 내지 약 5 Å/사이클, 약 0.05 Å/사이클 내지 약 2 Å/사이클이다. 일부 구현예에서, 막의 성장 속도는 약 0.05 Å/사이클 초과, 약 0.1 Å/사이클 초과, 약 0.15 Å/사이클 초과, 약 0.3 Å/사이클 초과, 약 0.3 Å/사이클 초과, 약 0.4 Å/사이클 초과이다. 일부 구현예에서, 3차원 특징부의 수직 표면과 같이 하나의 표면 상의 막 성장 속도는, 수평 표면과 같은 상이한 표면 상의 막 성장 속도와 다를 수 있다.
일부 구현예에서, SiOC 막은 약 3 nm 내지 약 50 nm, 약 5 nm 내지 약 30 nm, 약 5 nm 내지 약 20 nm의 두께로 수직 및/또는 수평 표면 상에 증착된다. 일부 구현예에서, 증착된 SiOC 막의 일부 두께는 상기에서 본원에 전술된 적절한 플라즈마 전력을 선택하고/하거나 SiOC 막의 증착 후 플라즈마 처리에 의해 제어될 수 있다. 예를 들어 일부 구현예에서, 기판의 수평 표면 상에 증착된 SiOC 막의 두께는 원하는 값을 달성하기 위해 제어될 수 있는 반면, 기판의 수직 표면 상에 증착된 SiOC 막의 두께는 제2의 상이하고 원하는 값을 달성하기 위해 제어될 수 있다. 이들 두께는 이러한 두께는 약 100 nm 미만, 약 50 nm 미만, 약 30 nm 미만, 약 20 nm 미만, 및 일부 경우에는 약 15 nm 미만의 특징부 크기(폭)로 달성될 수 있다. 일부 구현예에 따라, SiOC 막은 3차원 구조 상에 증착되고, 측벽에서의 두께는 10 nm보다 약간 더 두꺼울 수 있다. 일부 구현예에서, 50 nm를 초과하는 SiOC 막이 증착될 수 있다. 일부 구현예에서, 100 nm를 초과하는 SiOC 막이 증착될 수 있다. 일부 구현예에서, SiOC 막은 약 1 nm 초과, 약 2 nm 초과, 약 3 nm 초과, 약 5 nm 초과, 약 10 nm 초과의 두께로 증착된다.
일부 구현예에서, 본원에 기술된 수소 플라즈마를 갖는 SiOC 막의 증착 후 처리는, 트렌치의 최상부 표면 상의 두께를 감소시키면서 트렌치의 측벽과 바닥에서의 두께를 증가시킬 수 있다.
일부 구현예에 따라, 다양한 습식 식각 속도(WER)를 갖는 SiOC 막은, 본원에 기술된 제어된 증착 공정에 의해 기판의 수평 및/또는 수직 표면 상에 증착될 수 있다. 일부 구현예에서, 증착된 SiOC 막의 상이한 부분의 WER은, 전술한 바와 같이 적절한 플라즈마 전력을 선택함으로써 상이하도록 제어될 수 있다. 예를 들어 일부 구현예에서, 기판의 수평 표면 상에 증착된 SiOC 막의 WER은 원하는 값을 달성하기 위해 제어될 수 있는 반면, 기판의 수직 표면 상에 증착된 SiOC 막의 WER은 제2의 상이하고 원하는 값을 달성하기 위해 제어될 수 있다. 0.5 중량% dHF에서 블랭킷 식각(nm/min)을 사용하는 경우, SiOC 막의 일부분은 약 5 미만, 약 4 미만, 약 2 미만, 또는 약 1 미만의 WER 값을 가질 수 있다. 일부 구현예에서, SiOC 막은 1 미만의 WER 값을 가질 수 있다. 일부 구현예에서, SiOC 막은 약 0.3 미만, 약 0.2 미만 또는 약 0.1 미만의 WER 값을 가질 수 있다. 일부 구현예에서, SiOC 막은 약 0.05 미만, 약 0.025 미만 또는 약 0.02 미만의 WER 값을 가질 수 있다. 일부 구현예에서, 증착된 막은 3차원 특징부의 수직 표면 상에 약 0.1 내지 약 15의 WER 및 3차원 특징부의 수평 표면 상에서 약 10 %, 25 %, 50 %, 100 %, 250 %, 500 % 또는 1000 % 더 큰 WER을 가질 수 있다. 일부 구현예에서, 증착된 막은 3차원 특징부의 수직 표면 상에 약 0.1 내지 약 15의 WER 및 3차원 특징부의 수평 표면 상에서 약 10 %, 25 %, 50 %, 또는 100 % 더 작은 WER을 가질 수 있다.
써멀 옥사이드의 WER에 대해 0.5 중량% dHF의 블랭킷 WER(nm/min)은 약 3 미만, 약 2 미만, 약 1 미만, 또는 약 0.5 미만일 수 있다. 일부 구현예에서, TOX의 WER에 대해 0.5 wt% dHF의 블랭킷 WER은 약 0.4, 0.3, 0.2 또는 0.1 미만일 수 있다.
PEALD 공정이 약 100℃ 미만에서 수행되는 일부 구현예에서, 써멀 옥사이드의 WER에 대해 0.5 중량% dHF의 블랭킷 WER(nm/min)은 약 10 미만, 약 5 미만, 약 3 미만, 약 2 미만, 또는 약 1 미만일 수 있다.
일부 구현예에서, 막의 일부 식각 속도는 SiOC 막을 증착해야 하는 3차원 특징부의 종횡비에 기반하여 적절한 플라즈마 전력을 선택함으로써, 본원에 기술된 바와 같이 제어될 수 있다. 따라서 일부 구현예에서, 3차원 특징부의 측벽과 같은 수직 표면 상에 증착된 SiOC 막의 식각 속도(WER과 같음) 대 3차원 특징부의 최상부 표면과 같은 수평 표면 상에 증착된 SiOC 막의 식각 속도의 비율(WERR)은, 본원에 기술된 적절한 플라즈마 전력을 선택함으로써 제어될 수 있다. 일부 구현예에서, 기판의 수직 표면 상에 증착된 SiOC 막의 식각 속도 대 0.5 wt% dHF에서 증착된 SiOC 막의 식각 속도의 비율을 약 0.1 내지 약 20, 약 0.2 내지 약 15, 약 0.5 내지 약 10, 약 1 내지 약 2, 약 2 내지 약 5, 약 5 내지 약 10, 약 10 내지 약 20, 또는 일부 경우에 약 20 이상을 달성하기 위해, 플라즈마 전력을 선택할 수 있다.
그리고 일부 구현예에서, 0.5 중량% dHF에서 측벽 식각 속도, 예를 들어 핀 또는 트렌치와 같이 실질적으로 수직인 3차원 특징부 상에 증착된 SiOC 막의 WER 대 핀 또는 트렌치와 같은 3차원 특징부의 최상부 표면과 같이 실질적으로 수평 표면 상에 증착된 SiOC 막의 식각 속도의 비율(WERR)은, 약 1 내지 약 2, 약 2 내지 약 5, 약 5 내지 약 10, 약 10 내지 약 20, 또는 일부 경우에 약 20 이상일 수 있다. 일부 구현예에서, 3차원 특징부의 수직 표면 상에 증착된 SiOC 막의 WER 대 3차원 특징부의 최상부 표면 상에 증착된 SiOC 막의 WER의 비율(WERR)은 약 2 이상, 약 5 이상, 약 10 이상, 약 15 이상, 또는 약 20 이상일 수 있다.
일부 구현예에서, 3차원 특징부의 실질적으로 수직인 표면, 예를 들어 측벽 표면 상 또는 안에 증착된 SiOC 막의 WER 대 3차원 특징부의 실질적으로 수평인 표면, 예를 들어 최상부 표면 상 또는 안에 증착된 SiOC 막의 WER의 비율(WERR)은, 약 1 내지 약 0.5, 약 0.5 내지 약 0.2, 약 0.2 내지 약 0.1, 약 0.1 내지 약 0.05, 또는 일부 경우에 약 0.05 미만일 수 있다. 일부 구현예에서, 3차원 특징부의 실질적으로 수직인 표면 상에 증착된 SiOC 막의 WER 대 3차원 특징부의 실질적인 수평 표면 상에 증착된 SiOC 막의 WER의 비율(WERR)은 약 0.5 이하, 약 0.2 이하, 약 0.1 이하, 또는 약 0.05 이하일 수 있다.
일부 구현예에서, 3차원 특징부의 실질적으로 수직인 표면, 예를 들어 측벽 표면 상에 또는 그 안에 증착된 SiOC 막의 WER 대 TOX의 WER의 비율은 약 5 내지 약 10, 약 2 내지 약 5, 약 1 내지 약 2, 약 0.5 내지 약 1, 또는 약 0.1 내지 약 0.5일 수 있다. 일부 구현예에서, 3차원 특징부의 실질적으로 수직인 표면, 예를 들어 측벽 표면 상 또는 안에 증착된 SiOC 막의 WER 대 3차원 특징부의 최상부 표면 상에 증착된 SiOC 막의 WER의 비율(WERR)은 약 0.1 이상, 약 0.5 이상, 약 1 이상, 약 2 이상, 또는 약 5 이상, 또는 약 10 이상일 수 있다.
일부 구현예에서, 본원에 기술된 하나 이상의 공정에 따라 형성된 SiOC는, 실질적으로 수직인 영역의 WER 대 실질적으로 수평인 영역의 WER의 비율(WERR)을 약 1, 예를 들어 0.5 wt% dHF에서 나타낼 수 있다. 예를 들어, 기판 표면 상의 3차원 구조의 실질적으로 수직인 표면(예, 측벽 표면)에 걸쳐 형성된 SiOC 박막의 습식 식각 속도 대 실질적으로 수평인 표면(예, 최상부 표면)에 걸쳐 형성된 SiOC 박막의 습식 식각 속도의 비율(WERR)은 동일하거나 실질적으로 동일할 수 있다. 일부 구현예에서, 비율은 약 4 내지 약 0.5, 약 2 내지 약 0.75, 약 1.25 내지 약 0.8, 또는 약 1.1 내지 약 0.9일 수 있다. 이들 비율은 약 1.2 이상, 약 1.5 이상, 약 1.8 이상, 약 2 이상, 약 3 이상, 약 5 이상 또는 심지어 약 8 이상의 종횡비를 갖는 특징부에서 달성될 수 있다.
예를 들어, 3차원 특징부 또는 그의 일부분이 기판의 실질적으로 평평한 또는 개방된 부분에 가깝거나 인접하여 배치되는 일부 구현예에서, 종횡비는 3차원 특징부 또는 부분의 수직 또는 측면 부분 대 3차원 특징부 또는 부분의 수평 또는 최상부 부분의 비율로서 고려될 수 있고, 기판의 개방된 부분의 치수는 고려하지 않을 수 있다. 즉 일부 구현예에서, 3차원 특징부 또는 3차원 특징부의 일부의 종횡비는, 기판의 임의의 인접하고 개방된 부분의 치수를 고려하지 않고서, 특징부 또는 부분의 높이 또는 깊이 대 특징부 또는 부분의 폭의 비율로 고려될 수 있다.
일부 구현예에서, 본원에 기술된 하나 이상의 공정에 따라 형성된 SiOC는, 예를 들어 0.5 wt% dHF에서 수평 영역 대 수직 영역 WERR을 약 1을 나타낼 수 있다. 예를 들어, 기판 표면 상의 3차원 구조의 수평 표면(예, 최상부 표면)에 걸쳐 형성된 SiOC 박막의 습식 식각 속도 대 수직 표면(예, 측벽 표면)에 걸쳐 형성된 SiOC 박막의 습식 식각 속도의 비율은 동일하거나 실질적으로 동일할 수 있다. 일부 구현예에서, 비율은 약 0.25 내지 약 2, 약 0.5 내지 약 1.5, 약 0.75 내지 약 1.25, 또는 약 0.9 내지 약 1.1일 수 있다. 이들 비율은 약 1.2 이상, 약 1.5 이상, 약 1.8 이상, 약 2 이상, 약 3 이상, 약 5 이상 또는 심지어 약 8 이상의 종횡비를 갖는 특징부에서 달성될 수 있다.
일부 구현예에서, 본 개시에 따른 SiOC 막의 식각의 양은, 0.5 중량% HF에 담근 공정에서 써멀 SiO2 (TOX)보다 약 1, 2, 5, 10 또는 그보다 큰 배수로 작을 수 있다(예를 들어, 약 2 내지 약 3 nm TOX가 제거되는 공정에서, 본원에 개시된 방법에 따라 증착되는 경우, SiOC는 1, 2, 5, 10 또는 그 이상의 배수로 덜 제거됨).
일부 구현예에서, 약 2 nm 미만의 SiOC 막이 5 분의 식각 시간을 갖는 0.5 중량% HF에 담근 공정에서 제거될 수 있다. 일부 구현예에서, 약 2 nm 미만의 SiOC 막이 60 분의 식각 시간을 갖는 0.5 중량% HF에 담근 공정에서 제거될 수 있다.
일부 구현예에서, 본 개시에 따른 SiOC 막의 식각의 양은 0.5 중량% HF에 담근 공정에서 써멀 SiO2 (TOX)보다 약 1, 2, 5, 10 또는 그보다 큰 배수로 작을 수 있다(예를 들어, 약 2 내지 약 3 nm TOX가 제거되는 공정에서, 본원에 개시된 방법에 따라 증착되는 경우, SiOC는 1, 2, 5, 10 또는 그 이상의 배수로 덜 제거됨).
일부 구현예에서, 약 2 nm 미만의 SiOC 막이 5 분의 식각 시간을 갖는 0.5 중량% HF에 담근 공정에서 제거될 수 있다. 일부 구현예에서, 약 2 nm 미만의 SiOC 막이 60 분의 식각 시간을 갖는 0.5 중량% HF에 담근 공정에서 제거될 수 있다.
본원에서 제시되는 모든 원자 백분율(즉, at%) 값은 단순화를 위해 수소를 배제하는 데, 달리 표시하지 않는 한. 이는 수소를 정확하게 양론적으로 분석하기가 어렵기 때문이다. 그러나, 일부 구현예에서, 유의미한 정확도로 수소를 분석하는 것이 가능하면, 막의 수소 함량은 역 20 원자% 미만, 약 10 원자% 미만 또는 약 5 원자% 미만이다. 일부 구현예에서, 증착된 SiOC 박막은 원자 기준(at%)으로 최대 약 70 %의 산소를 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 10 % 내지 약 70 %, 약 15 % 내지 약 50 %, 약 20 % 내지 약 40 %의 산소를 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 20 %, 약 40 % 또는 약 50 %의 산소를 포함할 수 있다.
일부 구현예에서, 증착된 SiOC 박막은 원자 기준(at%)으로 최대 약 40 %의 탄소를 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 0.1 % 내지 약 40 %, 약 0.5 % 내지 약 40 %, 약 1 % 내지 약 30 %, 또는 약 5 % 내지 약 20 %의 탄소를 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 1 %, 약 10 % 또는 약 20 %의 탄소를 포함할 수 있다.
일부 구현예에서, 증착된 SiOC 박막은 원자 기준(at%)으로 최대 약 50 %의 실리콘을 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 10 % 내지 약 50 %, 약 15 % 내지 약 40 %, 또는 약 20 % 내지 약 35 %의 실리콘을 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 15 %, 약 20 %, 약 25 %, 또는 약 30 %의 실리콘을 포함할 수 있다.
일부 구현예에서, 증착된 SiOC 박막은 원자 기준(at%)으로 최대 약 40 %의 황을 함유할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 약 0.01 % 내지 약 40 %, 약 0.1 % 내지 약 40 %, 약 0.5 % 내지 약 30 %, 또는 약 1 % 내지 약 20 %의 황을 포함할 수 있다. 일부 구현예에서, SiOC 막은 원자 기준으로 적어도 약 1 %, 약 10 % 또는 약 20 %의 황을 포함할 수 있다. 일부 구현예에서, 증착된 SiOC 막은 상당한 양의 질소를 포함하지 않는다. 그러나, 일부 구현예에서, 질소를 포함하는 SiOC 막이 증착된다. 일부 구현예에서, 증착된 SiOC 막은 약 30 원자% 미만, 약 20 원자% 미만, 약 15 원자% 미만, 약 10 원자% 미만, 약 5 원자% 미만, 약 1 원자% 미만, 또는 약 0.1 원자% 미만의 질소를 포함한다. 일부 구현예에서, SiOC 박막은 질소를 포함하지 않을 수 있다.
전술한 바와 같이, 일부 구현예에서, SiOC 막은 Si-C 결합 및/또는 Si-O 결합을 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-N 결합을 추가로 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-S 결합을 추가로 포함할 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합 및 Si-O 결합을 포함할 수 있고, Si-N 결합을 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-N 결합 및 Si-O 결합을 포함할 수 있고, Si-C 결합을 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-N 결합 및 Si-C 결합을 포함할 수 있고, Si-O 결합을 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-S 결합, Si-C 결합, 및 Si-O 결합을 포함할 수 있고, Si-N 결합을 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-S 결합 및 Si-C 결합을 포함할 수 있고, Si-O 결합을 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-S 결합 및 Si-O 결합을 포함할 수 있고, Si-C 결합을 포함하지 않을 수 있다. 일부 구현예에서, SiOC 막은 Si-C 결합보다 많은 Si-O 결합을 포함할 수 있고, 예를 들어 Si-O 대 Si-C 결합의 비율은 약 1:1 내지 약 10:1일 수 있다. 일부 구현예에서, 증착된 SiOC 막은 하나 이상의 SiN, SiO, SiC, SiCN, SiON, SiOSC, SiSC, SiOS, 및/또는 SiOC를 포함할 수 있다.
일부 구현예에서, SiOC 막은 저 유전율 막이 아니고, 예를 들어 SiOC 막은 다공성 막이 아니다. 일부 구현예에서, SiOC는 연속적인 막이다. 일부 구현예에서, SiOC막은 약 10 미만의 k 값을 갖는다. 일부 구현예에서, SiOC막은 약 7 미만의 k 값을 갖는다. 일부 구현예에서, SiOC 막은 약 2 내지 약 10의 k 값을 갖는다. 일부 구현예에서, SiOC 막은 약 5.0 미만, 약 4.5 미만, 약 4.3 미만, 약 4.1 미만의 k 값을 갖는다. 일부 구현예에서, SiOC 막은 약 3.0 내지 약 7, 약 3.0 내지 약 5.5, 약 3.0 내지 약 5.0, 약 3.5 내지 약 4.8, 약 3.5 내지 약 4.7의 k 값을 갖는다. 일부 구현예에서, SiOC 막은, 임의의 저 유전율 막의 k 값보다 더 큰 k 값을 갖는다. 일부 구현예에서, SiOC 막은 순수 SiO2보다 더 큰 k 값을 갖는다.
일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 적층체 또는 나노적층체 구조를 포함하지 않는다.
일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 자기 조립 단층(SAM)이 아니다. 일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 서로 결합되지 않은, 별도의 개별적인 분자로 이루어지지 않는다. 일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 실질적으로 함께 결합되거나 연결된 재료를 포함한다. 일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 기능성 층이 아니며, 아미노 관능화되지 않고/거나 기능성 표면으로서 사용되지 않는다. 일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 -NH2 기로 종결되지 않는다. 일부 구현예에서, 본 개시에 따라 증착된 SiOC 막은 실질적인 양의 -NH2 기를 포함하지 않는다.
추가 막 재료
일부 구현예에서, SiOC 이외의 재료를 포함하는 박막의 형성은, 본원에 기술된 공정에 의해 증착 및/또는 제어될 수 있다. 예를 들어, 일부 구현예에서, 금속 옥사이드 박막 및 금속 옥사이드 막의 형성은 산소 플라즈마 또는 여기된 산소 종을 포함하지 않는 PEALD 공정에 의해 본원에 기술된 바와 같이 제어될 수 있다. 이들 구현예에서, 본원에 기술된 공정에서 실리콘 전구체를 대신하여 상이한 금속 전구체를 사용한다. 일부 구현예에서, 티타늄 옥사이드, 니오븀 옥사이드, 탄탈륨 옥사이드, 텅스텐 옥사이드, TiO(CN) 및/또는 알루미늄 옥사이드 박막의 형성은 본원에 기술된 PEALD 공정에 의해 제어될 수 있다. 일부 구현예에서, 티타늄 옥사이드, TiO(CN), 니오븀 옥사이드, 탄탈륨 옥사이드, 텅스텐 옥사이드, 및/또는 알루미늄 옥사이드는 본원에 기술된 PEALD 공정에 의해 증착될 수 있다.
일부 구현예에서, 금속 옥사이드 막은 하나보다 많은 금속 옥사이드를 포함할 수 있다. 상이한 금속은 동일한 전구체에 의해, 또는 하나 이상의 증착 사이클에 제공되는 둘 이상의 상이한 금속 전구체에 의해 제공될 수 있다.
일부 구현예에서, 실리콘 및 금속을 포함하는 옥사이드 막은, 산소 플라즈마 또는 여기된 산소 종을 포함하지 않는 PEALD 공정에 의해 본원에 기술된 바와 같이 증착될 수 있다. 일부 구현예에서, 금속과 실리콘을 모두 포함하는 옥사이드가 증착된다. 일부 구현예에서, 옥사이드 막은 금속 옥사이드 및 실리콘 옥사이드의 혼합물을 포함할 수 있다. 일부 구현예에서, 옥사이드 막은 금속 실리케이트 막을 포함할 수 있다. 예를 들어, 막은 실리콘 옥사이드 및 예를 들어, ZrO2, HfO2, 또는 TiO2, Al2O3, 란타나이드 (+Sc+Y) 옥사이드, Ta2O5, 또는 Nb2O5와 같은 하나 이상의 전이 금속 옥사이드를 포함할 수 있다.
일부 구현예에서, 본원에 기술된 공정에서 실리콘 전구체와 함께 금속 전구체를 사용한다. 일부 구현예에서, 금속 옥사이드를 증착하기 위한 증착 사이클과 실리콘 옥사이드를 증착하기 위한 증착 사이클은, 원하는 조성을 갖는 막을 증착하기 위해 증착 공정에서 선택된 비율로 제공될 수 있다.
일부 구현예에서, 증착 사이클은, 제1 금속 전구체, 제2 실리콘 전구체, 및 제3 플라즈마 반응물과 기판을 교대 순차적으로 접촉시키는 단계를 포함하는 단일 증착 사이클을 포함할 수 있다. 금속 및 실리콘 전구체 및 제3 반응물은 금속 옥사이드 및 실리콘 옥사이드의 증착을 위해 본원에 기술된 바와 같을 수 있다. 증착 사이클은, 금속 반응물의 제공, 실리콘 반응물의 제공 또는 제3 반응물의 제공으로 시작될 수 있다. 본원에 기술된 바와 같이, 각각의 반응물의 제공은, 과량의 반응물 및 반응 부산물이 반응 공간으로부터 제거되는 퍼지 단계에 의해 분리될 수 있다. 일부 구현예에서, 금속 전구체 및 실리콘 전구체의 비는, 원하는 조성을 갖는 혼합 금속 옥사이드 막을 제공하도록 선택되고/되거나 조정된다.
일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드를 포함하는 막을 증착하기 위해 사용되는 금속 전구체는, 원하는 금속 및 산소를 포함하는 휘발성 화합물을 포함할 수 있다. 본원에 기술된 산소 플라즈마 또는 산소종을 포함하지 않는 PEALD 공정으로 금속 옥사이드 막을 증착하기 위해 사용될 금속 전구체의 목록이 표 1에 제공되어 있다.
표 1: 금속 옥사이드 박막의 증착을 위한 전구체
일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 아래 조성식의 휘발성 화합물을 포함할 수 있다:
M(ORI)x-yRII y
여기서, RI은 독립적으로 선택된 탄화수소기일 수 있고, M은 금속 또는 Ge, 예를 들어 전이 금속 또는 Ge, Al, Ga, In, Sn, Pb, Bi, Sb이고, x+y는 산화 상태, 또는 금속 원자의 결합 수, 예를 들어 3, 4, 5 또는 6이다. 금속 원자의 이중 또는 삼중 결합이 있는 일부 구현예에서, x+y의 값을 결정하는 경우에 각각의 이중 또는 삼중 결합은 2회 또는 3회 계수될 수 있다. 일부 구현예에서, RII는 탄소, 수소, 질소, 할로겐 및/또는 산소를 포함하는 임의의 리간드일 수 있다. 일부 구현예에서, M은 하기 군으로부터 선택된 전이 금속이다: Ti, V, Cr, Mn, Zr, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir 및 Pt. 일부 구현예에서, M은 하기 군으로부터 선택된 전이 금속이다: Cu, Fe, Co, Ni. 일부 구현예에서, M은 하기 군으로부터 선택된 전이 금속이다: Au, Pt, Ir, Pd, Os, Ag, Re, Rh, 및 Ru. 일부 구현예에서, RI은 독립적으로 선택된 C1-C5 알킬 리간드, 예컨대 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸 리간드일 수 있다. 일부 구현예에서, RI은 산소 또는 질소 또는 다른 헤테로원자를 포함할 수 있다. 일부 구현예에서, RII는 예를 들어 알케닐, 알키닐, 환형 탄화수소, 아민, 알키아민, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시, 히드로페록시, 티올, 아크릴레이트, 또는 메타크릴레이트 리간드를 포함할 수 있다. 일부 구현예에서, 상기 조성식의 리간드 중 적어도 하나는 산소를 포함한다. 일부 구현예에서, M은 또한 1족 또는 2족 금속 원소일 수 있다.
일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 아래 조성식의 휘발성 화합물을 포함할 수 있다:
M(ORI)x,
여기서, RI은 독립적으로 선택된 알킬기 일 수 있고, M은 금속 또는 Ge, 예를 들어 전이 금속 또는 Ge, Al, Ga, In, Sn, Pb, Bi, Sb이고, x+y는 산화 상태, 또는 금속 원자의 결합 수, 예를 들어 3, 4, 5 또는 6이다. 금속 원자의 이중 또는 삼중 결합이 있는 일부 구현예에서, x의 값을 결정하는 경우에 각각의 이중 또는 삼중 결합은 2회 또는 3회 계수될 수 있다. 일부 구현예에서, RI은 독립적으로 선택된 C1-C5 알킬 리간드, 예컨대 메틸, 에틸, n-프로필, 이소프로필, 터트부틸, 또는 펜틸 리간드일 수 있다. 일부 구현예에서, M은 하기 군으로부터 선택된 전이 금속이다: Ti, V, Cr, Mn, Zr, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir 및 Pt. 일부 구현예에서, M은 하기 군으로부터 선택된 전이 금속이다: Cu, Fe, Co, Ni. 일부 구현예에서, M은 하기 군으로부터 선택된 전이 금속이다: Au, Pt, Ir, Pd, Os, Ag, Re, Rh, 및 Ru. 일부 구현예에서, M은 희토류 원소, 예를 들어 Sc, Y, La, Ce, 또는 Nd일 수 있다.
일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 예를 들어 베타디케토네이트 리간드(acac, thd)와 같은 두자리 리간드 또는 적어도 하나의 산소를 통해 금속 원자에 결합되는 다른 다중자리/두자리 리간드와 같이, 적어도 하나의 다중자리 리간드를 포함할 수 있다. 일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 시클로펜타디에닐 리간드와 같은 환형 리간드를 포함할 수 있다.
일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 알콕시드 전구체 또는 알콕시드 리간드를 포함할 수 있다. 일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 적어도 하나의 금속-산소 결합을 포함한다. 일부 구현예에서, 본원에 기술된 공정으로 금속 옥사이드 막을 증착하기 위해 사용되는 금속 전구체는, 금속-산소 결합을 포함하지 않으나, 리간드에 산소를 포함한다. 일부 구현예에서, 금속 전구체는 금속 또는 Ge, 예를 들어 전이금속 또는 Ge, Al, Ga, In, Sn, Pb, Bi, Sb를 포함한다. 일부 구현예에서, 금속 전구체는 1족 또는 2족 금속 원소를 포함한다. 일부 구현예에서, M은 희토류 원소, 예를 들어 Sc, Y, La, Ce, 또는 Nd일 수 있다.
일부 구현예에서, 금속 옥사이드 막은 본원에 기술된 공정에 따라 포토레지스트 또는 다른 유기 재료를 포함하는 기판 상에 증착될 수 있다. 일부 구현예에서, 산소 플라즈마를 포함하는 PEALD 공정에 의해 달리 파괴될 수 있는 금속 옥사이드 막을 기판 상에 증착시킬 수 있다.
일부 구현예에서, 본원에 기술된 PEALD 공정에 따라 증착된 금속 옥사이드 막은, 산소 플라즈마 또는 여기된 산소 종을 포함하는 PEALD 공정에 의해 증착된 유사한 금속 옥사이드 막의 습식 식각 저항성보다 더 높은 습식 식각 저항성을 가질 수 있다. 본원에 기술된 바와 같이, 일부 구현예에서, 원하는 스텝 커버리지 및/또는 WERR을 달성하기 위해 PEALD 공정에서 SiOC의 증착에 관하여, 예를 들어 본원에 기술된 범위로부터 플라즈마 전력을 선택함으로써 금속 옥사이드 막의 형성을 제어할 수 있다. 즉, 일부 구현예에서, 본원에 기술된 SiOC 막의 형성을 제어하기 위해 사용되는 공정 조건을 사용하여 금속 옥사이드 막의 형성을 제어할 수 있다.
일부 구현예에서, 금속 옥사이드 박막을 증착하기 위한 PEALD 공정에 사용된 제2 반응물은, SiOC의 증착에 관해 본원에 기술된 제2 반응물과 동일하다. 일부 구현예에서, 제2 반응물은 Ar과 같은 귀가스를 포함하는 가스 내에 생성된 플라즈마를 포함한다. 미리 언급한 대로, 일부 구현예에서 제2 반응물은, 산소를 포함하지 않는 가스에서 생성된 플라즈마이다. 일부 구현예에서, 제2 반응물은 Ar에서 생성된 플라즈마, Ar 및 N2 에서 생성된 플라즈마, 또는 Ar 및 H2에서 생성된 플라즈마를 포함한다. 일부 구현예에서, 탄소 및/또는 질소와 같이, 금속 옥사이드 막의 특정 성분의 양을 제어하도록, 제2 반응물을 선택할 수 있다. 또한, 막의 조성을 조정하기 위해, 플라즈마 전력을 제어할 수 있다.
일부 구현예에서, 산소 플라즈마 또는 다른 산소 반응물을 사용하지 않는 PEALD 공정으로 티타늄을 포함하는 금속 옥사이드를 증착한다. 예를 들어, 티타늄 옥사이드 막은 비산소 플라즈마와 조합된 티타늄 이소프로폭시드(IV)(TTIP)를 사용하여 PEALD 공정에 의해 증착될 수 있다. 일부 구현예에서, Ar에서 생성된 플라즈마, Ar 및 질소를 포함하는 가스 내에서 생성된 플라즈마, 또는 Ar 및 수소를 포함하는 가스에서 생성된 플라즈마와 조합된 PEALD 공정에서, TTIP를 사용한다. 일부 구현예에서, 탄소를 포함하는 티타늄 옥사이드 막은 PEALD 공정에 의해 증착되며, 여기서 TTIP와 같은 티타늄 반응물이 순수 Ar 가스와 같은 귀가스 내에서 생성된 플라즈마와 조합하여 활용된다. 탄소의 양은 플라즈마 전력을 변화시킴으로써 조정될 수 있다. 일부 구현예에서, 탄소와 질소를 포함하는 티타늄 옥사이드 막(TiO(CN))은 PEALD 공정에 의해 증착되며, TTIP와 같은 티타늄 반응물을 Ar 및 N2를 포함하는 가스와 같이 질소를 포함하는 가스 내에서 생성된 플라즈마와 조합하여 활용된다.
실시예
직접 플라즈마 PEALD 반응기를 사용하여 본원에 기술된 공정에 따라 샘플 SiOC 막을 증착하였다. 3-메톡시프로필(트리메톡시실란)(MPTMS)을 실리콘 전구체로서 사용하였다. 200℃의 증착 온도에서 실험을 수행하였다. 유량이 100/1200 sccm인 H2/Ar 혼합물로 이루어진 가스로부터 플라즈마를 생성했다. 사용된 압력은 4 토르였고 펄스/퍼지/RF/퍼지 길이는 2/4/4/0.5 초였다. 이들 파라미터를 갖는 공정의 사이클 당 성장 속도(GPC)는 일반적으로 약 0.2 Å/사이클이다. 플라즈마 전력은 200 W 내지 650 W로 다양하였다. 도 4는 트렌치 패턴을 포함하는 기판 상에 증착된 예시적인 SiOC 막을 도시하는 일련의 주사 전자 현미경 사진이다. 200 W의 플라즈마 전력으로 증착된 막은 1500 사이클을 포함하였지만, 500 W 및 650 W의 플라즈마 전력으로 증착된 막은 2000 사이클을 포함하였다.
플라즈마 전력은 스텝 커버리지 및 수직 대 수평 표면 GPC의 선택도에 영향을 끼침을 볼 수 있다. 650 W의 최대 전력 설정을 사용함으로써, 트렌치 최상부 영역 상에서 막을 볼 수 없으며, 또한 개방된 공간 필드 영역에는 아무것도 볼 수 없다. 다른 한편으로는 트렌치 측벽 상의 GPC는 실질적이다. 500 W 및 200 W의 더 낮은 전력을 사용하면 최상부 및 필드 영역에서의 막을 또한 볼 수 있다. 스텝 커버리지는 또한 구조 종횡비(AR)에 의존한다. 도 5는 2개의 상이한 전력 설정을 사용하는 경우, 이러한 변화를 도시한다.
도 6a는 200 W의 플라즈마 전력을 갖는 PEALD 공정으로 종횡비가 약 3인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 터널링 전자 현미경 사진이고, 도 6b는 0.5 중량% 희석 HF 용액에 2분 동안 식각을 거친 후 도 6a의 예시적인 SiOC 막의 터널링 전자 현미경 사진이다. 구조의 측벽 및 바닥 상의 증착된 SiOC 막은 식각되어 없어지는 반면, 최상부 영역에서의 막은 남아 있다.
도 6c에서 볼 수 있는 바와 같이, 그리고 낮은 종횡비, 예를 들어 도 5에 도시된 AR 1.4의 경우에서와 같이, SiOC 막이 또한 구조의 최상부 및 바닥 영역 상에 증착될 수 있다. 구조의 AR이 증가함에 따라, 구조의 바닥 상에 증착된 SiOC의 WER이 감소되었다. 따라서, 식각 후, 구조의 최상부 및 바닥 모두에 증착된 SiOC 막이 남는다. GPC 및 WER은 이들 두 영역에서 거의 동일한 것으로 밝혀졌다.
도 7a는 200 W의 플라즈마 전력을 갖고 400회의 증착 사이클을 포함하는 PEALD 공정으로 종횡비가 약 1.4인 3차원 특징부 상에 증착된 예시적인 SiOC 막의 터널링 전자 현미경 사진이고, 도 7b는 0.5 % 희석 HF에 2분 동안 습식 식각을 거친 후 도 7a의 예시적인 SiOC 막의 터널링 전자 현미경 사진이다.
도 8a 및 도 8b는 650 W의 플라즈마 전력과 700회의 증착 사이클을 포함하는 본원에 기술된 SiOC 막에 의해 증착된 SiOC 막과 1(우측) 및 3(좌측)의 종횡비를 갖는 트렌치의 2개의 TEM 이미지를 도시한다. 도 8a는 증착된 SiOC 막을 도시하고 도 8b는 0.5 중량% 희석 HF에서 2분 습식 식각이 수행된 후에 도 8a의 예시적인 SiOC 막을 도시한다. 도 8c는 약 1의 종횡비를 갖는 3차원 특징부 상에 증착된 예시적인 SiOC 막의 상이한 영역(최상부, 측면, 바닥)에 대한 사이클 당 성장 속도(GPC) 및 습식 식각 속도 비율(WERR)을 보여주는 막대 그래프이다. 측벽 및 바닥 상의 GPC는 최상부에 있는 것보다 훨씬 높다. 도 8d는 약 3의 종횡비를 갖는 3차원 특징부 상에 증착된 예시적인 SiOC 막에 대한 이들 3개의 영역에서 GPC 및 WER의 차이를 도시한다. 바닥 영역에서, 막은 최고 GPC로 증착되었고, 그것은 또한 최상부 및 측벽 상의 것보다 훨씬 낮은 WER을 도시한다. 이 결과는, 더 높은 증착 전력 및 HF 식각 처리를 도입함으로써, 단지 바닥 상에 막을 만들 수 있음을 나타낸다. 그리고 도 8b에 도시된 바와 같이, 최상부 영역 내의 막은 쉽게 식각되어 없어질 수 있는 반면에, 측벽 및 바닥 상의 막은 그대로 남아 있을 것이다. 상기 막의 WER 대 써멀 실리콘 옥사이드의 WER의 비율은 최상부 및 바닥 영역의 것보다 훨씬 적다는 것이 발견되었다. 따라서, 고 전력 및 HF 식각을 도입함으로써 측벽 상에 바로 막을 달성하는 것이 가능하다. 그러나, 비교적 낮은 AR, 예를 들어 3을 갖는 구조에 한정된다.
200 W의 플라즈마 전력을 사용하여 200℃와 250℃에서 증착된 샘플로부터 SiOC 막 샘플 조성을 XPS를 사용하여 측정하였다. 탄소 함량은 약 1 내지 3 원자%로 실질적으로 낮았다. 10 nm 200°C/200 W 막의 k 값을 측정하였고, 약 4인 것으로 밝혀졌다.
도 9a는 실리콘 전구체로서 MPTMS 및 67 W의 전력에서 H2 및 Ar 가스로부터 생성된 플라즈마를 포함하는 PEALD 공정에 의해, 3차원 특징부 상에 증착된 예시적인 SiOC 막의 주사 전자 현미경 사진이다. 증착 온도는 200℃였고 반응 챔버 압력은 4 토르였다. 막은 6/4/4/1 초의 MPTMS/퍼지/RF/퍼지 시간을 포함하는 1000회의 증착 사이클에 의해 증착되었다. 도 9b는 0.1 중량% 희석 HF 습식 식각이 수행된 후에 도 10a의 예시적인 SiOC 막의 주사 전자 현미경 사진이다. 식각 공정 후에 측벽 상에 실질적으로 막이 남아 있지 않은 것이 관찰되었다.
도 10은 실리콘 전구체로서 MPTMS 및 650 W의 전력에서 H2 및 Ar 가스로부터 생성된 플라즈마를 포함하는 PEALD 공정에 의해, 3차원 특징부 상에 증착된 예시적인 SiOC 막의 주사 전자 현미경 사진이다. 증착 온도는 200℃였고 반응 챔버 압력은 4 토르였다. 막은 2/4/4/0.5 초의 MPTMS/퍼지/RF/퍼지 시간을 포함하는 2000회의 증착 사이클에 의해 증착되었다. 측벽 상의 막 두께는 63 nm인 반면, 최상부 표면 상의 두께는 단지 8 nm에서 실질적으로 더 낮음이 관찰되었다.
다른 실험에서 증착된 SiOC 막 상에서 증착 후 플라즈마 처리(PT)를 수행하였다. 전술한 바와 같이, 200℃의 증착 온도에서 3-메톡시프로필(트리메톡시실란)(MPTMS) 및 수소 플라즈마로부터 트렌치 패턴 상에 SiOC를 본질적으로 증착하였다. 334 W 또는 835 W 중 어느 하나에서 가스 Ar/H2 내에 생성된 수소 플라즈마에 노출시키는, 증착 후 플라즈마 처리를 SiOC 막에 수행했다. 하기 표 2에서 볼 수 있는 바와 같이, 트렌치 최상부에서의 두께는 플라즈마 처리 후에 감소했다. 그러나, 그것은 측벽 및 바닥 상에서 증가했다. 스텝 커버리지는 처리를 하지 않았을 경우의 0.6에서, 334 W에서 생성된 플라즈마의 처리 후의 1로 증가하였고, 835 W의 전력이 사용된 경우 0.6에서 1.7로 증가하였다. 임의의 이론에 속박되지 않으면서, 구조의 최상부에 있는 SiOC 막은 식각되지 않았고 트렌치의 바닥 및 측벽 상에 재증착되는 것으로 여겨진다.
표 2
또한, 질소, 수소 및 산소 플라즈마를 사용하는 플라즈마 후처리(PT)를 전술된 바와 같이 트렌치 구조 상에 증착된 SiOC 막에 수행하였다. 플라즈마는 Ar 가스와 혼합된 H2, O2 및 N2 각각에서 생성되었다. 300 W의 전력을 인가했고, 플라즈마 처리를 6 초의 펄스(노출) 시간 및 사이클 사이에 1 초의 퍼지를 갖는 사이클을 50회 동안 반복하였다. 3개의 플라즈마 반응물 각각으로 플라즈마 처리한 후, 희석 HF에서의 습식 식각 속도(WER)를 측정하였다. 하기 표 3에 나타난 바와 같이, 측벽의 습식 식각 속도는 산소 플라즈마 처리와 질소 플라즈마 처리에 의해 개선되었지만, 수소 플라즈마 처리에 의해 개선되지 않았다.
표 3
티타늄 이소프로폭시드(IV)(TTIP)를 티타늄 전구체로서 사용하는 산소가 없는 PEALD 공정에 의해, 직접식 플라즈마 PEALD 반응기에서 티타늄 옥사이드 박막을 증착하였다. 70℃에서 가열된 공급원 병으로부터 TTIP를 제공하였다. TTIP 반응물을 3개의 상이한 플라즈마 반응물과 교대 순차적으로 제공하였다. 플라즈마는 Ar, Ar 및 N2, 및 Ar 및 H2에서 생성되었다. 200°C의 증착 온도에서 실험을 수행하였다. 도 11a는 생성된 막의 굴절률을 도시한다. H2를 함유한 플라즈마의 경우, 굴절률은 TiO2의 것과 매우 근접하다. 그러나, N2를 함유한 플라즈마 및 순수 Ar 플라즈마는, 가변적인 막 조성을 나타내는 상이한 굴절률을 나타내었다. 도 11b는 3개의 상이한 플라즈마 반응물을 사용하는 티타늄 옥사이드 막의 성장 속도를 도시한다.
하기 표 4는 RBS와 XPS로부터 얻어진 조성 데이터를 나타낸다. XPS와 RBS 모두는 TiO2 막이 H2를 함유한 플라즈마에 의해 증착됨을 나타낸다. XRD 측정에 의하면 결정질 구조를 보이지 않았다. 비정질 TiO2 증착되었음을 표시한다. 0.5% dHF 용액에서의 써멀 실리콘 산화물(TOX)에 대한 습식 식각 속도 비율(WERR)은 약 0.5였다. 이러한 낮은 WERR은 일부 패터닝 적용예에서 막을 유용하게 한다. 4 포인트 프로브 비저항 측정법은 증착된 TiO2 막의 매우 높은 비저항을 나타냈다.
순수한 Ar 플라즈마를 플라즈마 반응물로서 사용하는 경우, 생성된 막은 탄소가 풍부한 TiOC 막이었다. 탄소 함량은 플라즈마 전력을 조정함으로써 변형될 수 있다. 추가로, N2를 함유한 플라즈마는 질소를 막 내에 도입시켜, TiOCN 막을 생성하였다.
표 4
본원에서 사용된 바와 같이, 용어 "약"은 해당 값의 15 % 이내, 10 % 이내, 5 % 이내 또는 1 % 이내인 값을 지칭할 수 있다.
용어 "막" 및 "박막"은 간략화를 위해 본원에서 사용된다. "막" 및 "박막"은 본원에 개시된 방법에 의해 증착된 임의의 연속적인 또는 비-연속적인 구조 및 재료를 의미한다. 예컨대, "막" 및 "박막"은 2D 재료, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 단일 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다.
당업자는 본 발명의 사상을 벗어나지 않고, 다수의 그리고 다양한 변형이 이루어질 수 있음을 이해할 것이다. 설명된 형상, 구조물, 특징 및 전구체는 임의의 적합한 방식으로 결합될 수 있다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며 본 발명의 범위를 한정하도록 의도된 것이 아니라는 것을 분명히 이해해야 한다. 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.

Claims (19)

  1. 적어도 하나의 증착 사이클을 포함하는 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 기판의 3차원 특징부에 실리콘 옥시카바이드(SiOC) 박막을 형성하는 방법에 있어서,
    상기 증착 사이클은:
    상기 기판을 산소를 포함하는 기상 실리콘 전구체와 접촉시키는 동작; 및
    약 50W 내지 약 1000W의 플라즈마 출력에서 산소를 포함하지 않는 가스 내에서 생성된 플라즈마로부터의 반응성 종을 포함하는 제2 반응물과 상기 기판을 접촉시키는 동작-여기서 플라즈마 출력은 3차원 특징부의 수직 표면에 형성된 SiOC 박막의 습식 식각 속도(WER)와 3차원 특징부의 수평 표면에 형성된 SiOC 박막의 습식 식각 속도(WER)의 비율을 제어하도록 선택됨-을 포함하는 방법.
  2. 청구항 1에 있어서,
    상기 기판을 상기 기상 실리콘 전구체와 접촉시킨 후 및 상기 기판을 상기 제2 반응물과 접촉시키기 전에 과량의 기상 실리콘 전구체를 제거하는 동작을 더 포함하는 방법.
  3. 청구항 1에 있어서,
    상기 증착 사이클은 원하는 두께의 SiOC 박막을 형성하기 위해 반복되는 방법.
  4. 청구항 1에 있어서,
    상기 3차원 특징부는 약 1 내지 약 10의 종횡비를 갖는 방법.
  5. 청구항 1에 있어서,
    상기 플라즈마 파워는 약 20 % 내지 약 1000 %의 3 차원 특징부에 대한 스텝 커버리지를 갖는 SiOC 박막을 생성하도록 선택되는 방법.
  6. 청구항 1에 있어서,
    상기 실리콘 전구체는 적어도 하나의 알콕시기를 포함하는 방법.
  7. 청구항 1에 있어서,
    상기 실리콘 전구체는 비스(트리에톡시실릴)에탄(BTESE) 또는 3-메톡시프로필트리메톡시실란(MPTMS)을 포함하는 방법.
  8. 청구항 1에 있어서,
    상기 제2 반응물은 수소 원자, 수소 라디칼 또는 수소 이온을 포함하는 방법.
  9. 청구항 1에 있어서,
    상기 증착된 SiOC 박막을 H2, N2, O2, N2O, NO, NO2, NH3, CO, CO2, 또는 H2O 를 포함하는 가스에서 형성된 플라즈마에 의해 생성된 적어도 하나의 반응성 종에 노출시키는 동작을 포함하는 증착 후 처리 공정을 더 포함하는 방법.
  10. 청구항 9에 있어서,
    상기 증착 후 처리 공정은 상기 3차원 특징부의 수직 표면 상에 있는 상기 SiOC 박막의 습식 식각 속도(WER)를 감소시키는 방법.
  11. 청구항 9에 있어서,
    상기 증착 후 처리 공정은 상기 3차원 특징부의 수평 표면상의 상기 SiOC 박막의 두께를 감소시키고, 상기 3차원 특징부의 수직 표면상의 SiOC 박막의 두께를 증가시키는 방법.
  12. 청구항 1에 있어서,
    상기 증착된 SiOC 박막을 식각하는 동작을 더 포함하며, 상기 증착된 SiOC 박막을 식각하는 동작은 상기 3차원 특징부의 수직 표면으로부터 상기 증착된 SiOC 박막의 전부를 실질적으로 제거하고, 상기 3차원 특징부의 수평 표면으로부터 상기 SiOC 박막의 전부를 실질적으로 제거하지 않는 방법.
  13. 기판 상의 3차원 특징부의 수직 표면을 기준으로 상기 3차원 특징부의 수평 표면에 SiOC를 선택적으로 형성하는 공정에 있어서, 상기 공정은:
    적어도 하나의 증착 사이클을 포함하는 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 상기 3차원 특징부의 수평 및 수직 표면에 SiOC를 증착하는 동작, 여기서 상기 증착 사이클은:
    산소를 포함하는 실리콘 전구체와 상기 기판을 접촉시키는 동작; 및
    산소를 포함하지 않는 기체에서 형성된 플라즈마를 포함하는 제2 반응물과 상기 기판을 접촉시키는 동작-여기서 적어도 하나의 수평 표면에 증착된 상기 SiOC는 적어도 하나의 상기 수직 표면에 증착된 상기 SiOC의 식각 속도보다 낮은 식각 속도를 갖음-을 포함함; 및
    상기 증착된 SiOC를 식각하는 동작-여기서 상기 증착된 SiOC를 식각하는 동작은 상기 수직 표면으로부터 상기 증착된 SiOC를 제거함-을 포함하는 공정.
  14. 청구항 13에 있어서,
    상기 증착된 SiOC를 식각하는 동작은 상기 3차원 특징부의 수평 표면으로부터 상기 증착된 SiOC의 전부를 실질적으로 제거하지 않는 공정.
  15. 청구항 13에 있어서,
    상기 증착된 SiOC를 식각하는 동작은 상기 3차원 특징부의 하나 이상의 바닥 표면으로부터 상기 증착된 SiOC를 더 제거하고, 상기 3차원 특징부의 하나 이상의 상단 표면으로부터 상기 증착된 SiOC의 전부를 실질적으로 제거하지 않는 공정.
  16. 청구항 13에 있어서,
    상기 실리콘 전구체는 적어도 하나의 알콕시기를 포함하는 공정.
  17. 청구항 13에 있어서,
    상기 플라즈마는 H2 및 Ar을 포함하는 가스에서 형성되는 공정.
  18. 청구항 13에 있어서,
    상기 증착된 SiOC를 식각하는 동작은, 상기 증착된 SiOC를 원하는 지속시간 동안 0.5 wt %의 희석된 HF에 노출시키는 동작을 포함하는 공정.
  19. 제 13 항에 있어서,
    상기 증착된 SiOC를 식각하는 동작은 상기 증착된 SiOC를 라디칼, 이온, 플라즈마 또는 이들의 조합에 노출시키는 동작을 포함하는 공정.

KR1020247001716A 2017-05-05 2018-05-03 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정 KR20240010760A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762502118P 2017-05-05 2017-05-05
US62/502,118 2017-05-05
PCT/US2018/030974 WO2018204709A1 (en) 2017-05-05 2018-05-03 Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
KR1020197033408A KR102627238B1 (ko) 2017-05-05 2018-05-03 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197033408A Division KR102627238B1 (ko) 2017-05-05 2018-05-03 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정

Publications (1)

Publication Number Publication Date
KR20240010760A true KR20240010760A (ko) 2024-01-24

Family

ID=64016760

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197033408A KR102627238B1 (ko) 2017-05-05 2018-05-03 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
KR1020247001716A KR20240010760A (ko) 2017-05-05 2018-05-03 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197033408A KR102627238B1 (ko) 2017-05-05 2018-05-03 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정

Country Status (6)

Country Link
US (2) US11158500B2 (ko)
JP (2) JP7249952B2 (ko)
KR (2) KR102627238B1 (ko)
CN (2) CN110546302B (ko)
TW (1) TWI769248B (ko)
WO (1) WO2018204709A1 (ko)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
KR20200130652A (ko) * 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20220006663A (ko) * 2019-06-07 2022-01-17 램 리써치 코포레이션 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
US11296209B2 (en) 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
WO2021097022A1 (en) * 2019-11-12 2021-05-20 Applied Materials, Inc. Silyl pseudohalides for silicon containing films
KR20210079767A (ko) * 2019-12-20 2021-06-30 삼성전자주식회사 물질막 형성 방법과, 집적회로 소자 및 그 제조 방법
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202208665A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 用於填充半導體基板上之三維結構中的間隙之方法
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN114622183A (zh) * 2020-12-11 2022-06-14 湖南红太阳光电科技有限公司 一种制备氧化硅薄膜的方法
KR102604059B1 (ko) * 2020-12-18 2023-11-17 삼성전자주식회사 반도체 소자 제조 방법

Family Cites Families (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
AU4290396A (en) 1994-11-30 1996-06-19 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US6066358A (en) 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5891804A (en) 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6156651A (en) 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
TW465048B (en) 1999-03-26 2001-11-21 Taiwan Semiconductor Mfg Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100853903B1 (ko) 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP4108999B2 (ja) * 2002-03-26 2008-06-25 大日本印刷株式会社 積層フィルム
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
JP4987717B2 (ja) 2004-08-18 2012-07-25 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
JP4864402B2 (ja) 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
CN101310370A (zh) 2006-01-13 2008-11-19 东京毅力科创株式会社 多孔质膜的成膜方法和计算机可读的记录介质
DE102006004430B4 (de) 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) * 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
WO2008121478A2 (en) 2007-03-28 2008-10-09 Dow Corning Corporation Roll-to-roll plasma enhanced chemical vapor deposition method of barrier layers comprising silicon and carbon
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
CN102047386B (zh) 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100148903A1 (en) 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
FR2950633B1 (fr) 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
JP5856162B2 (ja) 2010-07-26 2016-02-09 ウオーターズ・テクノロジーズ・コーポレイシヨン 粒度分布の狭い実質的に非多孔質のハイブリッドコアを含む表面多孔質材料
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR20120030193A (ko) 2010-09-17 2012-03-28 삼성전자주식회사 3차원 반도체 장치의 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN103597550B (zh) 2011-04-28 2017-06-30 富士胶片株式会社 导电性构件、导电性构件的制造方法、组成物、触摸屏及太阳电池
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013016594A2 (en) 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
KR20130015145A (ko) 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JPWO2013054655A1 (ja) 2011-10-14 2015-03-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
JP6092902B2 (ja) * 2012-03-09 2017-03-08 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
JP6022274B2 (ja) 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6022276B2 (ja) 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9355839B2 (en) * 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
TWI654336B (zh) 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
WO2016042663A1 (ja) 2014-09-19 2016-03-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
WO2016178991A1 (en) 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
JP5957128B2 (ja) 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3620461B1 (en) 2016-07-05 2022-04-20 Samsung Electronics Co., Ltd. Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) * 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10332747B1 (en) 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks

Also Published As

Publication number Publication date
KR102627238B1 (ko) 2024-01-19
TWI769248B (zh) 2022-07-01
CN110546302A (zh) 2019-12-06
US20220044931A1 (en) 2022-02-10
TW201843734A (zh) 2018-12-16
JP2020519007A (ja) 2020-06-25
US11776807B2 (en) 2023-10-03
US11158500B2 (en) 2021-10-26
CN110546302B (zh) 2022-05-27
JP2023075174A (ja) 2023-05-30
US20200395211A1 (en) 2020-12-17
JP7249952B2 (ja) 2023-03-31
KR20200004317A (ko) 2020-01-13
CN114875388A (zh) 2022-08-09
TW202235660A (zh) 2022-09-16
WO2018204709A1 (en) 2018-11-08

Similar Documents

Publication Publication Date Title
KR102627238B1 (ko) 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US11562900B2 (en) Formation of SiOC thin films
JP7135187B2 (ja) SiOCN薄膜の形成
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US20220076946A1 (en) FORMATION OF SiOCN THIN FILMS
TWI763839B (zh) 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法
TWI835151B (zh) 在基板上形成碳氧化矽的方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal