TWI654336B - 具有脈衝式電漿曝露之電漿輔助式原子層沉積 - Google Patents

具有脈衝式電漿曝露之電漿輔助式原子層沉積

Info

Publication number
TWI654336B
TWI654336B TW103145386A TW103145386A TWI654336B TW I654336 B TWI654336 B TW I654336B TW 103145386 A TW103145386 A TW 103145386A TW 103145386 A TW103145386 A TW 103145386A TW I654336 B TWI654336 B TW I654336B
Authority
TW
Taiwan
Prior art keywords
plasma
gap
film layer
filling
semiconductor substrate
Prior art date
Application number
TW103145386A
Other languages
English (en)
Other versions
TW201531587A (zh
Inventor
詹姆士S 希姆斯
強 亨利
凱瑟琳M 凱爾克納
沙堤什 巴布 Sv 詹賈姆
沙恩 唐
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/144,107 external-priority patent/US9076646B2/en
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201531587A publication Critical patent/TW201531587A/zh
Application granted granted Critical
Publication of TWI654336B publication Critical patent/TWI654336B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

此處的實施例聚焦在使用脈衝式電漿的電漿輔助式原子層沉積(PEALD)程序。雖然習知的PEALD程序在電漿曝露/轉變操作期間使用連續波電漿,此處的實施例在此操作期間使用脈衝式電漿以完成具有高品質的側壁膜層。因為習知的PEALD技術會在特徵部的底部與頂部產生具有高品質的膜層但在側壁上產生低品質的膜層,故與利用習知連續波電漿技術所完成的膜層相比,在所揭露之實施例中的「增加側壁膜層品質」相當於整體品質上較為均勻的膜層。

Description

具有脈衝式電漿曝露之電漿輔助式原子層沉積
本發明係關於原子層沉積,尤其是關於具有脈衝式電漿曝露的電漿輔助式原子層沉積。
〔相關申請案的交互參照〕
本申請主張申請於2013年12月30日、標題為「PLASMA ENHANCED ATOMIC LAYER DEPOSITION WITH PULSED PLASMA EXPOSURE」之美國專利申請案第14/144107號的優先權。
積體電路的製造包括許多不同的處理步驟。經常使用的其中一操作係將介電膜沉積至圖案化於矽基板上或圖案化至矽基板內之特徵部間的間隙。沉積此等膜層的一方法係經由電漿輔助式原子層沉積(PEALD)。此類方法係用循環方式進行若干操作以沉積保形膜。PEALD程序通常包括步驟:(a)對反應腔室提供一劑量的第一反應物、(b)吹洗反應腔室、(c)提供第二反應物的流動、(d)在反應腔室中激發連續波電漿、以及(e)熄滅電漿並吹洗反應腔室。由於前驅 體輸送/吸收至基板表面的本質,PEALD程序的單次循環會沉積材料的一單分子層。可多次重覆該操作以沉積額外的單分子層以達到所需膜厚。
此處的某些實施例係關於在半導體或其他基板上沉積保形膜層的技術。在此處之實施例的一態樣中提供了於半導體基板表面上填充間隙的方法,該方法包括:(a)將蒸氣相的第一反應物引入其內有基板的反應腔室,並使第一反應物吸附至該基板表面上;(b)停止第一反應物的流動後,吹洗反應腔室;(c)在第一反應物吸附至基板表面上時,將蒸氣相的第二反應物引入反應腔室;(d)使基板表面曝露於電漿以在基板表面上驅動第一及第二反應物之間的表面反應來形成襯墊於間隙的膜層,其中該電漿為脈衝式電漿;(e)熄滅電漿;以及(f)吹洗反應腔室。
某些實施例中,在使基板表面曝露於電漿的期間,電漿脈衝頻率係在約25-5000Hz之間。電漿脈衝頻率亦可在約100-500Hz之間。某些情況中,電漿脈衝頻率至少約為25Hz,例如至少約50Hz或至少約100Hz或至少約1000Hz。可根據所揭露的方法來沉積多種不同類型的膜層。某些實施方式中,所沉積的膜層為矽氮化物或矽碳氮化物膜。某些情況下該膜層可為氧化物膜。
某些情況中,操作(c)與(d)係至少部分同時發生。可使用不同種類的電漿。某些實施例中,電漿可為電容式耦合電漿或電感式耦合電漿。可使用RF電漿產生器產生電漿。某些實施方式中,每站點的電漿功率可在約50-2500W之間。
所揭露的方法可完成品質高度均勻的沉積膜層。某些實施例中,介於間隙側壁之中間部分的濕式蝕刻率(WEm)與間隙頂部(WEt)及/或底部(WEb)的濕式蝕刻率之間的比例在約0.25-3之間。形成於間隙內之間隙中間部分(以垂直量測)的膜層可展現出每分鐘約1-25Å之間的濕式蝕刻率。間隙側壁之碳:矽平均比例至少約為0.4,例如至少約0.5或0.6。此相對高的碳:矽比例造成側壁所發現之相對低的濕式蝕刻率。各實施例中的膜層組成在膜層各處實質上為均勻。
某些實施例中,本方法更包括從頭至尾重複操作(a)至(f)。某些情況下,操作(d)之第一反覆以不同於操作(d)之第二反覆的脈衝頻率所進行。替代或額外的,操作(d)之第一反覆可用不同於操作(d)之第二反覆的工作週期所進行。還有,操作(d)之第一反覆可用不同於操作(d)之第二反覆的RF功率所進行。
所揭露的實施例係使用脈衝式電漿。某些情況下的電漿係以開啟與關閉狀態之間來產生脈衝。其他情況下,電漿可至少以第一功率狀態與第二功率狀態之間來產生脈衝,其中第一功率狀態期間所給予的功率係不同於第二功率狀態期間所給予的功率。
所揭露之實施例的進一步態樣中,提供了在基板上沉積膜層的設備,包括:反應腔室;輸送氣相反應物至反應腔室的流入埠;對反應腔室提供脈衝式電漿的電漿產生器;以及具有以下指令的控制器:(a)將蒸氣相的第一反應物引入反應腔室;(b)將蒸氣相的第二反應物引入反應腔室;(c)為了在基板表面上驅動第一與第二反應物之間的表面反應以形成膜層而在第一反應物之蒸氣相的流動停止時,週期性地激發脈衝式電漿以使基板表面曝露於脈衝式電漿。
某些實施例中,控制器具有在操作(c)期間以約25-5000Hz之間的頻率使電漿脈衝的指令。控制器可具有使操作(b)及(c)至少部分同時進行的指令。控制器亦可具有以約5-95%之間的工作週期來進行操作(c)的指令。某些情況中,控制器可具有從頭到尾反覆操作(a)到(c)的指令,其中控制器亦具有以不同於操作(c)之第二反覆的脈衝頻率及/或工作週期及/或RF功率來進行操作(c)之第一反覆的指令。
這些與其他特徵將在以下參照相關圖式說明。
302‧‧‧低頻RF產生器
304‧‧‧高頻RF產生器
306‧‧‧匹配網路
308‧‧‧岐管
310‧‧‧來源氣體管線
312‧‧‧流入口
316‧‧‧基板
318‧‧‧晶圓台座
320‧‧‧加熱區塊
322‧‧‧出口
324‧‧‧處理腔室
326‧‧‧真空幫浦
2400‧‧‧處理設備
2402‧‧‧內送負載閘
2404‧‧‧外送負載閘
2406‧‧‧機器人
2410‧‧‧大氣埠
2412‧‧‧台座
2414‧‧‧處理腔室
2416‧‧‧腔室傳送埠
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存元件
2456‧‧‧記憶體元件
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
圖1A-1D顯示若干繪製有溝槽內之不同位置所沉積於溝槽內之膜層中的各物種原子濃度的圖表。
圖1E顯示若干繪製有各電漿特性如何於電漿脈衝循環期間隨時間改變的圖表。
根據所揭露的某些實施例,圖2A及2B為透過電漿輔助式原子層沉積法在基板上沉積膜層的方法流程圖。
根據所揭露的某些實施例,圖3為在基板上沉積膜層的設備簡圖。
根據所揭露的某些實施例,圖4顯示在基板上沉積膜層的多工半導體處理設備。
圖5A及5B顯示具有習知膜層沉積於其上而在該膜層剛沉積(圖5A)與蝕刻操作之後(圖5B)兩者的溝槽剖面圖。
圖5C為顯示圖5A及5B所示之習知膜層不同部分的蝕刻率表。
根據所揭露的方法,圖6A與6B顯示具有新式膜層沉積於其上而在該膜層剛沉積(圖6A)與蝕刻操作後(圖6B)兩者的溝槽剖面圖。
圖6C為顯示圖6A及6B所示之新式膜層不同部分的蝕刻率表。
圖7A及7B以彩色呈現並呈現說明以連續波電漿(圖7A)及以脈衝式(圖7B)電漿所沉積之膜層上的碳:矽比例的面密度圖。
詞語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」與「已部分製作的積體電路」可在本申請中交替使用。具有本領域之通常技術者可理解到,詞語「已部分製作的積體電路」係指在其上有積體電路製作之諸多階段之任一者期間的矽晶圓。用於半導體元件產業的晶圓或基板通常有200mm或300mm或450mm的直徑。以下的詳細說明係假設本發明在晶圓上實施。然而本發明並非如此受限。其工件可以有各種形狀、尺寸與材質。除了半導體晶圓之外,可由此發明而獲益的其他工件包括像是印刷電路板及類似者的各種物件。
為了提供所呈現之實施例的透徹理解而在以下說明提出諸多特定細節。所揭露的實施例可在沒有部份或全部該等特定細節下實施。其他情況下,廣為習知的程序操作將不予詳述以免不必要地混淆所揭露的實施例。儘管所揭露的實施例將偕同特定實施例說明,但可理解這並非意欲限制所揭露的實施例。
半導體元件的製造通常涉及在整合製程中將一或更多薄膜沉積於非平面的基板上。整合程序的某些態樣中,沉積保形於基板形貌的薄膜甚有用處。例如,矽氮化物膜可沉積在抬升的閘極堆疊層頂部以作為保護輕度受摻雜的源極與汲極區域免受後續離子佈植程序影響的間隔層。
間隔層沉積程序中,化學氣相沉積(CVD)程序可用於在非平面基板上形成矽氮化物膜,然後受到非等向性蝕刻而形成間隔結構。然而隨著閘極堆疊層之間的距離減少,CVD氣相反應物的質傳限度可導致塊狀移動(bread-loafing)的沉積效應。該效應通常在閘極堆疊層的頂表面展現出較厚的沉積而在閘極堆疊層的底部轉角處展現出較薄的沉積。且因為某些晶片(die)可能具有元件密度不同的區域,故晶圓表面各處的質傳效應可導致晶片內與晶圓內的膜厚變異。這些厚度變異可導致部分區域的過度蝕刻與其他區域的蝕刻不足。這會降低元件品質及/或晶片產出率。因為CVD程序所產生的進一步問題在於其往往無法在高深寬比的特徵部中形成保形膜。某些情境中,特徵部是凹陷於基板表面內的間隙(例如基板上所沉積之一或更多膜層內的間隙)。特徵部的深寬比定義為該特徵部之深度與其寬度之間的比例。隨著元件尺寸持續縮減,深寬比增加而CVD程序則無法充分填充該等特徵部。
應對這些問題的某些方法涉及原子層沉積(ALD)。相反於使用熱能活化之氣相反應物來沉積膜層的CVD程序,ALD程序使用以表面為媒介的沉積反應在層層堆疊的基礎上沉積膜層。範例的ALD程序中,包含一定總數之基板活化點的基板表面曝露於第一膜層之前驅體(P1)的氣相分布。P1的部分分子可在基板表面上形成凝結相,包括化學吸附物種及P1的物理吸附分子。接著將反應器抽空以移除氣相及物理吸附的P1以致於僅留有化學吸附物種。接著將第二膜層前驅體(P2)引入反應器,使得P2的部分分子吸附於基板表面。可將反應器再次抽空以於此次移除未鍵結的P2。其後,對基板提供熱能以活化P1及P2之吸附分子間的表面反應來形成膜層。最後,將反應器抽空以移除反應副產物及可能未反應的P1與P2,然後結束ALD循環。可包含額外的ALD循環來增加膜厚。
取決於前驅體給劑步驟的曝露時間及該前驅體的黏附係數,一範例中的每一ALD循環可沉積約0.5-3Å厚的膜層。
保形膜也可沉積在平坦基板上。例如用於微影圖案化之應用的抗反射層可由包含交替種類之膜層的平面堆疊所形成。此抗反射層可約略為100-1000Å厚,這使得較緩速的ALD程序不若較高速的CVD程序吸引人。然而,此抗反射層亦可能具有比許多CVD程序所能提供的晶圓內膜厚變異要更低的允差。例如,600Å厚的抗反射層可容許低於3Å的厚度範圍。
可在某些實施方式中使用保形膜沉積(CFD)程序。使用CFD來形成膜層的方法說明在申請於2011年4月11日的美國專利申請案第13/084399號,且該案在此為了所有目的而併入作為參考文獻。如同ALD程序,CFD程序係使用以表面為媒介的沉積反應而在層層堆疊的基礎上沉積膜層。CFD在形成膜層的反應之前通常並不仰賴一或更多反應物的完全吹洗。例如,在電漿(或其他活化能量)激發時可以有以蒸氣相存在的一或更多反應物。因此ALD程序所述及之程序步驟的一或更多者可在範例的CFD程序中予以縮短或排除。而且在某些實施例中,電漿活化沉積反應可導致比熱活化反應低的沉積溫度,故而可減少整合程序的熱預算。在此的實施例包括PEALD及CFD程序兩者。
習知的PEALD程序可產生具有實質均勻厚度的保形膜沉積。然而儘管膜層有均勻厚度卻並未有均勻品質。許多情況下,沉積在凹陷特徵部之側壁的膜層品質比沉積在靠近特徵部頂部之場區或特徵部之底部的膜層要差。比起特徵部頂部附近或底部的膜層,此等不佳的品質可由側壁膜層上所增強的氧化作用及增加的膜層濕式蝕刻速率所證明。
圖1A-1D呈現與膜層成分相關的Auger分析數據,其中該膜層係按照習知的PEALD方法而沉積在基板上的溝槽內。這些圖式的每一者中,X軸係關於基板上的不同位置。「頂部」指靠近凹陷特徵部之頂部的場區位置,「側邊1/2」指沿側壁向上一半的位置,而「側邊1/4」指沿側壁向上四分之一的位置(比起特徵部頂部,更靠近底部)。Y軸則關聯於所關注之元素的原子濃度(圖1A為矽,圖1B為氮,圖1C為碳而圖1D為氧)。
特別令人關注的是圖1D,該圖顯示膜層內的氧濃度在側壁位置遠高於場區。沉積膜層後自反應腔室移除,其中膜層經常曝露至大氣條件下。比起高密度、高品質的膜層,具有低密度的膜層與某些其他不佳品質的膜層會較大程度地吸收水氣與其他大氣汙染物。因此,混入膜層的氧量可對應於膜層的品質。圖1D中,相比於頂部(約4%的氧),顯然實質上有較多的氧混入側壁(約23-27%的氧)。這代表側壁的膜層品質遠差於場區的膜層品質。
圖1A-1D包含RBS值的參照。這相當於為證實Auger分析所進行的Rutherford背向散射數據。RBS數據僅和特徵部頂部的膜層相關。
關於不同膜層/特徵部位置之矽碳氮化物膜的濕式蝕刻率的進一步數據提供在以下的實驗部分。扼要總結而言,針對習知的沉積膜,在100:1之水:HF下的側壁濕式蝕刻率遠高於特徵部的頂部,更高於特徵部的底部。因而很顯然地,即便習知的PEALD法可完成均勻厚度的膜層,但無法沉積出具有均勻品質或組成的膜層。
儘管習知的PEALD法在電漿曝露/轉變步驟期間利用連續波電漿,此處的實施例係關於在此轉變操作期間使電漿受到脈衝的方法(例如,多次開關RF功率或以其他方式調變)。雖然有時將習知的PEALD法描述為「脈衝式電 漿」法,但應描述出此等習知脈衝及當前實施例之脈衝間的差異。尤其是習知的PEALD法係在電漿曝露/轉變步驟期間使用單次脈衝(電漿開啟、電漿關閉)。因為PEALD為循環式而電漿曝露步驟會在沉積程序期間重複若干次,故而某些人會將此等習知程序稱之為脈衝式電漿程序。然而,吾人並非將此等習知方法視為使用與當前所述的「脈衝式電漿」有關。應將「脈衝式電漿」界定為該電漿須在單一電漿曝露/轉變操作期間多次開關或以其他方式調變。例如電漿的高頻RF功率可在開關狀態之間切換。另一範例中的RF功率可在脈衝期間減弱但不關閉。例如,高頻的RF功率脈衝在脈衝開啟時可為100%的高頻RF功率而在脈衝關閉時為50%的高頻RF功率。額外或替代的,電漿的高頻RF功率可隨一段時間增加並隨另一段時間減弱而非予以開關。電漿脈衝通常非常短暫,具有數量級約為100Hz-500Hz的脈衝頻率,但也可使用此範圍之外的頻率值。根據所揭露的方法而產生的膜層在側壁處展示出比習知沉積的膜層遠為更高的品質。此等側壁膜層的品質增加代表所沉積的膜層在整體上具有更為均勻的品質。
在不希望受任何理論或作業機制約束的情況下,吾人相信習知PEALD法之特徵部側壁與特徵部其他區域間的膜層品質差異係因為離子方向性的本質所致。當基板曝露於電漿時,存在於電漿的離子以實質上線性的方式行進而撞擊基板表面。這些離子可提供用以轉變前驅體材料的能量。因為特徵部為凹陷而離子實質上線性行進,故在場區附近與特徵部底部撞擊基板的離子密度遠高於側壁。這有選擇性地將特徵部頂部及底部的膜層緻密化的作用,並在側壁留下較不緻密的膜層。而且又因為凹陷特徵部的幾何形狀,由離子撞擊側壁所傳遞的能量不同於由離子撞擊特徵部之其他部分所傳遞的能量。這可導致 發生於側壁的反應不同於其他區域。脈衝式電漿的使用可降低離子的方向性,在基板上產生較為均勻的離子撞擊密度/能量以及較為均勻的膜層品質。
再次不希望受理論或作業機制所約束的情況下,在不同位置的膜層品質差異也可能由電漿中離子相對於自由基的比例所致。藉由使電漿脈衝,可實現離子及中性粒子的不同數量、比例及種類。這可有助於形成品質較為均勻的膜層。
在電漿受到脈衝時可實現若干不同的處理階段。該等階段參照圖1E說明如下,該圖顯示在某些實施方式中,各處理及電漿特徵在電漿脈衝循環期間如何隨時間變化。一電漿脈衝循環包括開關電漿一次(或關開一次)。用於驅動RF電漿的功率顯示於圖1E中。在開啟期間主動施加電漿功率但在關閉期間則否。更可將開啟與關閉的期間分割為特定的處理階段,包括開啟階段、穩態階段、關閉階段與後期餘暉階段。電子能量、電子密度、正負離子通量與電漿位能係根據該電漿當前經歷何種電漿循環處理階段而有所變動。
一旦施加電漿功率後即開始「開啟循環」。某些實施例中,此期間可持續數量級約為10-500μs的時間。施加電漿功率時,電漿中的電子能量躍遷然後迅速開始跌落。在此期間電子密度上升。電漿通常包含離子、電子與自由基。為滿足電荷平衡,故於電漿中存在比負電離子多的正電荷離子。這是因為正離子是電漿中唯一的正電物種而負電物種包括了負離子與電子。而且,許多電漿(包括負電性電漿)都具有正電邊緣/鞘區域。因為正離子的密度高於負離子的密度且因為電漿鞘帶正電,故往基板表面的負離子通量在電漿活化時極低(例如實質上為零)。回到圖1E,在施加電漿功率時,電漿位能躍遷然後開始跌落。在此開啟的處理階段,可形成電漿鞘並隨著電漿激發改變。
在穩態處理階段,電子能量低於其在開啟階段的能量。電子能量與電子密度兩者趨於定值。此階段期間的正負離子通量亦為定值,其中有高的正離子通量與極低的負離子通量(例如實質上為零)。電漿位能趨於穩定且其在此穩態階段的位能低於開啟階段的位能。在此穩態處理階段,電漿鞘以穩態方式震盪。
關閉處理的階段在電漿功率關閉時立即開始。在此期間的電子能量、電子密度、正離子通量與電漿位能全數開始降低。電漿鞘在此關閉階段可隨著電子密度的降低而瓦解。負離子通量顯然在此處理階段增加。如上述,許多電漿具有帶正電的邊緣區域。為了從電漿中分離出負離子,此邊緣區域應當藉由反轉電場方向而改變一段足夠長的時間以使負離子得以脫離。為了反轉電場,必須吸收電子流。此電子流在連續波電漿的情境下可謂相當大量。然而此電子流隨著電子密度降低而降低。使用脈衝式電漿時,電子密度在關閉階段快速下降,並在後期餘暉階段保持低下。這些階段期間的低電子密度顯然使得反轉電場方向及自電漿中分離出負離子較為容易。就此而論,在不希望受理論或作業機制約束的情況下,在脈衝式電漿沉積中所發現之膜層品質改善的解釋可能來自於沉積程序中所增加的負離子涉及程度。
在關閉階段後所經歷的下一處理階段是後期餘暉階段。此期間的電漿功率、電子能量、電子密度、正電子通量與電漿位能都很低,甚至在某些情況為零。電子密度非常低(例如約趨近於熱電子的平均能量)。離子通量密度也同樣低,且其中僅少部分或沒有正離子到達基板表面。然如上所述,此期間可能有往基板表面的負離子通量。某些情況中的電漿位能在此後期餘暉階段可以是零或甚至為負。
膜層品質差異的另一可能解釋是:膜層的副產物可能選擇性地埋置在膜層的不同位置中,尤其是在側壁。脈衝式電漿的使用可更高效地使得這些副產物從膜層中移除,從而產生品質更為均勻的膜層。
脈衝式電漿已在其他某些情境下用於其他目的。例如,為了增強所沉積膜層的保形度而使電漿在PECVD程序期間脈衝。此脈衝式PECVD程序進一步地論述與說明在標題為「METHOD FOR IMPROVING PROCESS CONTROL AND FILM CONFORMALITY OF PECVD FILM」的美國專利第7745346號,該案在此全文併入。然而因為ALD與CFD方法會沉積出本質就非常保形的膜層,故具有本領域之通常技術者不可能對ALD/CFD程序施加脈衝式電漿法,因為在此情境下其會預期僅有極微或甚至沒有任何效益。
儘管此處的多數實施例係根據沉積SiN或SiCN膜所論述,但實施例並非如此受限。其他情形中,所沉積的膜層可以是氧化物(例如矽氧化物或金屬氧化物)。按照習知的連續波電漿處理方法沉積時,這些膜層種類中的每一者都苦於側壁上的不佳膜層品質。某些實施例中,所沉積的膜層可能受到例如碳、硼、磷、氮等等的摻雜。
根據所揭露的各實施例,圖2A顯示沉積均勻品質之膜層的方法流程圖。方法200始於操作202,其中將具有凹陷特徵部的基板接收到反應腔室內。在操作204,將第一反應物的一劑量流入反應腔室。該反應物吸附在基板表面上,包括在凹陷特徵部內。當欲沉積的膜層包含矽的時候,則第一反應物通常為含矽反應物。當欲沉積的膜層包含金屬時,則第一反應物通常為含金屬反應物。接著,反應腔室在操作206受到吹洗。某些實施例中,吹洗包括以非反應的氣體掃掠反應腔室。替代或額外的,吹洗可包括藉由操作幫浦降壓而將反應腔 室抽空。例如此情況中,反應腔室壓力在幫浦降壓期間明顯低於反應物輸送期間。此吹洗操作206的目的在於從反應腔室移除全部或實質全部的第一反應物。在某些實施例中,可以不完全吹洗/掃掠而僅將一部分的第一反應物從反應腔室移除。最佳的吹洗條件可取決於所需的膜層特性。除非以其他方式提及,否則此處所述的掃掠或吹洗可以是部分的、完全的或實質上完全的。某些實施方式中可不發生吹洗/掃掠。然後在操作208將第二反應物流入反應腔室。第二反應物往往是含氮反應物、含碳反應物及/或含氧反應物。
第一與第二反應物的每一者亦可為反應物的混合。一範例中,第二反應物可包括氧與笑氣兩者。當同時輸送超過一種反應物到反應腔室時,反應物可在輸送前(例如在獨立的混合容器中)或輸送後(例如在反應腔室本身內)混合。
在操作210,脈衝式電漿於反應腔室中激發並使得基板表面對其曝露。脈衝頻率相對較高,例如在約100-500Hz的數量級。脈衝式電漿曝露操作210可具有比通常用於習知的連續波電漿程序要更長的持續時間。各實施例中,操作208與210至少部分同時發生。某些情況中,可在操作210所發生的電漿激發以前,於操作208將第二反應物預先流入反應腔室。在一特定的範例中持續供應第二反應物。其他實施例中,操作208及210同時開始。替代實施例中,在操作208將第二反應物流入反應腔室,然後在操作210所發生的脈衝式電漿激發以前將其掃掠/吹洗離開反應腔室。然而在各情況下可不發生此吹洗。
接著在操作212熄滅電漿並吹洗反應腔室。以上關於操作206所述的吹洗可包括掃掠及/或使反應腔室抽氣降壓。某些情況中,雖然使用電漿後的吹洗可助於形成高品質膜層,但此掃掠/吹洗可以是選擇性的。雖然某些實施例 中的材料可能沉積少於一單分子層,但操作204-212通常會產生材料的單分子層沉積。可如虛線箭頭所示地多次重複這些步驟以生成具有所需厚度的膜層。
如所示,電漿特性可對沉積膜層具有實質效應。許多實施例中的電漿為電容式耦合電漿。然而也可使用例如電感式耦合電漿的其他種類電漿。可使用包括RF、DC及微波電漿產生器的各種類型電漿產生器。電漿可以是直接電漿(亦即產生在反應腔室中的電漿)或遠端產生的電漿。
使用目前所量產的高頻RF功率產生器可使電漿的高頻RF功率以約75-500Hz間的頻率脈衝。這些產生器無法以低於15%的工作週期或高於500Hz的脈衝頻率維持電漿。具較高頻與較低工作週期之能力的產生器成為商業可得時,使用更少工作週期的脈衝式PEALD程序可觀察到膜層的進一步改良。然而隨著脈衝頻率增加與工作週期減少,電漿的高頻RF功率必須開啟一段至少足以產生反應物種的時間。若無反應物種產生,脈衝式PEALD的優點將無法現實化。
所輸送的電漿功率可為每站點約50-2500W之間,例如針對直徑300mm的晶圓,則每站點約為75-500W。此等數值可針對不同尺寸之基板的基板面積而呈線性比例。例如,針對300mm晶圓之每站點100W的數值相當於針對450mm晶圓之每站點225W的數值。
使用RF電漿時,RF頻率可包括低頻(LF)成分(例如約250-400kHz)及/或高頻(HF)成分(例如約13.56或27MHz)。某些實施例中,包含LF頻率會不良地降低階梯覆蓋率。就此而論,某些實施例中所輸送的RF僅包含HF頻率。
工作週期係關於脈衝電漿曝露階段期間,電漿受到激發的相對時間量。例如對於具有500Hz頻率及工作週期15%的脈衝來說,一個脈衝週期為2ms,其中RF功率關閉1.7ms並開啟0.3ms。某些實施例中的工作週期在約5-95% 之間。某些情況中,工作週期在約5-50%之間或約5-15%之間(例如約10%)。每一電漿脈衝的持續時間均頗短暫,且電漿脈衝頻率相對較高。某些情況下,電漿脈衝頻率約在25-5000Hz之間,例如約在100-500Hz之間。某些情況中的脈衝頻率約為1000Hz或更少,例如約為500Hz或更少。這些或其他情況下,脈衝頻率約為25Hz或更高,例如50Hz或更高,或約100Hz或更高。整個電漿曝露操作的持續期間可高於連續波電漿PEALD程序者。某些情況中,脈衝式電漿曝露的持續時間約在0.05-2秒之間,例如約在0.05-1秒之間,或約在0.1-0.5秒之間。
某些實施例中的電漿脈衝特性可隨時間變化。例如,脈衝頻率及/或工作週期可在第一組沉積循環與第二組沉積循環之間改變。某些實施例中,脈衝頻率在之後的循環中會增加或減少。在這些或其他情況中,工作週期在之後的循環中會增加或減少。其他的電漿特性也可在一個沉積程序之內隨著時間改變。例如電漿功率值、RF頻率、電漿產生氣體等均可在沉積程序期間變化。
圖2B提供在基板上沉積膜層的方法流程圖,其中電漿條件在沉積期間有所改變。方法250始於操作252,如此處所述,其中一或更多膜層係以利用了具有第一組電漿條件的脈衝式電漿的沉積程序來沉積。接著,方法250繼續到操作254,其中一或更多額外膜層係以利用了具有第二組電漿條件的脈衝式電漿的沉積程序來沉積。第一與第二組的電漿條件可以不同。一或更多的以下電漿特性可如所述地在操作252與254之間有所不同:脈衝頻率、工作週期、RF功率、RF頻率、用於產生電漿的氣體組成或流速等等。改變電漿特性可助於隨需求調整膜層。
雖然所揭露的實施例並未限於特定反應物,反應物的範例列表提供如下。
某些實施例中,所沉積的膜層為含矽膜層。此等情況中,含矽反應物可以是例如:矽烷、鹵基矽烷或氨基矽烷。矽烷包括氫及/或碳基,但不包括鹵素。矽烷的範例為甲矽烷、二矽烷、以及像是甲基矽烷、乙基矽烷、異丙基矽烷、t-丁基矽烷、二甲基矽烷、二乙基矽烷、二-t-丁基矽烷、丙烯基矽烷、二級-丁基矽烷、叔己基矽烷、異戊基矽烷、t-丁基二矽烷、雙-t-丁基二矽烷、四-乙基-正-矽酸鹽(又稱為四-乙氧基-矽烷或TEOS)及其類似者的有機矽烷。鹵基矽烷包括至少一鹵基並且可以或未必包含氫及/或碳基。鹵基矽烷的範例為碘基矽烷、溴基矽烷、氯基矽烷、與氟基矽烷。雖然鹵基矽烷(尤其是氟基矽烷)可能來自會蝕刻矽材料的反應性鹵化物物種,但在此處所述的某些實施例中,含矽反應物在電漿激發時並不存在。特定的氯基矽烷為四氯基矽烷(SiCl4)、三氯基矽烷(HSiCl3)、二氯基矽烷(H2SiCl2)、單氯基矽烷(ClSiH3)、氯基丙烯基矽烷、氯基甲基矽烷、二氯基甲基矽烷、氯基二甲基矽烷、氯基乙基矽烷、t-丁基氯基矽烷、雙-t-丁基氯基矽烷、氯基異丙基矽烷、氯基-二級-丁基矽烷、t-丁基二甲基氯基矽烷、叔己基二甲基氯基矽烷及其類似者。氨基矽烷包括鍵結於一矽原子的至少一氮原子,但也可包含氫、氧、鹵素及碳。氨基矽烷的範例為單-、雙-、三-與四-氨基矽烷(分別為(H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3與Si(NH2)4),以及受替代的單-、雙-、三-與四-氨基矽烷,例如t-丁基氨基矽烷、甲基氨基矽烷、四丁基矽烷胺、雙(三級丁基氨基)矽烷(SiH2(NHC(CH3)3)2(BTBAS))、三級-丁基矽基胺甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3及類似者。氨基矽烷的進一步範例為三矽基胺(N(SiH3)3)。
其他情況中,所沉積的膜層包含金屬。可形成含金屬之膜層的範例包括鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等等的氧化物及氮化物以及元素態的 金屬膜層。前驅體的範例可包括金屬的烷基胺、金屬醇鹽、金屬烷基氨基化合物、金屬鹵化物、金屬ß-二酮化物、金屬羧基、有機金屬化合物等等。適合的含金屬前驅體將包括欲混入膜層的金屬。例如,含鉭層可藉由將五角(二甲基氨基)鉭與氨或其他還原試劑反應所沉積。可利用的含金屬前驅體的進一步範例包括三甲基鋁、四乙氧基鈦、四六面體-二甲基-醯胺基鈦、四六面體-二乙基醯胺基-鈦、異丙醇鈦、四異丙醇鈦、四氯化物鈦、雙(甲基-η5-環戊二烯基)二甲基鉿、雙(甲基-η5-環戊二烯基)甲氧基甲基鉿、叔丁醇鉿(四價)、四六面體(二甲基醯胺基)鉿(四價)、四六面體(乙基甲基胺)鉿、雙(環戊二烯基)錳、雙(n-丙基環戊二烯基)鎂、乙醇鹽鉭(五價)、三(二乙基醯胺基)(叔-丁基亞胺基)鉭(五價)、雙(叔-丁基亞胺基)雙(二甲基醯胺基)鎢(六價)、六羰鎢等等。
在某些實施方式中係使用含氧反應物。含氧反應物的範例包括氧、臭氧、笑氣、一氧化二氮、二氧化氮、一氧化碳、二氧化碳、一氧化硫、二氧化硫、水、含氧的碳氫化物等等。
某些實施例中,所沉積的膜層包含氮並使用含氮反應物。含氮反應物至少包括一氮,例如氨、聯氨、像是甲基胺、二甲基胺、乙基胺、異丙基胺、t-丁基胺、二-t-丁基胺、環丙基胺、二級-丁基胺、環丁基胺、異戊基胺、2-甲基丁烷-2-胺、三甲基胺、二異丙基胺、二乙基異丙基胺、雙-t-丁基聯氨的胺類(例如載碳的胺),還有像是苯胺、氫氯化吡哆醇含胺與苯甲胺的芳香族。胺可以是第一級、第二級、第三級或第四級(例如四烷基銨化合物)的。含氮的反應物可包括非氮的異質原子,例如羥胺、t-丁基氧羰基胺,而N-t-丁基羥胺為含氮的反應物。
也可以使用其他像是對於受到本文所提供之教示的熟悉本技術領域者為顯而易知或易於查知的前驅體。
吾人希望所揭露的實施例對於在凹陷特徵部內完成均勻膜層品質有所助益。然而此方法也可用於將膜層沉積在其他種類的基板上(例如平坦的基板或具有突出特徵部的基板)。但在此等非凹陷種類之基板的情境下,對於改良的側壁品質及整體的膜層均勻度的需求可能並未同等強烈。
某些實施例中,基板包括具有深寬比約為6:1或更少的一或更多凹陷特徵部。此比例定義為凹陷特徵部的深度:寬度。其他情況中的特徵部深寬比可以更高。
在沉積程序期間的反應腔室溫度可在約150-550℃之間,例如約250-550℃之間。此溫度大幅低於使用熱能而非電漿能量轉變前驅體時的一般所需溫度(例如大於700℃)。
沉積程序期間的反應腔室壓力可在約1-10Torr之間,或約3-7Torr之間,例如約為6Torr。
與習知的連續波程序相比,所揭露的脈衝式電漿實施例通常展現出較慢的沉積速率。
所揭露的方法也導致具有相對較為伸張性或中性的應力並具有極低濕式蝕刻率之膜層的沉積。相反地,習知方法通常導致具有低濕式蝕刻率的收縮性膜層或具有極高濕式蝕刻率之伸張性膜層的沉積。使用習知的連續波電漿,濕式蝕刻率會隨著使用不同位準的RF功率而有所變化。較高位準的RF功率(例如每站點約6500W)會導致具有低濕式蝕刻率的高壓縮性膜層。較低位準的RF功率(例如每站點約500W)會導致收縮性較低/伸張性較高的膜層,然而這些膜 層具有極高的濕式蝕刻率。相反地,由所揭露之脈衝式電漿方法所產生的膜層極度近乎中性應力,而且在某些情形下具有些許伸張性應力。這些膜層同時展現出極低的濕式蝕刻率,在許多情形下甚至低於利用連續波電漿在高RF功率所能達成的濕式蝕刻率。某些實施例中,剛沉積於側壁中間部分之膜層的濕式蝕刻率可約在每分鐘1-25Å之間,例如每分鐘約在1-10Å之間。這些數值對於所沉積之膜層為摻有碳的矽氮化物膜時特別重要。描述沉積膜層特性的另一種方式是測定內部濕式蝕刻率比例,這係比較沉積膜層之不同部分處的濕式蝕刻率。例如,特徵部側壁中間部分的濕式蝕刻率(WEm)可與特徵部底部(WEb)及/或頂部(WEt)的濕式蝕刻率相比。這些膜層位置顯示在圖5A與5B中並在以下進一步說明。某些實施例中,WEm/WEb及/或WEm/WEt在約0.25-3之間,例如約0.25-2之間,或約0.25-1之間。在連續波電漿情況中的這些比例通常遠為更高,例如約5-10之間或更高。剛沉積之膜層的應力可能有大幅變動,例如應力可在約500MPa的伸張性及約2GPa壓縮性之間。
設備
藉由使用能夠使高頻及/或低頻RF功率的電漿脈衝的高頻RF產生器,脈衝式電漿處理可利用既有的半導體處理設備進行。反應器(特別像是可購自Fremont,California的Lam Research Corporation的VectorTM反應腔室)即可用於脈衝式的PEALD。因此,改良的膜層可用最少的硬體變動而完成。
適於進行所揭露之方法的設備通常包括完成程序操作的硬體以及具有按照本發明以控制程序操作之指令的系統控制器。例如在某些實施例中,硬體可包括含在一程序設備中的一或更多PEALD程序站點。
圖3提供可用於實施所揭露之實施例的範例設備方塊圖。如圖示,反應器300包括將反應器的其他零部件封入其中並用以包含由例如電容式系統所產生之電漿的處理腔室324,其中電容式系統包括與接地加熱區塊320一起作用的噴淋頭314。連接至匹配網路306的高頻RF產生器304及低頻RF產生器302連接至噴淋頭314。這些RF產生器能使電漿如本文所述地脈衝。由匹配網路306所供應的功率(例如400-700W的總能量)及頻率足以使電漿由程序氣體產生。在本發明的一實施方式中使用了高頻RF產生器與低頻RF產生器兩者。在典型程序中,高頻RF成分通常約在2-60MHz之間;一較佳實施例中,HF成分約為13.56MHz或27MHz。低頻的LF成分通常約在250-400kHz之間;在一特定實施例中的LF成分約為350kHz。
晶圓台座318在反應器內部支撐基板316。台座通常包括在沉積及/或電漿處理反應期間與之間用以固定與傳送基板的夾頭、叉狀物或升降銷。夾頭可以是靜電夾頭、機械夾頭或可利用於產業及/及或研究之使用的各種其他種類夾頭。
程序氣體係透過流入口312引入。多個來源氣體管線310連接至岐管308。氣體可以或未必預先混合。使用適當的閥門調節與質量流控制機構以確保在程序的沉積與電漿處理階段期間輸送恰當的氣體。在以液態輸送化學前驅體的情況中則使用液體流控制機構。此液體接著在岐管的傳送期間蒸發並與其他程序氣體混合,其中該岐管在抵達該沉積腔室前係加熱至該液體的蒸發溫度點以上。
程序氣體經由出口322離開腔室300。真空幫浦326(例如一或二段式的機械乾式幫浦及/或渦輪分子幫浦)通常將程序氣體汲出並藉由封閉迴路控制式的流量約束元件(像是節流閥或鐘擺閥)而使反應器內部保持一適當低壓。
本發明可在多站點或單站點設備上實施。在特定實施例中可使用具有4站點沉積架構的300mm Novellus VectorTM設備或具有6站點沉積架構的200mm SequelTM設備。可允許在每一沉積及/或沉積後之電漿退火處理後將晶圓編號,直到完成所需的全部沉積與處理,或在對晶圓編號前於單一站點進行多次沉積與處理。膜層應力在任一情況中已顯示為相同。然而在一站點進行多次沉積/處理會比在每一沉積及/或處理之後編號快上許多。
圖4顯示具有內送負載閘2402及外送負載閘2404之多站點處理設備2400的實施例概要圖,其中內送負載閘2402及外送負載閘2404的其一或兩者可包括遠端電漿源。機器人2406係設置為在大氣壓力下透過大氣埠2410將晶圓自裝載於容器2408中的卡匣移動至內送負載閘2402。晶圓由機器人2406放置在內送負載閘2402內的台座2412上,關閉大氣埠2410且使負載閘抽氣降壓。當內送負載閘2402包含遠端電漿源時,可在將晶圓引入處理腔室2414以前使該晶圓在負載閘內曝露於遠端電漿處理。且晶圓亦可在內送負載閘2402內受熱以例如移除水氣和所吸附的氣體。接著開啟處理腔室2414的腔室傳送埠2416,並有另一機器人(圖未示)將晶圓置入反應器內之第一站點的台座上,其中該台座係陳列於反應器中以用於處理。儘管圖4所繪製的實施例包括負載閘,在某些實施例中將可察知到「晶圓可設置為直接進入程序站點」。
所繪製的處理腔室2414包含四個程序站點,在圖4所示的實施例中以1到4標號。每一站點具有加熱台座(在站點1以2418顯示)以及氣體管線入 口。將可察知到,某些實施例中的每一程序站點可能有不同或多重用途。雖然所繪製的處理腔室2414包括4個站點,但將可理解到,根據本揭露書的處理腔室可具有任意適當數目的站點。例如在某些實施例中,處理腔室可具有5或更多站點,雖然在其他實施例中的處理腔室可具有3或更少的站點。
圖4亦繪製用於在處理腔室2414內用以傳送晶圓之晶圓搬運系統2490的實施例。某些實施例中,晶圓搬運系統2490可在各處理站點之間及/或處理站點與負載閘之間傳送晶圓。可察知任何適當的晶圓搬運系統均可使用。非限定範例包括晶圓旋轉料架及晶圓搬運機器人。圖4亦繪製用於控制程序條件及處理設備2400之硬體狀態的系統控制器2450實施例。系統控制器2450可包括一或更多記憶體元件2456、一或更多大量儲存元件2454以及一或更多處理器2452。處理器2452可包括中央處理器或電腦、類比及/或數位輸入/輸出連結、步進馬達控制器板等等。
某些實施例中,系統控制器2450控制處理設備2400的所有作業。系統控制器2450執行儲存在大量儲存元件2454、載入記憶體元件2456以及在處理器2452上執行的系統控制軟體2458。系統控制軟體2458可包括用於控制時刻、氣體混合物、腔室及/或站點壓力、腔室及/或站點溫度、吹洗條件與時刻、晶圓溫度、RF功率位準、RF頻率、基板台座、夾頭及/或基座位置、以及由處理設備2400所進行之特定程序之其他參數的指令。系統控制軟體2458可用任何適當的方式設置。例如,可撰寫各處理設備零部件子程式或控制物件以按照所揭露的方法來控制完成各處理設備程序所需的處理設備零部件操作。系統控制軟體2458可用任何適當的電腦可讀程式語言所編碼。
某些實施例中,系統控制軟體2458可包括用於控制上述各參數的輸入/輸出控制(IOC)序列指令。例如,PEALD程序的每一階段可包括由系統控制器2450執行的一或更多指令。用於設定針對PEALD程序階段的指令可包含在相對應的PEALD配方階段中。某些實施例中,可將PEALD配方階段連續安排,使得針對PEALD程序階段的所有指令可與程序階段同時執行。
可在某些實施例中使用儲存於大量儲存元件2454及/或與系統控制器2450相關之記憶體元件2456的其他電腦軟體及/或程式。針對此用途的程式或程式片段範例包括基板放置程式、程序氣體控制程式、壓力控制程式、加熱器控制程式與電漿控制程式。
基板放置程式可包括針對處理設備零部件的程式碼,該處理設備零部件用於裝載基板至台座2418上並控制基板與處理設備2400之其他部件間的間距。
程序氣體控制程式可包括為了穩定程序站點內的壓力而用於控制氣體組成及流速、並可選擇性地用於在沉積之前使氣體流入一或更多程序站點的控制碼。壓力控制程式可包括藉由調節進入程序站點的氣體流(例如程序站點之排氣系統的節流閥)以控制程序站點壓力的程式碼。
加熱器控制程式可包括用於控制流向加熱單元(用於加熱基板)之電流的程式碼。或者加熱器控制程式可控制流向基板的熱能傳遞氣體(像是氦)。
電漿控制程式可包括用於設定RF功率位準與頻率的程式碼,該RF功率位準與頻率係施加至一或更多程序站點的程序電極。電漿控制程式亦可包括用以控制電漿曝露/轉變操作期間之電漿工作週期及電漿脈衝頻率的程式碼。
某些實施例中會有相關於系統控制器2450的使用者介面。使用者介面可包括顯示螢幕、設備及/或程序條件的圖像軟體顯示器以及像是指標裝置、鍵盤、觸控螢幕、麥克風等等的使用者輸入元件。
某些實施例中,由系統控制器2450所調節的參數可與程序條件有關。非限定的範例包括程序氣體的組成及流速、溫度、壓力、電漿條件(像是RF偏壓功率位準)、壓力、溫度等等。這些參數可用配方的形式提供給使用者,並可利用使用者介面所輸入。
用於監測程序的信號可由系統控制器2450的類比及/或數位輸入連結而從各處理設備的感測器所提供。控制程序的信號可在處理設備2400的類比及數位輸出連結上輸出。可受監測之處理設備感測器的非限定範例包括質量流控制器、壓力感測器(像是壓力計)、熱電耦等等。適當程式化的反饋及控制演算法可與來自這些感測器的數據一起使用以維持程序條件。
系統控制器2450可提供實施上述沉積程序的程式指令。程式指令可控制像是DC功率位準、RF功率位準、RF偏壓功率位準、RF頻率、電漿脈衝頻率、壓力、溫度等等的多種程序參數。指令可根據本文所述的各實施例來控制參數以運行膜層堆疊的就地沉積。
上述之各硬體及方法的實施例可與例如用於半導體元件、顯示器、LED、太陽能面板及類似者之製造或量產的微影圖案化設備或程序一起使用。儘管並非必須,但該等設備/程序通常在通用的製造設施內一起使用或進行。
膜層的微影圖案化通常包括部分或全部的以下步驟,每一步驟係以多種可行的設備所實現:(1)使用旋轉或噴灑設備在工件(例如在其上形成有矽氮化物膜的基板)上施加光阻;(2)使用加熱板或爐管或其他適當的固化設備固化 光阻;(3)使用像是晶圓步進器的設備將光阻對可見光或UV光或x射線曝光;(4)將光阻顯影以利選擇性地移除光阻,並從而使用像是濕式清洗台或噴灑顯影機的設備將其圖案化;(5)使用乾式或電漿輔助式蝕刻設備將光阻圖案轉印至在下膜層或工件;以及(6)使用像是RF或微波電漿光阻剝除劑來移除光阻。某些實施例中,可在施加光阻前沉積可灰化的硬遮罩層(像是非晶矽碳層體)及另一適當的硬遮罩(像是抗反射層)。
將可了解到,此處所述的配置及/或方法本質上係作為範例,且因為有諸多可行的變化,故這些特定實施例或範例不該以限制的觀念視之。此處所述的特定慣常程序或方法可代表任意數量之處理方法中的一或更多者。就此而論,所述的各作業可用所述的次序、其他的次序、平行的方式進行,或在某些情況下予以省略。上述程序的次序可同樣地變化。
本揭露書之標的包括此處所揭露的各程序、系統及配置與其他特徵、功能、作業及/或性質,還有其任何及全部等價手段的全部新穎且非顯而易知的結合或子結合。
實驗
實驗結果顯示所揭露的程序可用於完成品質高度均勻的膜層。圖5A與5B及6A與6B顯示剛沉積與用100:1之水:HF蝕刻90秒之後在半導體基板之溝槽內所沉積的矽碳氮化物膜剖面圖。圖5C與6C顯示分別與圖5A與5B及6A與6B所示之膜層蝕刻性質相關的表格。
圖5A顯示剛沉積的習知膜層。圖5B顯示蝕刻後的上述膜層。圖5C顯示特徵部側壁的蝕刻率大幅高於特徵部頂部的蝕刻率,也高於特徵部底部 的蝕刻率。此情況中之特徵部側壁的蝕刻率約比特徵部底部的蝕刻率高10倍。因此側壁的膜層顯然具有比特徵部其他區域的膜層更為不佳的品質。
圖6A顯示按照所揭露的方法(利用脈衝式電漿)而沉積的剛沉積膜層。此情況中的電漿以約500Hz的頻率脈衝。圖6B顯示受到蝕刻後的上述膜層。圖6C係與圖6A及6B所示之膜層相關的濕式蝕刻率。側壁的濕式蝕刻率顯然大幅低於習知膜層的情況,且膜層之不同部分的濕式蝕刻率的均勻性有明顯改善。
圖7A與7B說明以掃描式穿透電子顯微鏡-電子能量損失(STEM-EEL)分析法所量測用連續波電漿(圖7A)所沉積之膜層及用脈衝式電漿(圖7B)所沉積之膜層的碳與矽的面積相對密度圖。為了說明所沉積膜層之不同部分的C:Si比例而彩色呈現這些圖。比較此二膜層側壁時,顯然脈衝式電漿的膜層具有比利用連續波電漿所製備之膜層要更高的C:Si比例。較高的側壁C:Si比例與以脈衝式電漿膜層所沉積之特徵部側壁所觀察到的較低濕式蝕刻率一致。某些實施例中,膜層側壁具有至少約為0.4或0.5或0.6的C:Si比例(在側壁的實質垂直區域所量測並按照與圖式一致的STEM-EELs分析法或等價的分析法所量測的平均值)。雖然圖7B的連續波膜層具有約0.35的平均側壁C:Si比例,但圖7A所示之範例中的脈衝式電漿膜,其平均側壁C:Si比例約為0.65。

Claims (24)

  1. 一種用於填充一半導體基板之表面的一間隙的方法,該方法包括:(a)將蒸氣相的一第一反應物引入在其內具有該基板的一反應腔室,並使得該第一反應物吸附到該基板的表面上;(b)在該第一反應物的流動停止後吹洗該反應腔室;(c)在該第一反應物吸附至該基板的表面上時,將蒸氣相的一第二反應物引入該反應腔室;(d)將該基板的表面曝露於電漿,以在該基板的表面上驅動該第一及該第二反應物之間的一表面反應而形成襯墊於該間隙的一膜層,其中該電漿為一脈衝式電漿;(e)熄滅該電漿;以及(f)吹洗該反應腔室。
  2. 如申請專利範圍第1項所述之用於填充一半導體基板之表面的一間隙的方法,其中在將該基板的表面曝露於電漿的操作期間,該電漿之脈衝的一頻率在約25-5000Hz之間。
  3. 如申請專利範圍第2項所述之用於填充一半導體基板之表面的一間隙的方法,其中該電漿之脈衝的該頻率在約100-500Hz之間。
  4. 如申請專利範圍第1項所述之用於填充一半導體基板之表面的一間隙的方法,其中所沉積的該膜層為矽氮化物或矽碳氮化物膜層。
  5. 如申請專利範圍第1項所述之用於填充一半導體基板之表面的一間隙的方法,其中所沉積的該膜層為一氧化物。
  6. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中操作(c)與(d)至少部分同時發生。
  7. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中該電漿為一電容式耦合電漿或一電感式耦合電漿。
  8. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中該電漿係使用一RF電漿產生器所產生。
  9. 如申請專利範圍第8項所述之用於填充一半導體基板之表面的一間隙的方法,其中該電漿使用於每一站點的功率係在約50-2500W之間。
  10. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中該間隙之一側壁之一中間部分的一濕式蝕刻率(WEm)與該間隙之一頂部(WEt)及/或底部(WEb)的一濕式蝕刻率之間的一比例在約0.25-3之間。
  11. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中當垂直量測時,形成在該間隙內的該膜層展現出每分鐘約1-25Å之間的一濕式蝕刻率。
  12. 如申請專利範圍第11項所述之用於填充一半導體基板之表面的一間隙的方法,其中在該間隙之一側壁的一碳:矽平均比例至少約為0.4。
  13. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中該膜層的組成在該膜層各處為實質上均勻。
  14. 如專利申請範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,更包括從頭到尾重複操作(a)至(f),其中操作(d)的一第一重複係以不同於操作(d)的一第二重複的一脈衝頻率所進行。
  15. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,更包括從頭到尾重複操作(a)至(f),其中操作(d)的一第一重複係以不同於操作(d)的一第二重複的一工作週期所進行。
  16. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,更包括從頭到尾重複操作(a)至(f),其中操作(d)的一第一重複係以不同於操作(d)的一第二重複的一RF功率所進行。
  17. 如專利申請範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中該脈衝式電漿在一開啟狀態及一關閉狀態之間脈衝。
  18. 如申請專利範圍第1-5項之任一項所述之用於填充一半導體基板之表面的一間隙的方法,其中該脈衝式電漿至少在一第一功率狀態與一第二功率狀態之間脈衝,其中在該第一功率狀態期間所輸送的功率係不同於在該第二功率狀態期間所輸送的功率,且其中該第一功率狀態與該第二功率狀態均非對應於一關閉狀態。
  19. 一種用於在一基板上沉積一膜層的設備,該設備包括:一反應腔室;一流入埠,用於輸送氣相反應物至該反應腔室;一電漿產生器,用於對該反應腔室提供脈衝式電漿;以及一控制器,包含用於下列者的指令:(a)將蒸氣相的一第一反應物引入該反應腔室;(b)將蒸氣相的一第二反應物引入該反應腔室; (c)為了在該基板的表面上驅動該第一及該第二反應物之間的一表面反應以形成該膜層,當蒸氣相之該第一反應物的流動停止時,週期性激發一脈衝式電漿以使該基板的表面曝露於該脈衝式電漿。
  20. 如申請專利範圍第19項所述之用於在一基板上沉積一膜層的設備,其中該控制器具有用於在操作(c)期間以介於約25-5000Hz之間的一頻率使該電漿脈衝的指令。
  21. 如申請專利範圍第20項所述之用於在一基板上沉積一膜層的設備,其中該控制器具有用於在操作(c)期間以介於約100-500Hz之間的一頻率使該電漿脈衝的指令。
  22. 如申請專利範圍第19-21項之任一項所述之用於在一基板上沉積一膜層的設備,其中該控制器具有用以使操作(b)與(c)至少部分同時地進行的指令。
  23. 如申請專利範圍第19-21項之任一項所述之用於在一基板上沉積一膜層的設備,其中該控制器具有指令,該等指令用以在介於約5-95%的電漿工作週期下進行操作(c)。
  24. 如申請專利範圍第19-21項之任一項所述之用於在一基板上沉積一膜層的設備,其中該控制器具有用以重複操作(a)到(c)的指令,且其中該控制器具有用以使操作(c)的一第一重複在不同於操作(c)之一第二重複的脈衝頻率及/或工作週期及/或RF功率下進行的指令。
TW103145386A 2013-12-30 2014-12-25 具有脈衝式電漿曝露之電漿輔助式原子層沉積 TWI654336B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/144,107 2013-12-30
US14/144,107 US9076646B2 (en) 2010-04-15 2013-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure

Publications (2)

Publication Number Publication Date
TW201531587A TW201531587A (zh) 2015-08-16
TWI654336B true TWI654336B (zh) 2019-03-21

Family

ID=53791777

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145386A TWI654336B (zh) 2013-12-30 2014-12-25 具有脈衝式電漿曝露之電漿輔助式原子層沉積

Country Status (4)

Country Link
JP (1) JP6562629B2 (zh)
KR (1) KR102384484B1 (zh)
SG (1) SG10201408801QA (zh)
TW (1) TWI654336B (zh)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN107974667B (zh) * 2017-11-28 2019-08-16 南通大学 一种快速测定时序式ald前驱体临界脉冲宽度的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) * 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020123024A1 (en) 2018-12-13 2020-06-18 Applied Materials, Inc. Methods for depositing phosphorus-doped silicon nitride films
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US20120074844A1 (en) 2008-12-24 2012-03-29 Oxford Instruments Plasma Technology Limited Signal generation system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20110198756A1 (en) * 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5547763B2 (ja) * 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US20120074844A1 (en) 2008-12-24 2012-03-29 Oxford Instruments Plasma Technology Limited Signal generation system

Also Published As

Publication number Publication date
TW201531587A (zh) 2015-08-16
SG10201408801QA (en) 2015-07-30
KR20150079470A (ko) 2015-07-08
JP2015144268A (ja) 2015-08-06
JP6562629B2 (ja) 2019-08-21
KR102384484B1 (ko) 2022-04-07

Similar Documents

Publication Publication Date Title
TWI654336B (zh) 具有脈衝式電漿曝露之電漿輔助式原子層沉積
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
CN111247269B (zh) 介电膜的几何选择性沉积
CN108735675B (zh) 氧化硅的选择性沉积
US10134579B2 (en) Method for high modulus ALD SiO2 spacer
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
TWI649803B (zh) 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
JP6038975B2 (ja) 半導体基板を処理する方法
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR20210021503A (ko) 갭충진을 위한 컨포멀 막 증착
US9797042B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
CN111501013A (zh) 用于先进图案化的软着陆纳米层压层
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
TW202042289A (zh) 調變之原子層沉積
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理