TWI649803B - 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 - Google Patents

具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 Download PDF

Info

Publication number
TWI649803B
TWI649803B TW103133765A TW103133765A TWI649803B TW I649803 B TWI649803 B TW I649803B TW 103133765 A TW103133765 A TW 103133765A TW 103133765 A TW103133765 A TW 103133765A TW I649803 B TWI649803 B TW I649803B
Authority
TW
Taiwan
Prior art keywords
gap
substrate
reactant
filling
film
Prior art date
Application number
TW103133765A
Other languages
English (en)
Other versions
TW201526104A (zh
Inventor
康虎
珊卡 史旺明內森
錢駿
金完基
丹尼斯 豪斯曼恩
史貴凡迪 巴頓J 凡
艾里恩 拉芙依
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/137,860 external-priority patent/US9257274B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201526104A publication Critical patent/TW201526104A/zh
Application granted granted Critical
Publication of TWI649803B publication Critical patent/TWI649803B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

於此提供用以填充半導體基板上之一或更多間隙的方法及設備。所揭露之實施例對於在窄及寬特徵部之中形成無縫隙且無孔洞填充都特別有用。執行這些方法時,可不介入任何蝕刻操作,而達成單步驟沉積。在各種實現方式中,利用新穎的PEALD填充機制來執行第一操作,以填充窄間隙並填襯寬間隙。可利用PECVD方法來執行第二操作,以繼續填充寬間隙。

Description

具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
本發明涉及間隙填充之方法及設備,尤其有關用以同時填充各種尺寸的間隙而無孔洞且無縫隙形成之方法及設備。
積體電路製作包括許多不同的處理步驟。常用操作其中之一者係將介電膜沉積至特徵部(其係圖案化在矽基板上或在矽基板中)之間的間隙內。沉積這類材料其中之一目的係在間隙之中形成無孔洞且無縫隙之填充。隨著裝置尺寸在例如DRAM、快閃記憶體、及邏輯裝置之中變更小,要達成這類理想填充變得越來越難。
雖然例如高密度電漿(HDP,high density plasma)、次大氣壓化學氣相沉積(SACVD,sub-atmospheric chemical vapor deposition)、以及低壓化學氣相沉積(LPCVD,low pressure chemical vapor deposition)之沉積方法已被用於間隙填充,但這些方法無法達到期望的填充能力。雖然流動式化學氣相沉積(flowable chemical vapor deposition)及旋塗介電層(SOD,spin-on dielectric)方法可達到所期望之填充,但其傾向於沉積高度多孔膜。再者,這些方法特別複雜且整合代價高(因為這些方法需要許多額外的處理步驟)。原子層沉積(ALD,atomic layer deposition)製程亦被用於間隙填充,但此製程受到長處理時間及低產量的影響(尤其是大的間隙)。在一些情況下,使用了多步驟沉積製程,包括沉積-蝕刻-沉積製程(在後續沉積操作之間,需要與其不同的蝕刻操作)。可實施蝕刻操作以補救或防止孔洞形成在間隙之中。雖然此方法很有用,但使用僅包含沉積而不需要蝕刻操作的製程將會更佳。
更具挑戰性的是同時填充基板上之不同尺寸的間隙。舉例而言,針對具有小深寬比的寬間隙進行最佳化之沉積方法可能並不適用於填充具有大深寬比的窄間隙;反之亦然。因此,需要一種實現將介電材料填充至間隙中而無孔洞且無縫隙的方法,尤其是能用以同時填充各種尺寸之間隙的方法。
本文的一些實施例涉及填充半導體基板上的間隙之方法及設備。在一些情況下,間隙係藉由電漿輔助式原子層沉積(PEALD,plasma enhanced atomic layer deposition)操作進行填充。在其他情況下,間隙藉由包括PEALD及電漿輔助式化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)操作之混合式方法進行填充。在本文實施例之一實施態樣中,提供了一種用以填充間隙之方法,其包括:(a)將氣態的第一反應物導入其中具有基板之反應腔室內,並使第一反應物吸附在基板表面上;(b)將氣態的第二反應物導入反應腔室內,並使第二反應物吸附在基板表面上;(c)將基板表面曝露至電漿,以驅使在基板表面上之第一與第二反應物間的表面反應,從而形成填襯(line)間隙的底部及側壁之膜層;(d)在不執行抽氣的情況下,清理反應腔室;以及(e)重複操作(a)至(d)以形成額外的膜層,其中當間隙之相對側壁上的相對膜層互相接近時,存在於相對膜層上之表面基團互相交聯,並藉此填充間隙。這些方法可用以填充間隙而無孔洞或縫隙形成。
在一些實施例中,第一反應物為含矽反應物,且第二反應物為氧化反應物。例如,第一反應物可包括雙(三級丁基胺基)矽烷(BTBAS)(bis(tertiary-butyl-amino)silane)。在另一範例中,第二反應物可包括氧及/或一氧化二氮(nitrous oxide)。在各種情況下,間隙為凹角。此外,在許多實施例中,間隙係藉由其特徵可為至少部份如由下而上填充的機制進行填充。即使在間隙為凹角的情況下,此由下而上填充機制仍可達成無縫隙且無孔洞之填充。
在所揭露之實施例的另一實施態樣中,提供了一種填充基板表面上的間隙之方法,其包括:(a)將氣態的第一反應物導入其中具有基板之反應腔室內,並使第一反應物吸附在基板表面上;(b)將氣態的第二反應物導入反應腔室內,並使第二反應物吸附在基板表面上;以及(c)將基板表面曝露至電漿,以驅使在基板表面上之第一與第二反應物間的表面反應,從而形成填襯間隙的底部及側壁之膜層,其中膜在間隙的場區域和上側壁附近比在間隙的底部和下側壁附近更為緻密且/或更薄。此方法可包括操作(d):於執行操作(c)之後,在不執行抽氣的情況下,清理反應腔室。在一些實施例中,此方法包括重複操作(a)至(c)(或操作(a)至(d))以形成額外的膜層,從而填充間隙。在一些實施例中,可藉著由下而上填充機制來填充間隙,而無孔洞或縫隙形成。
在所揭露之實施例的另一實施態樣中,提供了一種填充基板表面上的間隙之方法,其包括:(a)將氣態的第一反應物導入其中具有基板之反應腔室內,並使第一反應物吸附在基板表面上;(b)將氣態的第二反應物導入反應腔室內,並使第二反應物吸附在基板表面上;(c)將基板表面曝露至電漿,以驅使在基板表面上之第一與第二反應物間的表面反應,從而形成填襯間隙的底部及側壁之膜層;(d)在不執行抽氣的情況下,清理反應腔室;以及重複操作(a)至(d)以形成額外的膜層,其中相較於間隙的場區域和上側壁,優先將一或更多反應物的配位子埋在間隙的底部和下側壁附近之膜中。此方法可包括操作(d):於執行操作(c)之後,在不執行抽氣的情況下,清理反應腔室。在一些實施例中,可藉著由下而上填充機制來填充間隙,而無孔洞或縫隙形成。
在所揭露之實施例的另一實施態樣中,提供了一種填充基板表面上的間隙之方法,其包括:(a)將氣態的第一反應物導入其中具有基板之反應腔室內,並使第一反應物吸附在基板表面上;(b)將氣態的第二反應物導入反應腔室內,並使第二反應物吸附在基板表面上;(c)將基板表面曝露至電漿,以驅使在基板表面上之第一與第二反應物間的表面反應,從而形成填襯間隙之膜;(d)清理或清潔反應腔室;(e)同時將氣態的第三反應物和氣態的第四反應物導入反應腔室內;以及(f)由氣態的反應物產生電漿以驅使第三與第四反應物間的氣相反應,其中氣相反應產生間隙填充材料,且其中間隙填充材料將基板表面上的間隙部份或完全填充。
第一及第二反應物可與第三及第四反應物其中至少一者相同。例如,第一及第二反應物可各自與第三及第四反應物相同。在其他情況下,第一及第二反應物與第三及第四反應物之間可不重複。在許多情況下,操作(c)中所形成之膜與操作(f)中所形成之間隙填充材料為相同材料。舉例而言,操作(c)中所形成之膜與操作(f)中所形成之間隙填充材料可為矽氧化物。在這些情況下,第一反應物可為含矽反應物,且第二反應物可為氧化反應物。例如,第一反應物可包括BTBAS。在另一範例中,第二反應物可包括氧及/或一氧化二氮。在這些或其他情況下,第三反應物的例子可為TEOS或矽烷,而第四反應物的例子為氧化反應物。
在一些實現方式中,在操作(e)至(f)之前重複操作(a)至(c),並且每個操作(c)的重複之後不發生抽氣。在這些或其他情況下,可執行此方法而不介入任何蝕刻操作。所揭露之實施例之一優點係能在單一反應腔室中執行此方法。在許多情況下,在任何操作(a)至(f)期間或在任何操作(a)至(f)之間,不將基板自反應腔室移出。在一些實現方式中,操作(a)至(c)包括:形成一保形膜,且保形膜在間隙的底部處比在間隙的上側壁上更厚。這可用各種方式來達成。在一些實施例中,操作(c)可包括:相較於在間隙的底部附近之膜,優先使在間隙的頂部附近之膜緻密化。在這些或其他實施例中,操作(c)可包括:相較於在間隙的上側壁附近,優先將一或更多反應物的配位子埋在間隙的底部附近之膜中。操作(c)亦可包括:促進形成在間隙的第一側壁上之膜與形成在間隙的相對側壁上之膜間的交聯作用。
在所揭露之實施例之又另一實施態樣中,提供了一種填充基板表面上的間隙之方法,其包括:(a)將氣態的第一反應物導入其中具有基板之反應腔室內,並使第一反應物吸附在基板表面上,其中基板至少具有臨界尺寸小於約50 nm的窄間隙、以及臨界尺寸大於或等於約50 nm的寬間隙;(b)將氣態的第二反應物導入反應腔室內,並使第二反應物吸附在基板表面上;(c)將基板表面曝露至電漿,以驅使在基板表面上之第一與第二反應物間的表面反應而形成膜,其中該膜完全填充窄間隙且填襯寬間隙;(d)清理或清潔反應腔室;(e)同時將氣態的第三反應物和氣態的第四反應物導入反應腔室內;以及(f)由氣態的反應物產生電漿以驅使第三與第四反應物之間的氣相反應,其中氣相反應產生間隙填充材料,且其中間隙填充材料將基板表面上的寬間隙部份或完全填充。
在一些情況下,窄間隙具有大於約4:1的深寬比,且寬間隙具有小於或等於約4:1的深寬比。在一些實施例中,窄間隙可為凹角。即使在窄間隙為凹角的情況下,仍可對其進行填充而無縫隙或孔洞形成。在一些實現方式中,於操作(e)至(f)之前重複操作(a)至(c),並且在每個操作(c)的重複之後,不發生抽氣。在這些或其他情況下,操作(c)中所形成之膜與操作(f)中所形成之間隙填充材料可為相同材料。在許多實施例中,執行此方法時不介入任何蝕刻操作。所揭露之實施例允許在不形成縫隙或孔洞的情況下填充窄間隙及寬間隙。
在所揭露之實施例的另一實施態樣中,揭露了一種用以填充半導體基板上的間隙之設備。此設備可包括反應腔室、基板支撐件、電漿產生源、一或更多處理氣體入口、一或更多出口、以及控制器。控制器可配置以執行於此所揭露之任何方法。
所揭露之實施例的另一實施態樣係一種以介電材料填充半導體基板上之一或更多間隙的方法,其包括:(a)藉由電漿輔助式原子層沉積表面反應,將含矽膜沉積在基板上之一或更多間隙中,從而利用此含矽膜來部份填充該一或更多間隙;以及(b)藉由電漿輔助式化學氣相沉積氣相反應,將額外的含矽膜沉積在操作(a)中所沉積之膜上,從而利用此含矽膜來完全填充該一或更多間隙。
以下將參考相關圖式來敘述這些及其他特徵。
在本說明書中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部份製作之積體電路」可交換使用。本領域中具有通常知識者將瞭解到用語「部份製作之積體電路」可指在許多積體電路製作階段其中之任一階段期間的矽晶圓。在半導體裝置工業中所使用之晶圓或基板可具有200 mm、或300 mm、或450 mm的直徑。以下的詳細描述假定本發明係實施在一晶圓上。然而,本發明並不受限於此。工作件可為各種形狀、尺寸、及材料。除了半導體晶圓以外,其他可利用本發明之工作件還包括如印刷電路板、玻璃面板、及其類似者之各種物品。
在以下敘述中,為了提供對所呈現之實施例的徹底瞭解而提出許多具體細節。所揭露之實施例可在不具這些具體細節的部份或全部之情況下實施。在其他情況下,為了不非必要地混淆所揭露之實施例,故不再詳細敘述熟知的處理操作。雖然將配合特定實施例來描述所揭露之實施例,惟應瞭解到這並非意圖要限制所揭露之實施例。
對於高深寬比的間隙中之高密度膜而言,習知間隙填充技術已無法成功達成無孔洞且無縫隙之填充。HDP、SACVD、及LPCVD僅具有限的填充能力,且通常導致孔洞及縫隙的形成。這些孔洞及縫隙可能在化學機械研磨(CMP,chemical mechanical polishing)操作之後、或在執行回蝕(etch-back)之後打開。這些被打開的縫隙及孔洞隨後可能使後續沉積的材料(如多晶矽及鎢)陷入。這些材料通常在後續CMP或回蝕操作中未被完全移除,且可能存留在裝置中而造成短路及/或良率損失。流動式CVD(例如:流動性氧化物)及SOD技術具有複雜整合方式,而這可能導致與所牽涉之各種額外步驟相關的高成本。
本文的一些實施例涉及填充半導體基板上之不同尺寸間隙的混合方法。此方法的第一部份涉及ALD操作,例如:電漿輔助式ALD(PEALD,plasma enhanced ALD)操作。可用新穎的方式來執行此ALD操作,以促進窄間隙中的由下而上類型之填充。此由下而上填充機制幫助達成無孔洞且無縫隙之填充,尤其是在窄間隙(例如:具有約50 nm或更小之臨界尺寸(CD,critical dimension)的間隙)及/或具有高深寬比的間隙(例如:深度對寬度之深寬比約4:1或更高)之情況。此ALD操作亦用以在存在於基板上之具有較低深寬比(例如深寬比約4:1或更低)的較寬間隙(例如具有大於約50 nm之CD的間隙)上形成一層(但不完全填充)。
此方法的第二部份涉及電漿輔助式化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)方法,其係用以填充其餘較寬間隙。在一些實施例中,此方法可利用直接(原位,in situ)電容耦合電漿來執行。在許多實施例中,採用了射頻(RF,radio frequency)電漿源,惟仍可採用能產生直接電漿的任何類型之電漿源,包括微波及DC源。此外,在一些實施例中,可採用遠程產生之電漿。遠程電漿可根據各種實施例而進行電容耦合或感應耦合。
於此所述之PECVD方法中所使用的電漿可比由原位感應耦合電漿產生器所產生之高密度電漿(例如HDP製程中所使用者)具有較低電漿密度。舉例而言,在HDP製程中,電漿密度可為約1011 -1013 離子/cm3 之數量級,而非一些實施例中之PECVD製程之約108 -1010 離子/cm3 。HDP方法通常無法產生如上述之所需填充結果,而且通常需要在後續沉積步驟之間使用蝕刻操作。在HDP方法中,帶電之介電質先驅物物種被往下引導,以填充間隙。這導致一些材料噴濺,其可能隨後再沉積於間隙的側壁(尤其在間隙的頂部附近)上、以及再沉積於場區域中。此外,存在於腔室中的不帶電微粒也可能沉積在上側壁區域中。此不必要之沉積可能積聚而形成側壁沉積物及頂端帽狀部(top-hat),其防礙間隙進行均勻填充。可使用蝕刻步驟克服HDP情況下所發生之不必要的上側壁沉積,但這使得沉積方法的複雜度增加。若不執行蝕刻步驟,則通常無法在不形成孔洞的情況下對間隙進行填充。實現HDP方法亦較昂貴許多,而且產量低於PECVD方法。
根據各種實施例,PEALD及PECVD方法可在相同腔室之中實施。此二類型的製程都在類似壓力及流量狀態下進行,並且可使用相同RF電源。此外,可用單步驟執行PECVD方法,而這表示不需介入蝕刻操作(或其他製程,如沉積製程)。相較之下,在同一腔室中進行PEALD及HDP製程並不實際。首先,此二製程操作在實質上不同的壓力狀態下。PEALD製程通常在數托耳的範圍內進行,並且受益於高氣體流量以進行清理。HDP製程操作在毫托耳的範圍內,並且需要相對低的氣體流量(相對於PEALD所使用者)。其次,HDP製程通常在大容積腔室之中實施,而較小許多的容積則對ALD製程較為有利。此外,HDP製程通常需要與PEALD不同的電源,而此將使反應器設計更複雜。
雖然已證明HDP製程有良好的間隙填充,但HDP製程卻受到有關「禁止間隙(forbidden gap)」尺寸之工程問題的影響。其中在使用混合式ALD/HDP沉積法時,可能存在禁止間隙(間隙的CD稍大於所沉積之ALD層厚度的2倍)。在這些情況下,HDP製程無法填充其餘間隙。於此所述之PECVD方法可填充間隙,包括預先用PEALD填襯之間隙。在任何具挑戰性的結構皆以PEALD進行填襯/填充之後,可使用PECVD製程並以較不保形的方式來填充其餘結構。
PECVD操作之優點在於能達到以高沉積速率填充較大間隙(若僅由ALD進行填充,可能花費很長時間)。然而,在一些實施例中,這些方法僅包括執行PEALD的第一操作。
在各種實施例中,PEALD及PECVD操作係於相同腔室之中執行。由於不必將基板從PEALD反應腔室傳送到PECVD反應腔室,故此設置是很有益的。因此,亦不必擔心水分留在膜上或進入膜內,而且不需在執行PECVD操作之前執行相應的去除氣體操作或高溫回火以移除水分。單一腔室方法的另一個好處是降低資金成本、循環時間、以及處理流程複雜度。
可對上述基本方法進行變化,以達成不同的混合式填充方案。在一範例中,此方法的第一部份包括:在針對填充高深寬比的間隙而進行最佳化之條件下,執行PEALD操作;且此方法的第二部份包括:條件較寬鬆的PEALD操作,例如具有降低劑量及清除時間的操作。這些條件較寬鬆的PEALD操作亦可促進PECVD或部份PECVD沉積。在另一範例中,使用蝕刻步驟以使間隙輪廓呈錐形。蝕刻步驟可在此方法的第一部份與此方法的第二部份之間執行(例如:在PEALD操作與PECVD操作之間)、或在此方法的單一部份之內執行(例如:在二PEALD操作之間、或在二PECVD操作之間)。當然,這些方法可予以適當地組合。最佳解決方式將取決於實際存在於基板上的深寬比分佈和間隙尺寸。
美國專利申請案第13/084399號中已討論用於填充基板上的間隙之PEALD與PECVD之組合方法,將其併入作為上文之參考。在一些情況下(如同在美國專利申請案第13/084399號中所討論般),在PEALD操作與PECVD操作之間會有過渡階段,其中PEALD表面反應及PECVD氣相反應同時發生。
在這類實施例中,已完成的膜係部份由ALD/CFD產生且部份由CVD製程(如PECVD)產生。通常,先執行沉積製程的ALD/CFD部份,之後再執行PECVD部份,但不必都是如此情況。混合式ALD/CFD與CVD製程可改善階梯覆蓋(相較於只有CVD之情況下所觀察到的階梯覆蓋),此外還改善了沉積速率(相較於只有ALD/CFD之情況下所觀察到的沉積速率)。在一些情況下,於流入ALD/CFD反應物時,同時施加電漿或其他活化機制,以產生寄生CVD操作,並從而達到更高的沉積速率、不同等級的膜等等。
在一些實施例中,可採用二或更多ALD/CFD階段、及/或可採用二或更多CVD階段。舉例而言,可藉由ALD/CFD來沉積膜的最初部份,接著藉由CVD來沉積膜的中間部份,以及藉由ALD/CFD來沉積膜的最後部份。在這類實施例中,期望能在藉由ALD/CFD沉積膜的稍後部份之前,修改膜的CVD部份(例如藉由電漿處理或蝕刻)。
可在ALD/CFD與CVD階段之間採取過渡階段。在如此過渡階段期間所採用的條件係不同於ALD/CFD或CVD階段所採用的條件。通常(儘管並非必然),這些條件同時允許ALD/CFD表面反應及CVD類型氣相反應。過渡階段通常包含曝露至電漿(例如電漿可為脈衝式)。此外,過渡階段可包含用低流速(即明顯低於此製程之對應ALD/CFD階段中所採用的流速)遞送一或更多反應物。 方法 電漿輔助式原子層沉積
所揭露之PEALD製程對於實現相對窄/高深寬比特徵部之無孔洞且無縫隙之填充是很有用的。無法預期地,這些製程的一些實施例似乎導致由下而上填充機制,其中當間隙正進行填充時,材料係優先沉積在間隙的底部附近,而非間隙的頂部。雖然沉積同樣發生在側壁及場區域上,但膜在間隙的底部處或其附近沉積較厚,而且當間隙被填充後,在許多情況下達成錐形輪廓。錐形輪廓係定義為表示膜在底部附近沉積較厚,並且在間隙的頂部附近較薄(如以下實驗章節所示)。此錐形輪廓對於在高深寬比特徵部中要達到無孔洞或縫隙之高品質填充特別使用。由於當側壁朝彼此接近時,原子層沉積方法通常導致縫隙的形成,故此填充機制係不可預期的。藉由促進由下而上填充,而能避免此縫隙,並且產生強健的裝置。
在不希望被任何理論或作用機制所束縛的情況下,據信可藉由優先使間隙的頂部附近的膜緻密化而造成由下而上填充機制。因為將膜曝露至電漿,所以存在於電漿中之物種(尤其是離子)轟擊膜表面,從而使膜緊實且緻密化。在適當的條件下,此緻密化可優先發生在間隙的頂部附近。由於間隙的形狀,因而使離子更加容易轟擊場區域中的膜和間隙頂部附近的膜,而非轟擊間隙底部附近的膜(其受到更多保護)。因此,在頂部附近的膜比在渠溝底部附近的材料變得更緻密且更薄,而在渠溝底部附近的材料保持較厚且較不緻密。
能促進無縫隙且無孔洞之由下而上填充的另一因素係存在於間隙之相對側壁上的基團之間會發生交聯作用(crosslinking)。隨著沉積持續進行及側壁朝彼此接近時,末端基團會彼此互相交聯,因而避免任何縫隙。在間隙填充矽氧化物膜的情況下,例如在一側壁上之表面羥基/矽醇(hydroxyls/silanols)可與在相對壁上之表面羥基/矽醇交聯,從而釋放水份並形成矽氧化物基質。這些末端交聯基團會優先出現在間隙的側壁上。
能促進無縫隙且無孔洞之由下而上填充的另一因素係配位子副產物以不均勻的方式自膜釋放,使得副產物變成優先陷入間隙的底部處或其附近,而非在間隙的頂部附近。此陷入可導致特徵部內的較高沉積速率,尤其在間隙的底部附近。例如,在雙(三級丁基胺基)矽烷(BTBAS)(bis(tertiary-butyl-amino)silane)作為先驅物的情況下,可陷入之配位子副產物的類型為三級丁胺(TBA)(tert-butylamine)。然而,應瞭解於配位子陷入正在生長之膜中的情況下,膜的特性會受到某種程度之影響。
圖1顯示執行電漿輔助式原子層沉積製程100之方法的流程圖。製程100開始於操作101,其中提供一劑量之第一反應物至容納基板的反應腔室。基板之中通常會有待藉由PEALD製程來部份或完全填充的間隙。在一實施例中,PEALD製程100將第一類型的間隙完全填充,而將第二類型的間隙部份填充(例如:填襯(line)),如以下之討論。在各種情況下,第一反應物可為含矽反應物。接著,於操作103中清理反應腔室(例如使用惰性氣體或氮載體氣體)。此幫助將任何餘留的第一反應物自反應腔室移除。
於操作105,提供第二反應物至反應腔室。在一些情況下,第二反應物為氧化反應物。第二反應物亦可為反應物之混合物。在一特定實施例中,第二反應物為大約相等體積流量的氧及一氧化二氮(nitrous oxide)。如本文所使用般,用語「大約相等體積流量(roughly equal volume flow)」表示第一物種的流量與第二物種的流量相差不超過約20%(以SLM測量)。在操作105中提供第二反應物,操作105可包括預先流入反應物(在與操作107中之電漿活化同時的流入反應物之前)。當電漿活化時,其驅使基板表面上之第一與第二反應物間的反應。接著,將電漿熄滅,然後清理反應腔室(例如使用惰性氣體或氮載體氣體)。此操作109稱為RF後清理(post-RF purge)。
方法100通常重複數次以累積所期望之膜厚度。藉由使用於此所揭露之條件及方法,方法100可產生具有錐形輪廓和由下而上填充特性之填充。這些因素促進無孔洞且無縫隙之填充。有利的是,經由所揭露之方法而沉積的膜係相當緻密。
在一特定範例中,操作101包括以約0.5-2.5 mL/分鐘或約1.5-2.5 L/分鐘(例如:2 mL/分鐘)的流速提供BTBAS(或其他主要反應物)達約0.1-1秒或約0.2-0.5秒(例如:約0.3秒)的時間。操作103包括用惰性氣體清理反應腔室達約0.1-1秒或約0.2-0.5秒(例如:約0.3秒)。操作105包括以各約2-20 SLM或各約8-12 SLM(例如:各約10 SLM)的流速一起流入O2 及N2 O。在此反應物遞送同時,使用約300 W-10 kW或約4-6 kW(例如:約5 kW)的RF電力於操作107產生電漿。這些值代表所遞送之總RF電力,其係分配在四站/基座之間。電漿曝露持續約10毫秒至3秒或約0.25-1秒(例如:約0.5秒)的時間。為產生電漿所施加之RF頻率可為約13.56或27 MHz。接著,於操作109中使用惰性氣體清理反應腔室達約10毫秒至5秒或約50-150毫秒(例如:約90毫秒)的時間。應瞭解到以上條件均為範例,而其他反應物、流速、脈衝時間、及電力適用於個別實施方式。
於此所述之PEALD方法可為保形膜沉積(CFD,conformal film deposition)方法。電漿輔助式保形膜沉積技術及設備係於2011年4月11日所申請、且名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084399號中加以進一步討論及描述,其係併入作為上文之參考。PEALD 反應物
所揭露之方法及設備並不限於使用特定先驅物。雖然這些方法已證實使用一些先驅物是有效用的(如實驗章節中所示),但相信這些方法亦可利用各種其他先驅物而達到類似優點。
這些反應物其中至少一者通常將包含室溫下為固態之成份,此成份係結合至藉由PEALD/PECVD方法所形成之膜中。此反應物可稱為主反應物(principal reactant)。主反應物通常包括例如金屬(例如:鋁、鈦等等)、半導體(例如:矽、鍺等等)、及/或非金屬或類金屬(例如:硼)。其他反應物通常稱為次反應物(auxiliary reactant)或共同反應物(co-reactant)。共同反應物的非限制性範例包括:氧、臭氧、氫、聯氨、水、一氧化碳、一氧化二氮、氨、烷基胺、及類似者。共同反應物亦可為如以上所提及之反應物的混合物。
PEALD/PECVD製程可用以沉積多種類型的膜,尤其用這些類型的膜實現間隙填充。雖然本文許多討論聚焦在形成無摻雜矽氧化物,但亦可形成其他類型的膜,例如:氮化物、碳化物、氧氮化物、碳摻雜氧化物、氮摻雜氧化物、硼化物等等。氧化物包括大範圍的材料,其包括:無摻雜矽酸鹽玻璃(USG,undoped silicate glass)、摻雜矽酸鹽玻璃。摻雜玻璃的例子包括:硼摻雜矽酸鹽玻璃(BSG,boron doped silicate glass)、磷摻雜矽酸鹽玻璃(PSG,phosphorus doped silicate glass)、及硼磷摻雜矽酸鹽玻璃(BPSG,boron phosphorus doped silicate glass)。另外,PEALD/PECVD製程可用於金屬沉積及特徵部填充。
儘管所揭露之實施例並不限於特定反應物,以下仍提供反應物的列舉範例。
在一些實施例中,所沉積之膜為含矽膜。在這些情況下,含矽反應物可為例如矽烷、鹵素矽烷、或胺基矽烷。 矽烷包含氫及/或碳基團,但不含鹵素。 矽烷的例子為:矽烷(SiH4 )、二矽烷(Si2 H6 )、以及有機矽烷(例如:甲基矽烷(methylsilane)、乙基矽烷(ethylsilane)、異丙基矽烷(isopropylsilane)、三級丁基矽烷(t-butylsilane)、二甲基矽烷(dimethylsilane)、二乙基矽烷(diethylsilane)、二-三級丁基矽烷(di-t-butylsilane)、烯丙基矽烷(allylsilane)、二級丁基矽烷(sec-butylsilane)、叔己基矽烷(thexylsilane)、異戊基矽烷(isoamylsilane)、三級丁基二矽烷(t-butyldisilane)、二-三級丁基二矽烷(di-t-butyldisilane)、四乙基正矽酸鹽(tetra-ethyl-ortho-silicate)(又稱為四乙氧基矽烷(tetra-ethoxy-silane)或TEOS)及類似者)。 鹵素矽烷包含至少一鹵素基團,並且可(或不可)包含氫及/或碳基團。 鹵素矽烷的例子為:碘矽烷、溴矽烷、氯矽烷、及氟矽烷。 雖然鹵素矽烷(尤其氟矽烷)能形成可蝕刻矽材料之反應性鹵化物物種,但在本文所述之一些實施例中,當電漿激發時並不存在含矽反應物。 具體的氯矽烷為:四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、一氯矽烷(ClSiH3 )、氯烯丙基矽烷(chloroallylsilane)、氯甲基矽烷(chloromethylsilane)、二氯甲基矽烷(dichloromethylsilane)、氯二甲基矽烷(chlorodimethylsilane)、氯乙基矽烷(chloroethylsilane)、三級丁基氯矽烷(t-butylchlorosilane)、二-三級丁基氯矽烷(di-t-butylchlorosilane)、氯異丙基矽烷(chloroisopropylsilane)、氯二級丁基矽烷(chloro-sec-butylsilane)、三級丁基二甲基氯矽烷(t-butyldimethylchlorosilane)、叔己基二甲基氯矽烷(thexyldimethylchlorosilane)、及類似者。 胺基矽烷包括與矽原子結合之至少一氮原子,但亦可包含氫、氧、鹵素、及碳。胺基矽烷的例子為:一級、二級、三級、及四級胺基矽烷(分別是H3 SiNH2 、H2 Si(NH2 )2 、HSi(NH2 )3 、及Si(NH2 )4 )、以及經取代的一級、二級、三級、及四級胺基矽烷(例如:三級丁基胺基矽烷(t-butylaminosilane)、甲基胺基矽烷(methylaminosilane)、三級丁基矽烷胺(tert-butylsilanamine)、雙(三級丁基胺基)矽烷(SiH2 (NHC(CH3 )3 )2 (BTBAS,bis(tertiarybutylamino)silane)、三級丁基矽烷基氨基甲酸酯(tert-butyl silylcarbamate)、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 、及類似者)。 胺基矽烷之另一範例為:三矽烷胺(N(SiH3 )3 )(trisilylamine)。
在其他情況下,沉積膜包含金屬。可形成之含金屬膜的範例包括:鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等等的氧化物和氮化物、以及元素金屬膜。範例先驅物可包括:金屬烷基胺、金屬烷氧化物、金屬烷基醯胺、金屬鹵化物、金屬ß-二酮基、金屬羰基、有機金屬等等。適當的含金屬先驅物將包括所期望結合至膜中的金屬。例如,可藉由使伍(二甲基醯胺基)鉭(pentakis(dimethylamido)tantalum)與氨或另一還原劑反應來沉積含鉭層。更多可利用之含金屬先驅物的例子包括:三甲基鋁(trimethylaluminum)、四乙氧基鈦(tetraethoxytitanium)、四-二甲基醯胺基鈦(tetrakis-dimethyl-amido titanium)、鉿肆(乙基甲基醯胺)(hafnium tetrakis(ethylmethylamide))、雙(環戊二烯基)錳(bis(cyclopentadienyl)manganese)、雙(正丙基環戊二烯基)鎂(bis(n-propylcyclopentadienyl)magnesium)等等。
在一些實現方式中,使用了含氧氧化反應物。含氧氧化反應物的例子包括:氧、臭氧、一氧化二氮、一氧化碳等等。
在一些實施例中,沉積膜包含氮,並且使用了含氮反應物。含氮反應物包含至少一個氮,例如:氨、聯氨、胺類(例如帶有碳的胺類)(例如:甲基胺(methylamine)、二甲基胺(dimethylamine)、乙基胺(ethylamine)、異丙基胺(isopropylamine)、三級丁基胺(t-butylamine)、二-三級丁基胺(di-t-butylamine)、環丙基胺(cyclopropylamine)、二級丁基胺(sec-butylamine)、環丁基胺(cyclobutylamine)、異戊基胺(isoamylamine)、2-甲基丁基-2-胺(2-methylbutan-2-amine)、三級甲基胺(trimethylamine)、二異丙基胺(diisopropylamine)、二乙基異丙基胺(diethylisopropylamine)、二-三級丁基聯氨(di-t-butylhydrazine)、以及含胺類之芳香族(例如:苯胺(anilines)、吡啶(pyridines)、及苯甲基胺(benzylamine))。胺類可為一級、二級、三級、或四級(例如:四烷基銨化合物(tetraalkylammonium compound))。除了氮以外,含氮反應物亦可包含雜原子,例如羥基胺(hydroxylamine)、二級丁基氧羰基胺(t-butyloxycarbonyl amine)、以及N-三級丁基羥基胺(N-t-butyl hydroxylamine)皆為含氮反應物。
亦可使用其他先驅物,例如:給與本文所提供之教示之本領域中具有通常知識者將顯而易見或能輕易辨別之先驅物。間隙條件
所揭露之PEALD製程對於填充具有相對高深寬比(AR>約4:1)的相對窄間隙(CD<約50 nm)特別有用。然而,此製程亦可執行在較大間隙及具有較小AR的間隙上。
在各種實施例中,PEALD製程係執行在具有至少二種不同類型間隙之基板上。第一類型可包括:具有小於約50 nm之CD、及/或大於約4:1之AR的間隙。此第一類型稱為窄間隙。第二類型可包括:具有大於約50 nm之CD、及/或小於約4:1之AR的間隙。此第二類型稱為寬間隙。由於以上所討論之原因,因此可能難以同時對窄及寬間隙兩者進行填充。描述不同類型間隙之特徵的另一方法為比較其彼此的相對尺寸。在一些情況下,寬間隙比窄間隙寬至少約2倍、或至少約5倍、或至少約10倍。在這些或其他情況下,窄間隙之AR可比寬間隙之AR大至少約2倍、或至少約5倍、或至少約10倍。
在許多執行在具有窄及寬間隙兩者之基板上的PEALD製程之實施方式中,PEALD製程將用以完全填充窄間隙、並填襯寬間隙之表面。圖2顯示具有二種不同類型的間隙202及204之基板200。間隙的深寬比係按照間隙高度除以間隙寬度來計算。這些尺寸係標示在圖2之中。間隙202係具有深寬比稍大於4:1的窄間隙。間隙204係具有深寬比約為1:2的寬間隙。
圖3顯示上述基板200在執行PEALD沉積製程以沉積氧化物層210後的情形。窄間隙202被完全填充,而寬間隙204則填襯有氧化物材料210。沉積在寬間隙204底部上之膜210會稍厚於沉積在間隙204側壁上之膜210。然而,此厚度差在窄間隙202填有材料時更為明顯。
圖4顯示於PEALD沉積製程期間的某一時間之基板200的一部份。具體而言,其顯示窄間隙202沉積到一半。所沉積之氧化物層210具有錐形輪廓,使得膜在間隙的頂部附近較薄、而在間隙的底部附近較厚。此導致底部小於頂部之漸縮間隙。這形狀對於促進無孔洞且無縫隙之填充而言是理想的。當材料填入間隙的底部時,上述機制(例如:優先膜緻密化、優先使配位子陷入、及/或交聯作用)可用以填充特徵部而無任何孔洞或縫隙。說明這類填充機制之實驗結果係包含在以下實驗章節中。
此填充機制先前並未在PEALD類型製程中觀察到。相反地,習知PEALD製程形成不具這類錐形輪廓的膜,其中更為垂直的側壁朝彼此生長、並且在中央交會。在這些習知方法中,化學物質可能陷入形成在間隙中央的極窄孔洞/縫隙之中。此陷入很可能會發生,因為在某種程度上間隙的整個高度實質上於相同時間閉合。相反地,在所揭露之方法的情況下,側壁在間隙底部處朝彼此靠近的幅度大於在間隙頂部處。因此,當側壁朝向彼此生長時,沉積膜的底部向上生長,並且將存在於間隙中的化學物質推出。此產生了避免形成縫隙及孔洞的製程,從而製作出填充品質優異的間隙。
在一些實施例中,由PEALD操作所填充的間隙具有凹角輪廓。換言之,此間隙上方較小而下方較寬。已觀察到即使在間隙具有稍微凹角輪廓的情況下,仍可利用所揭露之PEALD製程來達到由下而上填充。這些結果顯示在以下實驗章節之中。腔室條件
已證明PEALD製程對於溫度變化具有相當大的彈性。具體而言,已證明此製程在200℃及400℃很有效用。因此在一些實施例中,此製程係於約200-400℃間的溫度下執行。然而,在其他情況下,溫度可落在此範圍之外。
PEALD製程期間之反應腔室內部壓力可介於約1-10托耳、或介於約3-7托耳(例如:約6托耳)。電漿產生條件
在PEALD操作中,將基板曝露至電漿以驅使第一與第二反應物之間的反應。可使用各種類型的電漿來驅使此反應,包括:電容耦合電漿及感應耦合電漿。可使用各種類型的電漿產生器,包括:RF、DC、及微波電漿產生器。此外,根據各種實施例,電漿可為直接式或遠端式。
用以產生電漿之氣體可包括惰性氣體(如氬或氦)。此氣體通常亦將包括反應物其中一者(例如:欲形成氧化物膜時之氧化反應物)。
在許多情況下,RF信號係用以驅使電漿形成。在一些實施例中,所施加之RF僅為高頻RF,例如:約13.56或27 MHz之頻率。在其他實施例中,RF亦具有低頻成份。遞送以驅使電漿形成之RF電力可介於約300W與約10 kW之間。在一些情況下,所遞送之RF電力介於約4-6 kW之間(例如:約5 kW)。這些值代表所遞送之總電力,其係分配在四站/基座之間。
額外的電漿產生條件係於2011年4月11日所申請、且名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084399號中加以探討,其係於此併入作為以上內容之參考。
電漿曝露之期間可在不同實施例之間有所變化。在一些情況下,施加RF電力達約10毫秒與3秒之間、或達約0.25秒與約1秒之間。在一特定範例中,施加RF電力達約0.5秒。RF電力及RF時間決定了遞送至腔室的RF通量。已發現到藉由增加RF通量(無論增加RF時間或功率),可降低膜的濕蝕刻速率(WER,wet etch rate)。因為已證明了PEALD製程對於不同的RF條件具有相當大的彈性,所以這些變數可用以達成可調整的WER。清理條件
一般而言,在PEALD反應的單一循環期間發生二清除/清理操作。第一清理發生在將第一反應物的劑量遞送至處理腔室後,並且可稱為反應物清理(reactant purge)。執行此清除步驟以清除任何餘留且未吸附之第一反應物。第二清理發生在使基板曝露至電漿之後,並且可稱為RF後清理(post-RF purge)。執行此清除步驟以清除任何餘留反應物和任何膜形成副產物。
有各種清理反應腔室的方法。其中一方法涉及供應非反應物氣體(例如:氬、氦、氮等等)之流量至腔室,以清除任何非期望之物種。在清理時,反應腔室中的壓力保持實質固定。另一清理反應腔室的方法係執行抽氣。在此情況下,施加真空並排空反應腔室。於排空期間,反應腔室中的壓力明顯下降,例如低於約1托耳。
已發現在RF後清理包括清除(相較於抽氣)的情況下,間隙填充效果較佳。在不希望被特定理論或作用機制所束縛的情況下,據信RF後的條件(包括有或沒有抽氣)可能影響存在於沉積膜表面上的表面機能(surface functionality)。此表面機能可決定當填充間隙時,相對側壁之間是否發生交聯作用。促進所期望的由下而上沉積圖案之一方法係清理反應腔室來代替執行抽氣。因此在一些實施例中,於PEALD沉積期間之電漿曝露後,並不執行抽氣。然而,在一些情況下,可在PEALD操作與PECVD操作之間執行抽氣。
反應物清理可執行達約0.1-1秒的期間(例如:約0.2-0.5秒)。在一特定範例中,反應物清理具有約0.3秒的期間。
RF後清理可執行達約0.01-5秒的期間(例如:約0.05-0.15秒)。在一情況下,RF後清理具有約0.09秒的期間。電漿輔助式化學氣相沉積
於此所揭露之PECVD方法可在PEALD製程之後執行,以便將僅部份填充/填襯的間隙完成填充。此方法相較於單獨PEALD製程是有利的,因為其提供了高許多的沉積速率,從而使處理時間降低及產量增加。因此,PEALD製程可用以填充小間隙及填襯大間隙,接著PECVD製程可用以完成大間隙的填充。此提供對不同尺寸及深寬比之特徵部進行填充的便捷方法。在許多情況下,可在不介入任何蝕刻操作的情況下進行間隙填充。
在PECVD反應中,使基板曝露至一或更多揮發性先驅物(其反應並/或分解而在基板表面上產生期望的沉積物)。圖5顯示用PECVD填充間隙之方法500的流程圖。在各種實施例中,方法500可在圖1的方法100之後執行。PECVD方法通常開始於操作501中使一或更多反應物流入反應腔室。當在操作503中產生電漿時,可持續遞送反應物。使基板表面曝露至電漿,這造成在操作505中於基板表面上發生沉積。持續此製程,直到達到期望的膜厚度為止。於操作507中,將電漿熄滅並終止反應物流量。接著,在操作509中清理反應腔室。
在一範例製程中,操作501包括以約1-20 mL/分鐘的速率流入TEOS、及以約2000-30000 sccm的速率流入O2 。所施加之RF電力具有約200-3000 W之間的HF成份、及約200-2500 W之間的LF成份(分配在四站之間)。HF頻率係約13.56或27 MHz,而LF頻率介於約300-400 kHz之間。反應腔室中的壓力介於約1-10托耳之間,且溫度介於約100-450℃之間。當然,應瞭解在其他實施例中,反應物、腔室條件、時序等等可隨期望的膜及應用而改變。不欲使此章節所提供之數值成為限制性。
下列專利文獻進一步討論並敘述PECVD方法及設備,其係各自於此全部併入作為參考:名稱為「PULSED PECVD METHOD FOR MODULATING HYDROGEN CONTENT IN HARD MASK」之美國專利第7381644號;名稱為「PULSED PECVD METHOD FOR MODULATING HYDROGEN CONTENT IN HARD MASK」之美國專利第8110493號;名稱為「METHODS OF REDUCING DEFECTS IN PECVD TEOS FILMS」之美國專利第7923376號;以及申請於2012年5月23日、且名稱為「PECVD DEPOSITION OF SMOOTH SILICON FILMS」之美國專利申請案第13/478999號。
在許多情況下,PEALD製程與PECVD製程之間不會有停機時間。舉例而言,PEALD製程可結束於將電漿熄滅、執行RF後清理(採用或不採用抽氣)、以及隨後立刻流入PECVD反應物。
在一些實施例中,可使用如申請於2011年4月11日、且名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」之美國專利申請案第13/084399號中所討論及描述之混合式PEALD/PECVD方法,其係於此併入作為以上內容之參考。PECVD 反應物
可使用與ALD反應相同之反應物來執行PECVD反應,或者也可使用不同的反應物來執行PECVD反應。在一實施例中,使用BTBAS及O2 /N2 O混合物來執行PEALD反應,並且使用TEOS及/或矽烷來執行PECVD反應。已發現到TEOS及矽烷反應物對於實現PECVD反應特別有用。一般而言,以上PEALD反應物章節中所列舉之反應物可使用在PECVD反應中。
反應物的流速可隨期望之製程而改變。在涉及PECVD無摻雜矽酸鹽玻璃(USG,undoped silicate glass)之實施例中,使用SiH4 作為反應物且其流速介於約100-1500 sccm,並且具有流量介於約2000-20000 sccm的N2 O。在另一涉及使用TEOS的PECVD之實施例中,TEOS的流量介於約1-20 mL/分鐘,且O2 的流量介於約2000-30000 sccm。腔室條件
在一些實施例中,PECVD反應期間的反應腔室溫度可介於約50-450℃。此範圍對於使用矽烷的反應會特別合適。在使用其他反應物的情況下,溫度範圍可更為限縮或更加寬廣,例如:當使用TEOS時,介於約100-450℃。
PECVD反應期間之反應腔室壓力可介於約1-10托耳(例如:約5托耳)。
因為PEALD操作與PECVD操作之間的腔室條件非常類似,所以能在單一反應腔室中實現這兩類型的反應。如以上所討論般,這是很有利的,因為其降低或排除了基板在處理腔室之間移動時水分進入膜內的風險,並且降低了在二製程之間執行除氣操作的需求。電漿產生條件
PECVD反應係藉由曝露至電漿而驅使。電漿可為電容耦合電漿或遠端產生之感應耦合電漿。由於以上所討論之原因,能避免使用原位感應耦合電漿是較佳的。
用以產生電漿之氣體將包括至少一反應物。電漿產生氣體亦可包括其他物種。例如,在一些實施例中電漿產生氣體包括惰性氣體。
用以驅使電漿形成之頻率可包含LF及HF兩成份。在一些實施例中,HF頻率可為約13.56 MHz或約27 MHz。LF頻率可介於約300-400 kHz之間。用以驅使電漿形成之HF RF功率可介於約200-3000 W之間。用以驅使電漿形成之LF RF功率可介於約200-2500 W之間。這些電力位準代表所遞送之總電力,其係分配在四站/基座之間。電漿曝露的期間取決於期望的沉積膜厚度。
在一些實施例中,可使用脈衝式PECVD方法。這些方法可涉及用脈衝輸送先驅物及/或RF電力位準。清理條件
清理步驟通常在PECVD沉積完成後執行。此清理係操作以將反應物及任何副產物自反應腔室移除。由於在此時間點膜已經沉積,故此清理條件不像PEALD反應那麼重要(因為在形成PEALD膜時,需要重複多次反應物清理及RF後清理)。 設備
適合執行所揭露之方法的設備通常包括:用以實現製程操作之硬體、以及具有依據本發明來控制製程操作之指令的系統控制器。例如,在一些實施例中,硬體可包含:包括在一處理工具中的一或更多PEALD、PECVD、或聯合PEALD/PECVD處理站。
圖6提供一範例設備的方塊圖,其可用以實現所揭露之實施例。如圖所示,反應器600包括處理腔室624,其包覆反應器的其他元件並用以容納例如由電容式系統所產生之電漿,且電容式系統包括與接地之加熱器組件620配合運作的噴淋頭614。低頻RF產生器602(其連接至匹配網路606)及高頻RF產生器604係連接至噴淋頭614。由匹配網路606所供應之電力及頻率足以自處理氣體產生電漿(例如400-700 W的總能量)。在本發明之一實現方式中,使用了HF RF產生器及LF RF產生器兩者。在一典型製程中,高頻RF成份通常介於約2-60 MHz;在較佳實施例中,HF成份係約13.56 MHz或27 MHz。低頻RF成份通常介於約250-400 kHz;在一特定實施例中,LF成份係約350 kHz。
在反應器內,晶圓基座618支撐基板616。基座通常包括夾具、叉子、或升降銷,以便在沉積及/或電漿處理反應期間、或在這些反應之間夾持並傳送基板。夾具可為靜電夾具、機械夾具、或例如可用於工業及/或研究之各種其他類型的夾具。
處理氣體係經由入口612導入。多數來源氣體線路610係連接至歧管608。這些氣體可預先混合或不預先混合。適當的閥門調節及質流控制機制係用以確保在製程的沉積及電漿處理階段遞送正確氣體。在以液體形式遞送化學先驅物的情況下,採用液體流量控制機制。在液體到達沉積腔室之前,在歧管(其加熱至液體的汽化點以上)內傳送液體期間,使液體氣化並與其他處理氣體混合。
處理氣體經由出口622離開腔室600。真空泵626(例如:一或二階段機械式乾泵及/或渦輪分子泵)通常藉由閉迴路控制流量限制裝置(如節流閥或擺錘閥)將處理氣體抽出並在反應器內維持一適當低壓。
本發明可實施在多站或單站工具上。在特定實施例中,使用了具有4站沉積方式的300 mm Novellus VectorTM 工具、或具有6站沉積方式的200 mm SequelTM 工具。能在每一沉積及/或沉積後電漿回火處理之後索引晶圓,直到完成所有必要的沉積及處理為止;或者在索引晶圓之前,可於單一站執行多數沉積及處理。已證明膜應力在任一情況下皆相同。然而,在單一站上執行多數沉積/處理實質上會比在每一沉積及/或處理之後接著進行索引更快。
圖7顯示具有入站裝載鎖室2402及出站裝載鎖室2404(其中一或二者可包含遠端電漿源)之多站處理工具2400之實施例的示意圖。機械臂2406(處於大氣壓力下)係配置以將晶圓從卡匣(其係經由容器2408而裝載)經由大氣埠2410而移動至入站裝載鎖室2402內。藉由機械臂2406將晶圓放置在入站裝載鎖室2402中的基座2412上、關閉大氣埠2410、並且將裝載鎖室抽氣。在入站裝載鎖室2402包含遠端電漿源的情況下,在將晶圓送入處理腔室2414之前,可使晶圓在裝載鎖室中曝露至遠端電漿處理。此外,亦可例如在入站裝載鎖室2402中對晶圓加熱,以將水分和所吸附之氣體移除。接著,開啟通到處理腔室2414的腔室傳送埠2416,並且另一機械臂(未顯示)將晶圓放置在反應器內(放置在反應器所示之第一站的基座上)以供處理。雖然圖7所示之實施例包括裝載鎖室,但應瞭解在一些實施例中可提供晶圓直接進入處理站。
所繪示之處理腔室2414包含四個處理站(在圖7所示之實施例中編號從1到4)。各站具有加熱基座(對於站1而言,顯示在2418處)和氣體管線入口。應瞭解在一些實施例中,各處理站可具有不同用途或多種用途。舉例而言,在一些實施例中,處理站可在PEALD與PECVD處理模式之間進行切換。額外地或選擇性地,在一些實施例中,處理腔室2414可包括一或更多配對的PEALD與PECVD處理站。雖然所繪示之處理腔室2414包含四個站,但應瞭解到根據本揭露內容之處理腔室可具有任何適當數量的站。例如,在一些實施例中,處理腔室可具有五或更多站;而在其他實施例中,處理腔室可具有三或更少站。
圖7亦繪示了用以在處理腔室2414內傳送晶圓之晶圓搬運系統2490的實施例。在一些實施例中,晶圓搬運系統2490可在各處理站之間、及/或在處理站與裝載鎖室之間傳送晶圓。應瞭解到可採用任何合適的晶圓搬運系統。非限制性的例子包括:晶圓傳送帶及晶圓搬運機械臂。圖7亦繪示了用以控制處理工具2400之製程條件及硬體狀態的系統控制器2450之實施例。系統控制器2450可包含一或更多記憶體裝置2456、一或更多大量儲存裝置2454、以及一或更多處理器2452。處理器2452可包括:CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等等。
在一些實施例中,系統控制器2450控制了處理工具2400的所有動作。系統控制器2450執行系統控制軟體2458;系統控制軟體2458係儲存在大量儲存裝置2454中、被載入記憶體裝置2456內、並於處理器2452上執行。系統控制軟體2458可包括用以控制由處理工具2400所執行之特定製程的時序、氣體混合、腔室及/或站壓力、腔室及/或站溫度、清理條件及時間、晶圓溫度、RF電力位準、RF頻率、基板、基座、夾具及/或晶座位置、以及其他參數之指令。系統控制軟體2458可以任何適當的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標程式,以控制實現根據所揭露之方法的各種處理工具製程所必需之處理工具元件的操作。系統控制軟體2458可用任何合適的電腦可讀程式語言進行編碼。
在一些實施例中,系統控制軟體2458可包括用以控制上述各種參數的輸入/輸出控制(IOC,input/output control)定序指令。例如,PEALD製程的各階段可包含供系統控制器2450執行之一或更多指令。用以設定PEALD製程階段之製程條件的指令可被包括在對應PEALD配方階段之中。在一些實施例中,可依序安排PEALD配方階段,而使PEALD製程階段的所有指令皆與該處理階段同時執行。上述內容可應用於PECVD製程及混合式PEALD/PECVD製程。
在一些實施例中,可採用儲存在與系統控制器2450相關的大量儲存裝置2454及/或記憶體裝置2456上的其他電腦軟體及/或程式。用於此目的之程式或程式片段的例子包括:基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包括關於處理工具元件的程式碼,處理工具元件係用以將基板裝載至基座2418上,並用以控制基板與處理工具2400其他部件之間的間距。
處理氣體控制程式可包括:用以控制氣體成分和流速、以及選擇性地用以在沉積之前使氣體流入一或更多處理站以使處理站內的壓力穩定之碼。壓力控制程式可包括:藉由調節例如處理站之排氣系統中的節流閥、進入處理站之氣體流量等等以控制處理站壓力之碼。
加熱器控制程式可包括:用以控制通到對基板加熱之加熱單元之電流的碼。或者,加熱器控制程式可控制通往基板之熱傳氣體(如氦)的遞送。
電漿控制程式可包括:用以設定施加至一或更多處理站中之處理電極之RF電力位準的碼。
在一些實施例中,可存在與系統控制器2450相關之使用者介面。使用者介面可包括:顯示螢幕、設備及/或製程條件之圖形軟體顯示、以及如指標裝置、鍵盤、觸控螢幕、麥克風等等之使用者輸入裝置。
在一些實施例中,由系統控制器2450所調整之參數可與製程條件有關。非限制性範例包括處理氣體成分及流速、溫度、壓力、電漿條件(如RF偏壓電力位準)、壓力、溫度等等。能以配方的形式將這些參數提供給使用者(可利用使用者介面輸入配方)。
可從各個處理工具感測器並藉由系統控制器2450之類比及/或數位輸入連接來提供監控製程用的信號。可將控制製程用的信號輸出到處理工具2400之類比及數位輸出連接上。可受監控之處理工具感測器的非限制性範例包括:質流控制器、壓力感測器(如壓力計)、熱偶等等。適當編程之反饋及控制演算法可與來自這些感測器的資料一起使用以維持製程條件。
系統控制器2450可提供用以實現上述沉積製程的程式指令。程式指令可控制各種製程參數,例如:DC電力位準、RF偏壓電力位準、壓力、溫度等等。這些指令可控制上述參數,以操作根據於此所述之各種實施例之膜堆疊的原位沉積。
膜的微影圖案化通常包含下列步驟(用一些合適的工具來實現各個步驟)的部份或全部:(1)使用旋塗或噴塗工具將光阻塗佈在工作件(例如其上形成有矽氮化物膜之基板)上;(2)使用熱板或加熱爐或其他合適的固化工具使光阻固化;(3)使用例如晶圓步進機之工具將光阻曝露至可見光或UV光或x射線光;(4)使用例如濕式工作檯或噴塗式顯影器之工具使光阻顯影,以選擇性地移除光阻並從而使其圖案化;(5)藉由使用乾式或電漿輔助式蝕刻工具,將光阻圖案轉移至下方膜或工作件中;以及(6)使用例如RF或微波電漿光阻剝除器之工具來移除光阻。在一些實施例中,可在塗佈光阻之前沉積可灰化硬遮罩層(如非晶碳層)和另一合適的硬遮罩(如抗反射層)。
應瞭解到於此所述之配置及/或方法本質上為示範性質,而且因為有許多變化的可能性,故不應以限制性的觀念來考量這些特定實施例或範例。於此所述之特定例行工作或方法可代表任何數量的處理對策其中之一或多者。因此,能以下列方式來執行所說明之各種動作:以所說明之順序、其他順序、並行、或省略部份情況。同樣地,亦可更改以上所述製程之順序。
本揭露內容之標的包括各種製程、系統和配置、以及其他於此所揭露之特徵、功能、動作、及/或特性、和任何及所有其均等者的所有具新穎性與進步性之組合及次組合。 實驗
圖8呈現了根據所揭露方法之PEALD製程中之部份填充有矽氧化物膜804的間隙802。標記806係提供以評估氧化物膜804的保形性。為了清楚起見,圖8之中僅標示一種標記。各標記806具有相同高度。因此,沉積膜在底部處明顯比在頂部處更厚。此外,下側壁比上側壁更厚,且兩者皆比頂部區域更厚。頂部附近的膜厚度約與頂部轉角處的膜厚度相同。矽氧化物膜804係於約400℃、流入2 mL/分鐘之BTBAS約0.3秒的期間下沉積,後接清除期間約0.3秒的反應物清理,後接各為10 SLM的O2 /N2 O之混合物遞送、同時曝露至RF電漿0.5秒,後接期間為0.09秒的RF後清理。電漿係高頻電漿,其具有約5 kW的功率(分配在四基座之間)。膜804呈現錐形輪廓,而這對於填充間隙而言是理想的(尤其對於具有大深寬比的間隙)。雖然用以產生膜804之PEALD製程在間隙802被完全填充之前即終止(為了觀察填充表現),但可繼續此PEALD製程以便將間隙802完全填充,而無任何縫隙或孔洞形成。
圖9顯示具有一些根據所揭露之PEALD方法而填充有矽氧化物的間隙之基板。在此情況下,這些間隙具有約7:1的深寬比、和等級約30 nm的CD。所沉積之膜很緻密,並且未顯現出任何縫隙或孔洞。
圖10顯示根據所揭露之PEALD方法進行填充的間隙之近視圖。填充之中並未發現縫隙或孔洞。
圖11顯示根據所揭露之PEALD方法進行填充之具有高深寬比間隙(AR約為8:1)的基板。明顯地,右邊的間隙呈現某些程度的凹角。標記A及B長度相同。可看出間隙在標記B處寬於在標記A處。儘管寬度差異相當微小,但在許多習知方法中,即使程度再小的凹角亦將導致孔洞的形成。
應注意圖8-11中所顯示的間隙是在不執行蝕刻操作的情況下進行填充。
圖12顯示根據所揭露之PECVD方法並在約200℃下執行TEOS而填充有矽氧化物的寬間隙。所沉積之膜為約2000Å厚,並呈現良好間隙填充特性,而無孔洞或縫隙。並且未執行蝕刻操作。
100‧‧‧方法
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
107‧‧‧操作
109‧‧‧操作
200‧‧‧基板
202‧‧‧窄間隙
204‧‧‧寬間隙
210‧‧‧膜
500‧‧‧方法
501‧‧‧操作
503‧‧‧操作
505‧‧‧操作
507‧‧‧操作
509‧‧‧操作
600‧‧‧反應器
602‧‧‧低頻RF產生器
604‧‧‧高頻RF產生器
606‧‧‧匹配網路
608‧‧‧歧管
610‧‧‧來源氣體線路
612‧‧‧入口
614‧‧‧噴淋頭
616‧‧‧基板
618‧‧‧晶圓基座
620‧‧‧加熱器組件
622‧‧‧出口
624‧‧‧處理腔室
626‧‧‧真空泵
802‧‧‧間隙
804‧‧‧膜
806‧‧‧標記
2400‧‧‧多站處理工具
2402‧‧‧入站裝載鎖室
2404‧‧‧出站裝載鎖室
2406‧‧‧機械臂
2408‧‧‧容器
2410‧‧‧大氣埠
2412‧‧‧基座
2414‧‧‧處理腔室
2416‧‧‧腔室傳送埠
2418‧‧‧加熱基座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存裝置
2456‧‧‧記憶體裝置
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
圖1顯示藉由電漿輔助式原子層沉積(PEALD,plasma enhanced atomic layer deposition)製程以沉積膜之方法的流程圖。
圖2顯示具有不同深寬比的間隙之基板,其可根據所揭露之實施例進行填充。
圖3顯示執行PEALD沉積製程後的圖2之基板。
圖4顯示當執行PEALD製程以填充間隙時之圖2及3之窄間隙的近視圖。
圖5顯示藉由電漿輔助式化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)製程以沉積膜之方法的流程圖。
圖6顯示可用以實現所揭露之方法之設備的方塊圖。
圖7顯示可用以實現所揭露之方法之多站設備。
圖8顯示根據所揭露之PEALD方法進行填充之部份填充的高深寬比間隙。
圖9-11顯示根據所揭露之PEALD方法進行填充之高深寬比間隙的額外照片。
圖12顯示填充有根據所揭露之PECVD方法進行沉積之矽氧化物的寬間隙。

Claims (43)

  1. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙的底部及側壁之膜層;(d)在不執行抽氣的情況下,清理該反應腔室;及(e)重複操作(a)至(d)以形成額外的膜層,其中當該間隙之相對側壁上的相對膜層互相接近時,存在於該等相對膜層上之表面基團互相交聯,並藉此填充該間隙而無孔洞或縫隙形成。
  2. 如申請專利範圍第1項之填充基板表面上的間隙之方法,其中該第一反應物為含矽反應物,且該第二反應物為氧化反應物。
  3. 如申請專利範圍第2項之填充基板表面上的間隙之方法,其中該第一反應物包含BTBAS。
  4. 如申請專利範圍第2項之填充基板表面上的間隙之方法,其中該第二反應物包含氧及/或一氧化二氮。
  5. 如申請專利範圍第4項之填充基板表面上的間隙之方法,其中該第二反應物包含氧及一氧化二氮,且其中氧的容積流速和一氧化二氮的容積流速相差不超過約20%。
  6. 如申請專利範圍第1~5項其中任一項之填充基板表面上的間隙之方法,其中該間隙為凹角。
  7. 如申請專利範圍第1~5項其中任一項之填充基板表面上的間隙之方法,其中該間隙係藉著由下而上填充機制來進行填充。
  8. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙的底部及側壁之膜層,其中該膜在該間隙的場區域和上側壁附近比在該間隙的底部和下側壁附近更為緻密;(d)在不執行抽氣的情況下,清理該反應腔室;及(e)重複操作(a)至(d)以形成額外的膜層,從而藉著由下而上填充機制來填充該間隙,而無孔洞或縫隙形成。
  9. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上; (c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙的底部及側壁之膜層,其中相較於該間隙的場區域和上側壁,優先將配位子埋在該間隙的底部和下側壁附近之該膜中;(d)在不執行抽氣的情況下,清理該反應腔室;及(e)重複操作(a)至(d)以形成額外的膜層,從而藉著由下而上填充機制來填充該間隙,而無孔洞或縫隙形成。
  10. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙之膜;(d)清理或清潔該反應腔室;(e)至少將氣態的第三反應物導入該反應腔室內;及(f)至少由該第三反應物產生電漿以驅使氣相反應,其中該氣相反應產生間隙填充材料,且其中該間隙填充材料將該基板表面上的該間隙部份或完全填充,其中在任何操作(a)至(f)期間或在任何操作(a)至(f)之間,不將該基板自該反應腔室移出。
  11. 如申請專利範圍第10項之填充基板表面上的間隙之方法,其中操作(a)至(c)包含:形成保形膜,且該保形膜在該間隙的底部處比在該間隙的上側壁上更厚。
  12. 如申請專利範圍第10項之填充基板表面上的間隙之方法,其中操作(c)包含:相較於在該間隙的底部附近之該膜,優先使在該間隙的頂部附近之該膜緻密化。
  13. 如申請專利範圍第10項之填充基板表面上的間隙之方法,其中操作(c)包含:相較於在該間隙的上側壁附近之該膜,優先將配位子埋在該間隙的底部附近之該膜中。
  14. 如申請專利範圍第10項之填充基板表面上的間隙之方法,其中在操作(e)至(f)之前重複操作(a)至(c),且其中在每個操作(c)的重複之後,不發生抽氣。
  15. 如申請專利範圍第10~14項其中任一項之填充基板表面上的間隙之方法,其中操作(f)中之電漿係電容耦合電漿。
  16. 如申請專利範圍第10~14項其中任一項之填充基板表面上的間隙之方法,其中該第一及第二反應物之至少一者與該第三反應物相同。
  17. 如申請專利範圍第16項之填充基板表面上的間隙之方法,其中操作(e)更包含:在將該第三反應物導入該反應腔室內時,同時將氣態的第四反應物導入該反應腔室內。
  18. 如申請專利範圍第10~14項其中任一項之填充基板表面上的間隙之方法,其中操作(c)中所形成的膜包含與操作(f)中所形成的間隙填充材料相同之材料。
  19. 如申請專利範圍第10~14項其中任一項之填充基板表面上的間隙之方法,其中該方法執行時,不介入任何蝕刻操作。
  20. 如申請專利範圍第10~14項其中任一項之填充基板表面上的間隙之方法,其中該第一反應物為含矽反應物,且該第二反應物為氧化反應物。
  21. 如申請專利範圍第20項之填充基板表面上的間隙之方法,其中該第一反應物包含BTBAS。
  22. 如申請專利範圍第20項之填充基板表面上的間隙之方法,其中該第二反應物包含氧及/或一氧化二氮。
  23. 如申請專利範圍第22項之填充基板表面上的間隙之方法,其中該第二反應物包含氧及一氧化二氮,且其中氧的容積流速和一氧化二氮的容積流速相差不超過約20%。
  24. 如申請專利範圍第10~14項其中任一項之填充基板表面上的間隙之方法,其中該第三反應物為TEOS或矽烷。
  25. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上,其中該基板至少具有臨界尺寸小於約50nm的窄間隙、以及臨界尺寸大於或等於約50nm的寬間隙;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成膜;(d)清理或清潔該反應腔室; (e)重複操作(a)至(d),其中所形成之膜完全填充該窄間隙,並且填襯該寬間隙;(f)將氣態的第三反應物導入該反應腔室內;及(g)在使該第三反應物流入該反應腔室時,同時將該基板表面曝露至電漿以驅使氣相反應,其中該氣相反應產生間隙填充材料,且其中該間隙填充材料將該基板表面上的該寬間隙部份或完全填充,其中該方法執行時,不介入任何蝕刻操作。
  26. 如申請專利範圍第25項之填充基板表面上的間隙之方法,其中該窄間隙具有大於約4:1的深寬比,且該寬間隙具有小於或等於約4:1的深寬比。
  27. 如申請專利範圍第25項之填充基板表面上的間隙之方法,其中該窄間隙為凹角,並且在不形成縫隙或孔洞的情況下進行填充。
  28. 如申請專利範圍第25項之填充基板表面上的間隙之方法,其中在每個操作(c)的重複之後,不發生抽氣。
  29. 如申請專利範圍第25~28項其中任一項之填充基板表面上的間隙之方法,其中操作(g)中之電漿係電容耦合電漿。
  30. 如申請專利範圍第25~28項其中任一項之填充基板表面上的間隙之方法,其中操作(c)中所形成的膜包含與操作(g)中所形成的間隙填充材料相同之材料。
  31. 一種以介電材料填充半導體基板上之一或更多間隙的方法,該方法包含: (a)藉由電漿輔助式原子層沉積表面反應,將含矽膜沉積在該基板上之該一或更多間隙中,以利用該含矽膜來部份填充該一或更多間隙;及(b)藉由電漿輔助式化學氣相沉積氣相反應,將額外的含矽膜沉積在操作(a)中所沉積之膜上,以利用該含矽膜來完全填充該一或更多間隙,其中在任何操作(a)至(b)期間或在任何操作(a)至(b)之間,不將該基板自該反應腔室移出。
  32. 如申請專利範圍第31項之以介電材料填充半導體基板上之一或更多間隙的方法,其中操作(b)係利用感應耦合電漿來執行。
  33. 如申請專利範圍第32項之以介電材料填充半導體基板上之一或更多間隙的方法,其中該感應耦合電漿係遠端產生。
  34. 如申請專利範圍第31項之以介電材料填充半導體基板上之一或更多間隙的方法,其中該些間隙至少包含一較小間隙及一較大間隙。
  35. 如申請專利範圍第34項之以介電材料填充半導體基板上之一或更多間隙的方法,其中操作(a)造成(i)完全填充該較小間隙及(ii)以該含矽膜填襯該較大間隙,且其中操作(b)造成以該額外的含矽膜完全填充該較大間隙。
  36. 一種以介電材料填充半導體基板上之一或更多間隙的設備,該設備包含:一反應腔室;一入口,用以將反應物導入該反應腔室;一出口,用以將材料自該反應腔室移除; 一電漿產生器;及一控制器,具有根據申請專利範圍第1~35項其中任一項之方法來填充該半導體基板上之該一或更多間隙的指令。
  37. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙之膜,其中操作(a)至(c)中所形成之該膜在該間隙的底部處比在該間隙的上側壁上更厚;(d)清理或清潔該反應腔室;(e)至少將氣態的第三反應物導入該反應腔室內;及(f)至少由該第三反應物產生電漿以驅使氣相反應,其中該氣相反應產生間隙填充材料,且其中該間隙填充材料將該基板表面上的該間隙部份或完全填充。
  38. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上; (c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙之膜;(d)清理或清潔該反應腔室;(e)至少將氣態的第三反應物導入該反應腔室內;及(f)至少由該第三反應物產生電漿以驅使氣相反應,其中該第一及第二反應物之至少一者與該第三反應物相同,其中該氣相反應產生間隙填充材料,且其中該間隙填充材料將該基板表面上的該間隙部份或完全填充。
  39. 一種填充基板表面上的間隙之方法,該方法包含:(a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成填襯該間隙之膜;(d)清理或清潔該反應腔室;(e)至少將氣態的第三反應物導入該反應腔室內;及(f)至少由該第三反應物產生電漿以驅使氣相反應,其中該氣相反應產生間隙填充材料,且其中該間隙填充材料將該基板表面上的該間隙部份或完全填充,其中該方法執行時,不介入任何蝕刻操作。
  40. 一種填充基板表面上的間隙之方法,該方法包含: (a)將氣態的第一反應物導入其中具有該基板之反應腔室內,並使該第一反應物吸附在該基板表面上,其中該基板至少具有臨界尺寸小於約50nm的窄間隙、以及臨界尺寸大於或等於約50nm的寬間隙;(b)將氣態的第二反應物導入該反應腔室內,並使該第二反應物吸附在該基板表面上;(c)將該基板表面曝露至電漿,以驅使在該基板表面上之該第一與第二反應物間的表面反應,從而形成膜;(d)清理或清潔該反應腔室;(e)重複操作(a)至(d),其中所形成之膜完全填充該窄間隙,並且填襯該寬間隙,其中該窄間隙為凹角,並且在不形成縫隙或孔洞的情況下進行填充;(f)將氣態的第三反應物導入該反應腔室內;及(g)在使該第三反應物流入該反應腔室時,同時將該基板表面曝露至電漿以驅使氣相反應,其中該氣相反應產生間隙填充材料,且其中該間隙填充材料將該基板表面上的該寬間隙部份或完全填充。
  41. 一種以介電材料填充半導體基板上之一或更多間隙的方法,該方法包含:(a)藉由電漿輔助式原子層沉積表面反應,將含矽膜沉積在該基板上之該一或更多間隙中,以利用該含矽膜來部份填充該一或更多間隙,其中操作(a)中所沉積之該含矽膜在該間隙的底部處比在該間隙的上側壁上更厚;及 (b)藉由電漿輔助式化學氣相沉積氣相反應,將額外的含矽膜沉積在操作(a)中所沉積之該含矽膜上,以利用該含矽膜來完全填充該一或更多間隙。
  42. 一種以介電材料填充半導體基板上之一或更多間隙的方法,該方法包含:(a)藉由第一反應物及第二反應物間的電漿輔助式原子層沉積表面反應,將含矽膜沉積在該基板上之該一或更多間隙中,以利用該含矽膜來部份填充該一或更多間隙;及(b)藉由電漿輔助式化學氣相沉積氣相反應,將額外的含矽膜沉積在操作(a)中所沉積之膜上,以利用該含矽膜來完全填充該一或更多間隙,其中該化學氣相沉積氣相反應涉及將該基板曝露於第三反應物,該第三反應物係與該第一反應物或第二反應物相同。
  43. 一種以介電材料填充半導體基板上之一或更多間隙的方法,該方法包含:(a)藉由電漿輔助式原子層沉積表面反應,將含矽膜沉積在該基板上之該一或更多間隙中,以利用該含矽膜來部份填充該一或更多間隙;及(b)藉由電漿輔助式化學氣相沉積氣相反應,將額外的含矽膜沉積在操作(a)中所沉積之膜上,以利用該含矽膜來完全填充該一或更多間隙,其中該方法執行時,不介入任何蝕刻操作。
TW103133765A 2013-09-30 2014-09-29 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 TWI649803B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884923P 2013-09-30 2013-09-30
US61/884,923 2013-09-30
US14/137,860 US9257274B2 (en) 2010-04-15 2013-12-20 Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US14/137,860 2013-12-20

Publications (2)

Publication Number Publication Date
TW201526104A TW201526104A (zh) 2015-07-01
TWI649803B true TWI649803B (zh) 2019-02-01

Family

ID=52792999

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103133765A TWI649803B (zh) 2013-09-30 2014-09-29 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充

Country Status (3)

Country Link
KR (2) KR102368432B1 (zh)
CN (2) CN107665811B (zh)
TW (1) TWI649803B (zh)

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
JP6938491B2 (ja) * 2015-11-13 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102617145B1 (ko) 2018-10-02 2023-12-27 삼성전자주식회사 가변 저항 메모리 장치
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN114761612A (zh) * 2019-12-02 2022-07-15 朗姆研究公司 原位pecvd覆盖层
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050142795A1 (en) * 2003-12-29 2005-06-30 Sang-Tae Ahn Method for isolating semiconductor devices with use of shallow trench isolation method
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
KR20020048617A (ko) * 2000-12-18 2002-06-24 박종섭 플라즈마 원자층 증착법에 의한 탄탈륨옥사이드 유전막형성 방법
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR20070066945A (ko) * 2005-12-21 2007-06-27 에이에스엠 저펜 가부시기가이샤 원자층 성장 및 화학증기 증착에 의한 박막형성 방법
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
KR101133250B1 (ko) * 2009-09-29 2012-04-05 부산대학교 산학협력단 상압 플라즈마 표면처리된 폴리머 기판을 이용한 투명전극의 제조방법
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050142795A1 (en) * 2003-12-29 2005-06-30 Sang-Tae Ahn Method for isolating semiconductor devices with use of shallow trench isolation method
US20110256726A1 (en) * 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition

Also Published As

Publication number Publication date
KR20150037662A (ko) 2015-04-08
KR102492259B1 (ko) 2023-01-26
KR102368432B1 (ko) 2022-02-25
CN107665811B (zh) 2024-01-23
KR20230017899A (ko) 2023-02-06
CN107665811A (zh) 2018-02-06
CN104517892A (zh) 2015-04-15
CN104517892B (zh) 2017-10-20
KR20220030237A (ko) 2022-03-10
TW201526104A (zh) 2015-07-01

Similar Documents

Publication Publication Date Title
TWI649803B (zh) 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US11133180B2 (en) Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR102628080B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
CN111247269B (zh) 介电膜的几何选择性沉积
US10192742B2 (en) Soft landing nanolaminates for advanced patterning
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TWI706049B (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
TWI716432B (zh) 使半導體裝置中的膜密集化之方法
JP7494209B2 (ja) 調整された原子層堆積
KR102681619B1 (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진